Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

ERROR: wire not found for $posedge #110

Closed
rodrigomelo9 opened this issue Apr 22, 2020 · 0 comments
Closed

ERROR: wire not found for $posedge #110

rodrigomelo9 opened this issue Apr 22, 2020 · 0 comments
Labels

Comments

@rodrigomelo9
Copy link
Contributor

Here another ISE example which works with ghdl --synth but fails with the plugin.

library ieee;
use ieee.std_logic_1164.all;

entity top is
    port(
        clk  : in  std_logic;
	di   : in  std_logic;
        do   : out std_logic
    ); 
end top;

architecture behavioral of top is
    signal data : std_logic;
begin

    mylabel: process (clk)
        variable tmp : std_logic;
    begin
        if rising_edge(clk) then
            tmp := di;              -- Post-synthesis name : mylabel.tmp
        end if;
        data <= not(tmp);           
    end process;

    do <= not(data);
    
end behavioral;
$DOCKER_CMD ghdl/synth:beta yosys -Q -m ghdl -p "ghdl var_in_labelled_process.vhd -e"

-- Running command `ghdl var_in_labelled_process.vhd -e' --

1. Executing GHDL.
note: top entity is "top"
Importing module top.
ERROR: wire not found for $posedge
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

2 participants