Skip to content

Commit

Permalink
feat: change asynchronous clock
Browse files Browse the repository at this point in the history
  • Loading branch information
Elizabeth-0 committed Jan 19, 2025
1 parent ad7b77a commit fe1cba8
Showing 1 changed file with 3 additions and 1 deletion.
4 changes: 3 additions & 1 deletion src/tt_um_waves.v
Original file line number Diff line number Diff line change
@@ -1,3 +1,5 @@
`define default_netname none

module tt_um_waves (
input wire [7:0] ui_in, // ui_in[0] for UART RX
output reg [7:0] uo_out, // Dedicated outputs: uo_out[2:0] = {WS, SD, SCK} for I2S
Expand Down Expand Up @@ -269,7 +271,7 @@ module uart_receiver (
assign start_bit = (rx == 1'b0 && state == IDLE); // Falling edge indicates start bit
assign stop_bit = (bit_count == 3'd7 && state == RECEIVING);

always @(posedge clk or negedge rst_n) begin
always @(posedge clk) begin
if (!rst_n) begin
// Reset todos los registros
received_byte <= 8'd0;
Expand Down

0 comments on commit fe1cba8

Please sign in to comment.