-
Notifications
You must be signed in to change notification settings - Fork 91
/
Copy pathboard_specific.sdc
48 lines (35 loc) · 1.08 KB
/
board_specific.sdc
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
create_clock -period "50.0 MHz" [get_ports {CLK*}]
derive_clock_uncertainty
set_false_path -from [get_ports {KEY_N[*]}] -to [all_clocks]
set_false_path -from [get_ports {SW_N[*]}] -to [all_clocks]
set_false_path -from UART_RX -to [all_clocks]
set_false_path -from [get_ports {GPIO[*]}] -to [all_clocks]
set_false_path -from * -to [get_ports {LED_N[*]}]
set_false_path -from * -to [get_ports {ABCDEFGH_N[*]}]
set_false_path -from * -to [get_ports {DIGIT_N[*]}]
set_false_path -from * -to VGA_VSYNC
set_false_path -from * -to VGA_HSYNC
set_false_path -from * -to [get_ports {VGA_RGB[*]}]
set_false_path -from * -to [get_ports {GPIO[*]}]
# set_false_path -from * -to UART_TX
# BUZZER
# PS2_CLK
# PS2_DATA
# EEPROM_SDA
# EEPROM_SCK
# LCD1602/12864
# LCD_D0
# LCD_D1
# LCD_D2
# LCD_D3
# LCD_D4
# LCD_D5
# LCD_D6
# LCD_D7
# LCD_RS
# LCD_WR
# LCD_EN
# Useful information
#
# http://land-boards.com/blwiki/index.php?title=A-C4E6_Cyclone_IV_FPGA_EP4CE6E22C8N_Development_Board
# http://land-boards.com/blwiki/index.php?title=A-C4E10_Cyclone_IV_FPGA_EP4CE10E22C8N_Development_Board