From 53386efb8efd5d751b0c11dabae62dc99c7f0835 Mon Sep 17 00:00:00 2001 From: Abel Joseph John Date: Sat, 13 Jun 2020 06:33:09 +0530 Subject: [PATCH 001/225] added gettext dependency for qemu build --- scripts/ubuntu-req.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/scripts/ubuntu-req.sh b/scripts/ubuntu-req.sh index a46971747a..3bc22c7395 100755 --- a/scripts/ubuntu-req.sh +++ b/scripts/ubuntu-req.sh @@ -14,7 +14,7 @@ sudo apt-get install -y libexpat1-dev libusb-dev libncurses5-dev cmake # deps for poky sudo apt-get install -y python3.6 patch diffstat texi2html texinfo subversion chrpath git wget # deps for qemu -sudo apt-get install -y libgtk-3-dev +sudo apt-get install -y libgtk-3-dev gettext # deps for firemarshal sudo apt-get install -y python3-pip python3.6-dev rsync libguestfs-tools expat ctags # install DTC From 8e19b46a33f14232bd8aa4cebc8475308a4c03d7 Mon Sep 17 00:00:00 2001 From: Amirali Sharifian Date: Wed, 7 Oct 2020 11:38:41 -0700 Subject: [PATCH 002/225] Update Gemmini.rst The gemmini config file's name is been updated from `configs.scala` to `Configs.scala` --- docs/Generators/Gemmini.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/Generators/Gemmini.rst b/docs/Generators/Gemmini.rst index 34fc728bea..6f1a9ba3ee 100644 --- a/docs/Generators/Gemmini.rst +++ b/docs/Generators/Gemmini.rst @@ -5,7 +5,7 @@ The Gemmini project is developing a systolic-array based matrix multiplication u Gemmini is implemented as a RoCC accelerator with non-standard RISC-V custom instructions. The Gemmini unit uses the RoCC port of a Rocket or BOOM `tile`, and by default connects to the memory system through the System Bus (i.e., directly to the L2 cache). -To add a Gemmini unit to an SoC, you should add the ``gemmini.DefaultGemminiConfig`` config fragment to the SoC configurations. To change the configuration of the Gemmini accelerator unit, you can write a custom configuration to replace the ``DefaultGemminiConfig``, which you can view under `generators/gemmini/src/main/scala/configs.scala `__ to see the possible configuration parameters. +To add a Gemmini unit to an SoC, you should add the ``gemmini.DefaultGemminiConfig`` config fragment to the SoC configurations. To change the configuration of the Gemmini accelerator unit, you can write a custom configuration to replace the ``DefaultGemminiConfig``, which you can view under `generators/gemmini/src/main/scala/configs.scala `__ to see the possible configuration parameters. The example Chipyard config includes the following example SoC configuration which includes Gemmini: From 4253382df0e9504d8ff94c3198861fb68a9e12a6 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Sun, 29 Nov 2020 20:49:39 -0800 Subject: [PATCH 003/225] switched dockerfile base to ubuntu and fixed bugs. created entrypoint.sh script for setting environment variables --- Dockerfile | 296 ++++++++++++++++++++++++++++++++++++++++++++++++ Dockerfile-ella | 296 ++++++++++++++++++++++++++++++++++++++++++++++++ entrypoint.sh | 4 + 3 files changed, 596 insertions(+) create mode 100644 Dockerfile create mode 100644 Dockerfile-ella create mode 100644 entrypoint.sh diff --git a/Dockerfile b/Dockerfile new file mode 100644 index 0000000000..f3505d194c --- /dev/null +++ b/Dockerfile @@ -0,0 +1,296 @@ +### Note: This DockerFile is adapted from https://github.com/ucb-bar/chipyard/blob/master/.circleci/images/Dockerfile which was adapted from: https://github.com/CircleCI-Public/example-images/openjdk +# This is a full chipyard setup, which will be built manually on-demand in the Tendermint Hardware Project at https://gitlab.com/virgohardware/core/ + +FROM ubuntu:18.04 + +MAINTAINER jacobgadikian@gmail.com + +# man directory is missing in some base images +# https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=863199 +RUN apt-get update && \ + apt-get upgrade -y && \ + mkdir -p /usr/share/man/man1 && \ + apt-get install -y \ + bzip2 \ + ca-certificates \ + curl \ + git \ + gnupg \ + gzip \ + libfl2 \ + libfl-dev \ + locales \ + mercurial \ + python-minimal \ + python-pexpect-doc \ + netcat \ + net-tools \ + openssh-client \ + parallel \ + sudo \ + tar \ + unzip \ + wget \ + xvfb \ + xxd \ + zip \ + ccache \ + libgoogle-perftools-dev \ + numactl \ + zlib1g \ + jq \ + openjdk-11-jdk \ + maven \ + #sbt \# + ant \ + gradle + +#ADDED +RUN apt-get install -y apt-utils + +#ADDED: get sbt +#RUN apt-get install default-jdk -y && \ +# wget www.scala-lang.org/files/archive/scala-2.13.0.deb && \ +# dpkg -i scala*.deb && \ +# echo "deb https://dl.bintray.com/sbt/debian /" | tee -a /#etc/apt/sources.list.d/sbt.list && \ +# sudo apt-key adv --keyserver hkp://keyserver.ubuntu.com:80 #--recv 2EE0EA64E40A89B84B2DF73499E82A75642AC823 && \ +# apt-get install sbt -y && \ +# sbt test +RUN echo "deb https://dl.bintray.com/sbt/debian /" | sudo tee -a /etc/apt/sources.list.d/sbt.list && \ + curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add && \ + sudo apt-get update && \ + sudo apt-get install sbt + +# Set timezone to UTC by default +RUN ln -sf /usr/share/zoneinfo/Etc/UTC /etc/localtime + +# Use unicode +RUN locale-gen C.UTF-8 || true +ENV LANG=C.UTF-8 + +# install jq +# RUN JQ_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/jq-latest" \ +# && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/jq $JQ_URL \ +# && chmod +x /usr/bin/jq \ +# && jq --version + +# Install Docker + +# Docker.com returns the URL of the latest binary when you hit a directory listing +# We curl this URL and `grep` the version out. +# The output looks like this: + +#> # To install, run the following commands as root: +#> curl -fsSLO https://download.docker.com/linux/static/stable/x86_64/docker-17.05.0-ce.tgz && tar --strip-components=1 -xvzf docker-17.05.0-ce.tgz -C /usr/local/bin +#> +#> # Then start docker in daemon mode: +#> /usr/local/bin/dockerd + +# RUN set -ex \ +# && export DOCKER_VERSION=$(curl --silent --fail --retry 3 https://download.docker.com/linux/static/stable/x86_64/ | grep -o -e 'docker-[.0-9]*-ce\.tgz' | sort -r | head -n 1) \ +# && DOCKER_URL="https://download.docker.com/linux/static/stable/x86_64/${DOCKER_VERSION}" \ +# && echo Docker URL: $DOCKER_URL \ +# && curl --silent --show-error --location --fail --retry 3 --output /tmp/docker.tgz "${DOCKER_URL}" \ +# && ls -lha /tmp/docker.tgz \ +# && tar -xz -C /tmp -f /tmp/docker.tgz \ +# && mv /tmp/docker/* /usr/bin \ +# && rm -rf /tmp/docker /tmp/docker.tgz \ +# && which docker \ +# && (docker version || true) + +# docker compose +# RUN COMPOSE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/docker-compose-latest" \ +# && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/docker-compose $COMPOSE_URL \ +# && chmod +x /usr/bin/docker-compose \ +# && docker-compose version + +# install dockerize +# RUN DOCKERIZE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/dockerize-latest.tar.gz" \ +# && curl --silent --show-error --location --fail --retry 3 --output /tmp/dockerize-linux-amd64.tar.gz $DOCKERIZE_URL \ +# && tar -C /usr/local/bin -xzvf /tmp/dockerize-linux-amd64.tar.gz \ +# && rm -rf /tmp/dockerize-linux-amd64.tar.gz \ +# && dockerize --version + +RUN groupadd --gid 3434 riscvuser \ + && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ + && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ + && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep + +# BEGIN IMAGE CUSTOMIZATIONS + +# cacerts from OpenJDK 9-slim to workaround http://bugs.java.com/view_bug.do?bug_id=8189357 +# AND https://github.com/docker-library/openjdk/issues/145 +# +# Created by running: +# docker run --rm openjdk:9-slim cat /etc/ssl/certs/java/cacerts | # aws s3 cp - s3://circle-downloads/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts --acl public-read +# RUN if java -fullversion 2>&1 | grep -q '"9.'; then curl --silent --show-error --location --fail --retry 3 --output /etc/ssl/certs/java/cacerts https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts; fi + +# Install Maven Version: 3.6.3 +# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-maven.tar.gz https://www.apache.org/dist/maven/maven-3/3.6.3/binaries/apache-maven-3.6.3-bin.tar.gz \ +# && tar xf /tmp/apache-maven.tar.gz -C /opt/ \ +# && rm /tmp/apache-maven.tar.gz \ +# && ln -s /opt/apache-maven-* /opt/apache-maven \ +# && /opt/apache-maven/bin/mvn -version + +# Install Ant Version: 1.10.5 +# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-ant.tar.gz https://archive.apache.org/dist/ant/binaries/apache-ant-1.10.5-bin.tar.gz \ +# && tar xf /tmp/apache-ant.tar.gz -C /opt/ \ +# && ln -s /opt/apache-ant-* /opt/apache-ant \ +# && rm -rf /tmp/apache-ant.tar.gz \ +# && /opt/apache-ant/bin/ant -version + +# ENV ANT_HOME=/opt/apache-ant + +# Install Gradle Version: 5.0 +# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/gradle.zip https://services.gradle.org/distributions/gradle-5.0-bin.zip \ +# && unzip -d /opt /tmp/gradle.zip \ +# && rm /tmp/gradle.zip \ +# && ln -s /opt/gradle-* /opt/gradle \ +# && /opt/gradle/bin/gradle -version + +# Install sbt from https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz +#RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/sbt.tgz https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz \ +# && tar -xzf /tmp/sbt.tgz -C /opt/ \ +# && rm /tmp/sbt.tgz \ +# && /opt/sbt/bin/sbt sbtVersion + +# Install openjfx +RUN apt-get update +RUN apt-get install -y --no-install-recommends openjfx + +# Add build-essential +RUN apt-get install -y build-essential + +# Add RISCV toolchain necessary dependencies +RUN apt-get update +RUN apt-get install -y \ + autoconf \ + automake \ + autotools-dev \ + babeltrace \ + bc \ + curl \ + device-tree-compiler \ + expat \ + flex \ + gawk \ + gperf \ + g++ \ + libexpat-dev \ + libgmp-dev \ + libmpc-dev \ + libmpfr-dev \ + libtool \ + libusb-1.0-0-dev \ + make \ + patchutils \ + pkg-config \ + python3 \ + texinfo \ + zlib1g-dev \ + rsync \ + bison \ + verilator + + +# Use specific bison version to bypass Verilator 4.034 issues +# TODO: When Verilator is bumped, use apt to get newest bison +# RUN wget https://ftp.gnu.org/gnu/bison/bison-3.5.4.tar.gz \ +# && tar -xvf bison-3.5.4.tar.gz \ +# && cd bison-3.5.4 \ +# && ./configure && make && make install + +# Check bison version is 3.5.4 +# RUN bison --version + +# Add minimal QEMU dependencies +RUN apt-get install -y \ + libfdt-dev \ + libglib2.0-dev \ + libpixman-1-dev + +# Install verilator +# RUN git clone http://git.veripool.org/git/verilator \ +# && cd verilator \ +# && git pull \ +# && git checkout v4.034 \ +# && autoconf && ./configure && make && make install + + +# Add HOME environment variable +ENV HOME="/home/riscvuser" + +# Update PATH for RISCV toolchain (note: hardcoded for CircleCI) +ENV RISCV="$HOME/riscv-tools-install" +ENV LD_LIBRARY_PATH="$RISCV/lib" +ENV PATH="$RISCV/bin:$PATH" + +WORKDIR $HOME +USER riscvuser + +# smoke test with path +RUN mvn -version \ + && ant -version \ + && gradle -version \ + && sbt sbtVersion \ + && verilator --version + +# remove extra folders +# RUN rm -rf project/ + +# Install Chipyard +RUN git clone https://github.com/ucb-bar/chipyard.git && \ + cd chipyard && \ + export MAKEFLAGS=-"j $(nproc)" && \ + ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null + +#RUN ls $HOME/chipyard/toolchains/riscv-tools + +#RUN cd chipyard && \ +# printf '\n\n\n31\n1\n20\n' | sudo apt-get install -y #python3-pip python3.6-dev rsync libguestfs-tools expat ctags && #\ + +#RUN sudo apt install debconf-utils && \ +# dpkg-reconfigure keyboard-configuration && \ +# debconf-get-selections | grep keyboard-configuration > #selections.conf && \ +# debconf-set-selections < selections.conf && \ +# dpkg-reconfigure keyboard-configuration -f noninteractive + +# Stopping docker keyboard-config from disrupting ubuntu-req.sh +RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install keyboard-configuration && \ + sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup + + +RUN cd chipyard && \ + ./scripts/ubuntu-req.sh 1>/dev/null + +# Install riscv-tools +RUN cd chipyard && \ + export MAKEFLAGS=-"j $(nproc)" && \./scripts/build-toolchains.sh riscv-tools 1>/dev/null + +#ENTRYPOINT ["sh", "-c", "-l", "cd chipyard && . ./env.sh && #\"$@\"", "-s"] + +WORKDIR $HOME/chipyard +COPY ./entrypoint.sh entrypoint.sh +#USER root +#RUN chmod +x entrypoint.sh +#USER riscvuser +#WORKDIR $HOME +#ENTRYPOINT ["sh", "/home/riscvuser/chipyard/entrypoint.sh"] + +#env_file: ./env.sh + +#SHELL ["/bin/sh", "-c"] + +#RUN cd chipyard && \ + #git submodule update --init --recursive /home/#riscvuser/chipyard/toolchains/riscv-tools/riscv-#isa-sim +# git submodule update --init --recursive /home/riscvuser/#chipyard/toolchains/riscv-tools/riscv-gnu-toolchain + +# Install esp-tools +#RUN cd chipyard && \ +# export MAKEFLAGS=-"j $(nproc)" && \ +# ./scripts/build-toolchains.sh esp-tools 1>/dev/null + + +# END IMAGE CUSTOMIZATIONS + +CMD ["/bin/sh"] diff --git a/Dockerfile-ella b/Dockerfile-ella new file mode 100644 index 0000000000..b818539c45 --- /dev/null +++ b/Dockerfile-ella @@ -0,0 +1,296 @@ +### Note: This DockerFile is adapted from https://github.com/ucb-bar/chipyard/blob/master/.circleci/images/Dockerfile which was adapted from: https://github.com/CircleCI-Public/example-images/openjdk +# This is a full chipyard setup, which will be built manually on-demand in the Tendermint Hardware Project at https://gitlab.com/virgohardware/core/ + +FROM ubuntu:18.04 + +MAINTAINER jacobgadikian@gmail.com + +# man directory is missing in some base images +# https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=863199 +RUN apt-get update && \ + apt-get upgrade -y && \ + mkdir -p /usr/share/man/man1 && \ + apt-get install -y \ + bzip2 \ + ca-certificates \ + curl \ + git \ + gnupg \ + gzip \ + libfl2 \ + libfl-dev \ + locales \ + mercurial \ + python-minimal \ + python-pexpect-doc \ + netcat \ + net-tools \ + openssh-client \ + parallel \ + sudo \ + tar \ + unzip \ + wget \ + xvfb \ + xxd \ + zip \ + ccache \ + libgoogle-perftools-dev \ + numactl \ + zlib1g \ + jq \ + openjdk-11-jdk \ + maven \ + #sbt \# + ant \ + gradle + +#ADDED +RUN apt-get install -y apt-utils + +#ADDED: get sbt +#RUN apt-get install default-jdk -y && \ +# wget www.scala-lang.org/files/archive/scala-2.13.0.deb && \ +# dpkg -i scala*.deb && \ +# echo "deb https://dl.bintray.com/sbt/debian /" | tee -a /#etc/apt/sources.list.d/sbt.list && \ +# sudo apt-key adv --keyserver hkp://keyserver.ubuntu.com:80 #--recv 2EE0EA64E40A89B84B2DF73499E82A75642AC823 && \ +# apt-get install sbt -y && \ +# sbt test +RUN echo "deb https://dl.bintray.com/sbt/debian /" | sudo tee -a /etc/apt/sources.list.d/sbt.list && \ + curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add && \ + sudo apt-get update && \ + sudo apt-get install sbt + +# Set timezone to UTC by default +RUN ln -sf /usr/share/zoneinfo/Etc/UTC /etc/localtime + +# Use unicode +RUN locale-gen C.UTF-8 || true +ENV LANG=C.UTF-8 + +# install jq +# RUN JQ_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/jq-latest" \ +# && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/jq $JQ_URL \ +# && chmod +x /usr/bin/jq \ +# && jq --version + +# Install Docker + +# Docker.com returns the URL of the latest binary when you hit a directory listing +# We curl this URL and `grep` the version out. +# The output looks like this: + +#> # To install, run the following commands as root: +#> curl -fsSLO https://download.docker.com/linux/static/stable/x86_64/docker-17.05.0-ce.tgz && tar --strip-components=1 -xvzf docker-17.05.0-ce.tgz -C /usr/local/bin +#> +#> # Then start docker in daemon mode: +#> /usr/local/bin/dockerd + +# RUN set -ex \ +# && export DOCKER_VERSION=$(curl --silent --fail --retry 3 https://download.docker.com/linux/static/stable/x86_64/ | grep -o -e 'docker-[.0-9]*-ce\.tgz' | sort -r | head -n 1) \ +# && DOCKER_URL="https://download.docker.com/linux/static/stable/x86_64/${DOCKER_VERSION}" \ +# && echo Docker URL: $DOCKER_URL \ +# && curl --silent --show-error --location --fail --retry 3 --output /tmp/docker.tgz "${DOCKER_URL}" \ +# && ls -lha /tmp/docker.tgz \ +# && tar -xz -C /tmp -f /tmp/docker.tgz \ +# && mv /tmp/docker/* /usr/bin \ +# && rm -rf /tmp/docker /tmp/docker.tgz \ +# && which docker \ +# && (docker version || true) + +# docker compose +# RUN COMPOSE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/docker-compose-latest" \ +# && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/docker-compose $COMPOSE_URL \ +# && chmod +x /usr/bin/docker-compose \ +# && docker-compose version + +# install dockerize +# RUN DOCKERIZE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/dockerize-latest.tar.gz" \ +# && curl --silent --show-error --location --fail --retry 3 --output /tmp/dockerize-linux-amd64.tar.gz $DOCKERIZE_URL \ +# && tar -C /usr/local/bin -xzvf /tmp/dockerize-linux-amd64.tar.gz \ +# && rm -rf /tmp/dockerize-linux-amd64.tar.gz \ +# && dockerize --version + +RUN groupadd --gid 3434 riscvuser \ + && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ + && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ + && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep + +# BEGIN IMAGE CUSTOMIZATIONS + +# cacerts from OpenJDK 9-slim to workaround http://bugs.java.com/view_bug.do?bug_id=8189357 +# AND https://github.com/docker-library/openjdk/issues/145 +# +# Created by running: +# docker run --rm openjdk:9-slim cat /etc/ssl/certs/java/cacerts | # aws s3 cp - s3://circle-downloads/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts --acl public-read +# RUN if java -fullversion 2>&1 | grep -q '"9.'; then curl --silent --show-error --location --fail --retry 3 --output /etc/ssl/certs/java/cacerts https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts; fi + +# Install Maven Version: 3.6.3 +# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-maven.tar.gz https://www.apache.org/dist/maven/maven-3/3.6.3/binaries/apache-maven-3.6.3-bin.tar.gz \ +# && tar xf /tmp/apache-maven.tar.gz -C /opt/ \ +# && rm /tmp/apache-maven.tar.gz \ +# && ln -s /opt/apache-maven-* /opt/apache-maven \ +# && /opt/apache-maven/bin/mvn -version + +# Install Ant Version: 1.10.5 +# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-ant.tar.gz https://archive.apache.org/dist/ant/binaries/apache-ant-1.10.5-bin.tar.gz \ +# && tar xf /tmp/apache-ant.tar.gz -C /opt/ \ +# && ln -s /opt/apache-ant-* /opt/apache-ant \ +# && rm -rf /tmp/apache-ant.tar.gz \ +# && /opt/apache-ant/bin/ant -version + +# ENV ANT_HOME=/opt/apache-ant + +# Install Gradle Version: 5.0 +# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/gradle.zip https://services.gradle.org/distributions/gradle-5.0-bin.zip \ +# && unzip -d /opt /tmp/gradle.zip \ +# && rm /tmp/gradle.zip \ +# && ln -s /opt/gradle-* /opt/gradle \ +# && /opt/gradle/bin/gradle -version + +# Install sbt from https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz +#RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/sbt.tgz https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz \ +# && tar -xzf /tmp/sbt.tgz -C /opt/ \ +# && rm /tmp/sbt.tgz \ +# && /opt/sbt/bin/sbt sbtVersion + +# Install openjfx +RUN apt-get update +RUN apt-get install -y --no-install-recommends openjfx + +# Add build-essential +RUN apt-get install -y build-essential + +# Add RISCV toolchain necessary dependencies +RUN apt-get update +RUN apt-get install -y \ + autoconf \ + automake \ + autotools-dev \ + babeltrace \ + bc \ + curl \ + device-tree-compiler \ + expat \ + flex \ + gawk \ + gperf \ + g++ \ + libexpat-dev \ + libgmp-dev \ + libmpc-dev \ + libmpfr-dev \ + libtool \ + libusb-1.0-0-dev \ + make \ + patchutils \ + pkg-config \ + python3 \ + texinfo \ + zlib1g-dev \ + rsync \ + bison \ + verilator + + +# Use specific bison version to bypass Verilator 4.034 issues +# TODO: When Verilator is bumped, use apt to get newest bison +# RUN wget https://ftp.gnu.org/gnu/bison/bison-3.5.4.tar.gz \ +# && tar -xvf bison-3.5.4.tar.gz \ +# && cd bison-3.5.4 \ +# && ./configure && make && make install + +# Check bison version is 3.5.4 +# RUN bison --version + +# Add minimal QEMU dependencies +RUN apt-get install -y \ + libfdt-dev \ + libglib2.0-dev \ + libpixman-1-dev + +# Install verilator +# RUN git clone http://git.veripool.org/git/verilator \ +# && cd verilator \ +# && git pull \ +# && git checkout v4.034 \ +# && autoconf && ./configure && make && make install + + +# Add HOME environment variable +ENV HOME="/home/riscvuser" + +# Update PATH for RISCV toolchain (note: hardcoded for CircleCI) +ENV RISCV="$HOME/riscv-tools-install" +ENV LD_LIBRARY_PATH="$RISCV/lib" +ENV PATH="$RISCV/bin:$PATH" + +WORKDIR $HOME +USER riscvuser + +# smoke test with path +RUN mvn -version \ + && ant -version \ + && gradle -version \ + && sbt sbtVersion \ + && verilator --version + +# remove extra folders +# RUN rm -rf project/ + +# Install Chipyard +RUN git clone https://github.com/schwarz-em/chipyard.git && \ + cd chipyard && \ + export MAKEFLAGS=-"j $(nproc)" && \ + ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null + +#RUN ls $HOME/chipyard/toolchains/riscv-tools + +#RUN cd chipyard && \ +# printf '\n\n\n31\n1\n20\n' | sudo apt-get install -y #python3-pip python3.6-dev rsync libguestfs-tools expat ctags && #\ + +#RUN sudo apt install debconf-utils && \ +# dpkg-reconfigure keyboard-configuration && \ +# debconf-get-selections | grep keyboard-configuration > #selections.conf && \ +# debconf-set-selections < selections.conf && \ +# dpkg-reconfigure keyboard-configuration -f noninteractive + +# Stopping docker keyboard-config from disrupting ubuntu-req.sh +RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install keyboard-configuration && \ + sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup + + +RUN cd chipyard && \ + ./scripts/ubuntu-req.sh 1>/dev/null + +# Install riscv-tools +RUN cd chipyard && \ + export MAKEFLAGS=-"j $(nproc)" && \./scripts/build-toolchains.sh riscv-tools 1>/dev/null + +#ENTRYPOINT ["sh", "-c", "-l", "cd chipyard && . ./env.sh && #\"$@\"", "-s"] + +WORKDIR $HOME/chipyard +COPY ./entrypoint.sh entrypoint.sh +#USER root +#RUN chmod +x entrypoint.sh +#USER riscvuser +#WORKDIR $HOME +#ENTRYPOINT ["sh", "/home/riscvuser/chipyard/entrypoint.sh"] + +#env_file: ./env.sh + +#SHELL ["/bin/sh", "-c"] + +#RUN cd chipyard && \ + #git submodule update --init --recursive /home/#riscvuser/chipyard/toolchains/riscv-tools/riscv-#isa-sim +# git submodule update --init --recursive /home/riscvuser/#chipyard/toolchains/riscv-tools/riscv-gnu-toolchain + +# Install esp-tools +#RUN cd chipyard && \ +# export MAKEFLAGS=-"j $(nproc)" && \ +# ./scripts/build-toolchains.sh esp-tools 1>/dev/null + + +# END IMAGE CUSTOMIZATIONS + +CMD ["/bin/sh"] diff --git a/entrypoint.sh b/entrypoint.sh new file mode 100644 index 0000000000..e044310f8d --- /dev/null +++ b/entrypoint.sh @@ -0,0 +1,4 @@ +# adapted from https://stackoverflow.com/questions/55921914/how-to-source-a-script-with-environment-variables-in-a-docker-build-process +#!/bin/sh +. ./env.sh +exec "$@" From 8141c717fca2e2928e4d3cd13e223c10d2f54f97 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Tue, 1 Dec 2020 13:37:56 -0800 Subject: [PATCH 004/225] entrypoint.sh sets environment variables correctly. Dockerfile has all necessary steps, still needs to be cleaned up --- Dockerfile | 49 +++++++++++++++---------------------------- scripts/entrypoint.sh | 7 +++++++ 2 files changed, 24 insertions(+), 32 deletions(-) create mode 100644 scripts/entrypoint.sh diff --git a/Dockerfile b/Dockerfile index f3505d194c..7e4d2cb07a 100644 --- a/Dockerfile +++ b/Dockerfile @@ -41,25 +41,11 @@ RUN apt-get update && \ jq \ openjdk-11-jdk \ maven \ - #sbt \# ant \ gradle #ADDED RUN apt-get install -y apt-utils - -#ADDED: get sbt -#RUN apt-get install default-jdk -y && \ -# wget www.scala-lang.org/files/archive/scala-2.13.0.deb && \ -# dpkg -i scala*.deb && \ -# echo "deb https://dl.bintray.com/sbt/debian /" | tee -a /#etc/apt/sources.list.d/sbt.list && \ -# sudo apt-key adv --keyserver hkp://keyserver.ubuntu.com:80 #--recv 2EE0EA64E40A89B84B2DF73499E82A75642AC823 && \ -# apt-get install sbt -y && \ -# sbt test -RUN echo "deb https://dl.bintray.com/sbt/debian /" | sudo tee -a /etc/apt/sources.list.d/sbt.list && \ - curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add && \ - sudo apt-get update && \ - sudo apt-get install sbt # Set timezone to UTC by default RUN ln -sf /usr/share/zoneinfo/Etc/UTC /etc/localtime @@ -228,13 +214,6 @@ ENV PATH="$RISCV/bin:$PATH" WORKDIR $HOME USER riscvuser -# smoke test with path -RUN mvn -version \ - && ant -version \ - && gradle -version \ - && sbt sbtVersion \ - && verilator --version - # remove extra folders # RUN rm -rf project/ @@ -259,23 +238,34 @@ RUN git clone https://github.com/ucb-bar/chipyard.git && \ RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install keyboard-configuration && \ sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup - +# Install dependencies from ubuntu-req.sh RUN cd chipyard && \ ./scripts/ubuntu-req.sh 1>/dev/null + +# smoke test with path +RUN mvn -version \ + && ant -version \ + && gradle -version \ + && sbt sbtVersion \ + && verilator --version # Install riscv-tools RUN cd chipyard && \ export MAKEFLAGS=-"j $(nproc)" && \./scripts/build-toolchains.sh riscv-tools 1>/dev/null +# Install esp-tools +RUN cd chipyard && \ + export MAKEFLAGS=-"j $(nproc)" && \ + ./scripts/build-toolchains.sh esp-tools 1>/dev/null + #ENTRYPOINT ["sh", "-c", "-l", "cd chipyard && . ./env.sh && #\"$@\"", "-s"] -WORKDIR $HOME/chipyard -COPY ./entrypoint.sh entrypoint.sh -#USER root +#WORKDIR $HOME/chipyard +#COPY entrypoint.sh /home/riscvuser/chipyard/entrypoint.sh +#RUN sudo chown riscvuser entrypoint.sh #RUN chmod +x entrypoint.sh -#USER riscvuser #WORKDIR $HOME -#ENTRYPOINT ["sh", "/home/riscvuser/chipyard/entrypoint.sh"] +ENTRYPOINT ["/home/riscvuser/chipyard/scripts/entrypoint.sh"] #env_file: ./env.sh @@ -285,11 +275,6 @@ COPY ./entrypoint.sh entrypoint.sh #git submodule update --init --recursive /home/#riscvuser/chipyard/toolchains/riscv-tools/riscv-#isa-sim # git submodule update --init --recursive /home/riscvuser/#chipyard/toolchains/riscv-tools/riscv-gnu-toolchain -# Install esp-tools -#RUN cd chipyard && \ -# export MAKEFLAGS=-"j $(nproc)" && \ -# ./scripts/build-toolchains.sh esp-tools 1>/dev/null - # END IMAGE CUSTOMIZATIONS diff --git a/scripts/entrypoint.sh b/scripts/entrypoint.sh new file mode 100644 index 0000000000..c83661911b --- /dev/null +++ b/scripts/entrypoint.sh @@ -0,0 +1,7 @@ +#!/bin/bash + +# adapted from https://stackoverflow.com/questions/55921914/how-to-source-a-script-with-environment-variables-in-a-docker-build-process + +. /home/riscvuser/chipyard/env.sh + +exec "$@" From 77459a347d485adc7ea3fe9dd0c559a77b7b9195 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Wed, 2 Dec 2020 21:03:03 -0800 Subject: [PATCH 005/225] working version of dockerfile and entrypoint.sh --- Dockerfile | 4 +- build-clone-entrypoint.txt | 1294 +++++++++++ completed.txt | 4486 ++++++++++++++++++++++++++++++++++++ 3 files changed, 5782 insertions(+), 2 deletions(-) create mode 100644 build-clone-entrypoint.txt create mode 100644 completed.txt diff --git a/Dockerfile b/Dockerfile index 7e4d2cb07a..6edd0635ec 100644 --- a/Dockerfile +++ b/Dockerfile @@ -262,8 +262,8 @@ RUN cd chipyard && \ #WORKDIR $HOME/chipyard #COPY entrypoint.sh /home/riscvuser/chipyard/entrypoint.sh -#RUN sudo chown riscvuser entrypoint.sh -#RUN chmod +x entrypoint.sh +RUN sudo chown riscvuser /home/riscvuser/chipyard/scripts/entrypoint.sh +RUN chmod +x /home/riscvuser/chipyard/scripts/entrypoint.sh #WORKDIR $HOME ENTRYPOINT ["/home/riscvuser/chipyard/scripts/entrypoint.sh"] diff --git a/build-clone-entrypoint.txt b/build-clone-entrypoint.txt new file mode 100644 index 0000000000..5bee04c705 --- /dev/null +++ b/build-clone-entrypoint.txt @@ -0,0 +1,1294 @@ +Script started on 2020-12-01 13:38:49-08:00 [TERM="xterm-256color" TTY="/dev/pts/2" COLUMNS="157" LINES="39"] +]0;ellas@ella-pc:~/ella-chipyard/chipyard[ellas@ella-pc chipyard]$ docker run -it 76b48e0d61ea bashbuild .realpath --helpdocker build .realpath --helpdocker build .run -it 76b48e0d61ea bash docker build - < Dcoekrockfeerfile-ella +Sending build context to Docker daemon 12.29kB +Step 1/27 : FROM ubuntu:18.04 + ---> 2c047404e52d +Step 2/27 : MAINTAINER jacobgadikian@gmail.com + ---> Using cache + ---> 59cc5c3469c1 +Step 3/27 : RUN apt-get update && apt-get upgrade -y && mkdir -p /usr/share/man/man1 && apt-get install -y bzip2 ca-certificates curl git gnupg gzip libfl2 libfl-dev locales mercurial python-minimal python-pexpect-doc netcat net-tools openssh-client parallel sudo tar unzip wget xvfb xxd zip ccache libgoogle-perftools-dev numactl zlib1g jq openjdk-11-jdk maven ant gradle + ---> Using cache + ---> 656ea25b0798 +Step 4/27 : RUN apt-get install -y apt-utils + ---> Using cache + ---> 6e2c44613dc4 +Step 5/27 : RUN ln -sf /usr/share/zoneinfo/Etc/UTC /etc/localtime + ---> Using cache + ---> b4deda2bcc0d +Step 6/27 : RUN locale-gen C.UTF-8 || true + ---> Using cache + ---> 19d45d6a736c +Step 7/27 : ENV LANG=C.UTF-8 + ---> Using cache + ---> c8b35238122f +Step 8/27 : RUN groupadd --gid 3434 riscvuser && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep + ---> Using cache + ---> 430268aa3db4 +Step 9/27 : RUN apt-get update + ---> Running in 970790874da2 +Hit:1 http://archive.ubuntu.com/ubuntu bionic InRelease +Get:2 http://security.ubuntu.com/ubuntu bionic-security InRelease [88.7 kB] +Get:3 http://archive.ubuntu.com/ubuntu bionic-updates InRelease [88.7 kB] +Get:4 http://security.ubuntu.com/ubuntu bionic-security/main amd64 Packages [1800 kB] +Get:5 http://archive.ubuntu.com/ubuntu bionic-backports InRelease [74.6 kB] +Get:6 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 Packages [2131 kB] +Get:7 http://security.ubuntu.com/ubuntu bionic-security/universe amd64 Packages [1365 kB] +Get:8 http://security.ubuntu.com/ubuntu bionic-security/restricted amd64 Packages [230 kB] +Get:9 http://archive.ubuntu.com/ubuntu bionic-updates/restricted amd64 Packages [259 kB] +Get:10 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 Packages [2227 kB] +Fetched 8263 kB in 15s (549 kB/s) +Reading package lists... +Removing intermediate container 970790874da2 + ---> ede8755f3c28 +Step 10/27 : RUN apt-get install -y --no-install-recommends openjfx + ---> Running in 0551ffe5b17e +Reading package lists... +Building dependency tree... +Reading state information... +The following additional packages will be installed: + adwaita-icon-theme dconf-gsettings-backend dconf-service fontconfig + glib-networking glib-networking-common glib-networking-services + gsettings-desktop-schemas gtk-update-icon-cache hicolor-icon-theme + humanity-icon-theme libavcodec57 libavformat57 libavutil55 libbluray2 + libcairo-gobject2 libcairo2 libchromaprint1 libcolord2 libcroco3 + libcrystalhd3 libdatrie1 libdconf1 libepoxy0 libgdk-pixbuf2.0-0 + libgdk-pixbuf2.0-common libgme0 libgraphite2-3 libgsm1 libgtk-3-0 + libgtk-3-common libgtk2.0-0 libgtk2.0-common libharfbuzz0b libjbig0 + libjson-glib-1.0-0 libjson-glib-1.0-common libmp3lame0 libmpg123-0 libogg0 + libopenjfx-java libopenjfx-jni libopenjp2-7 libopenmpt0 libopus0 + libpango-1.0-0 libpangocairo-1.0-0 libpangoft2-1.0-0 libproxy1v5 + librest-0.7-0 librsvg2-2 librsvg2-common libshine3 libsnappy1v5 + libsoup-gnome2.4-1 libsoup2.4-1 libsoxr0 libspeex1 libssh-gcrypt-4 + libswresample2 libthai-data libthai0 libtheora0 libtiff5 libtwolame0 + libva-drm2 libva-x11-2 libva2 libvdpau1 libvorbis0a libvorbisenc2 + libvorbisfile3 libvpx5 libwavpack1 libwayland-client0 libwayland-cursor0 + libwayland-egl1 libwebp6 libwebpmux3 libx264-152 libx265-146 libxcb-render0 + libxcb-shm0 libxcursor1 libxkbcommon0 libxvidcore4 libzvbi-common libzvbi0 + ubuntu-mono +Suggested packages: + libbluray-bdj colord firmware-crystalhd gvfs opus-tools librsvg2-bin speex +Recommended packages: + libaacs0 libgdk-pixbuf2.0-bin libgtk-3-bin libgail-common libgtk2.0-bin + va-driver-all | va-driver vdpau-driver-all | vdpau-driver openjfx-source +The following NEW packages will be installed: + adwaita-icon-theme dconf-gsettings-backend dconf-service fontconfig + glib-networking glib-networking-common glib-networking-services + gsettings-desktop-schemas gtk-update-icon-cache hicolor-icon-theme + humanity-icon-theme libavcodec57 libavformat57 libavutil55 libbluray2 + libcairo-gobject2 libcairo2 libchromaprint1 libcolord2 libcroco3 + libcrystalhd3 libdatrie1 libdconf1 libepoxy0 libgdk-pixbuf2.0-0 + libgdk-pixbuf2.0-common libgme0 libgraphite2-3 libgsm1 libgtk-3-0 + libgtk-3-common libgtk2.0-0 libgtk2.0-common libharfbuzz0b libjbig0 + libjson-glib-1.0-0 libjson-glib-1.0-common libmp3lame0 libmpg123-0 libogg0 + libopenjfx-java libopenjfx-jni libopenjp2-7 libopenmpt0 libopus0 + libpango-1.0-0 libpangocairo-1.0-0 libpangoft2-1.0-0 libproxy1v5 + librest-0.7-0 librsvg2-2 librsvg2-common libshine3 libsnappy1v5 + libsoup-gnome2.4-1 libsoup2.4-1 libsoxr0 libspeex1 libssh-gcrypt-4 + libswresample2 libthai-data libthai0 libtheora0 libtiff5 libtwolame0 + libva-drm2 libva-x11-2 libva2 libvdpau1 libvorbis0a libvorbisenc2 + libvorbisfile3 libvpx5 libwavpack1 libwayland-client0 libwayland-cursor0 + libwayland-egl1 libwebp6 libwebpmux3 libx264-152 libx265-146 libxcb-render0 + libxcb-shm0 libxcursor1 libxkbcommon0 libxvidcore4 libzvbi-common libzvbi0 + openjfx ubuntu-mono +0 upgraded, 90 newly installed, 0 to remove and 2 not upgraded. +Need to get 50.6 MB of archives. +After this operation, 188 MB of additional disk space will be used. +Get:1 http://archive.ubuntu.com/ubuntu bionic/main amd64 fontconfig amd64 2.12.6-0ubuntu2 [169 kB] +Get:2 http://archive.ubuntu.com/ubuntu bionic/main amd64 libogg0 amd64 1.3.2-1 [17.2 kB] +Get:3 http://archive.ubuntu.com/ubuntu bionic/main amd64 hicolor-icon-theme all 0.17-2 [9976 B] +Get:4 http://archive.ubuntu.com/ubuntu bionic/main amd64 libjbig0 amd64 2.1-3.1build1 [26.7 kB] +Get:5 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libtiff5 amd64 4.0.9-5ubuntu0.3 [153 kB] +Get:6 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgdk-pixbuf2.0-common all 2.36.11-2 [4536 B] +Get:7 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgdk-pixbuf2.0-0 amd64 2.36.11-2 [165 kB] +Get:8 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 gtk-update-icon-cache amd64 3.22.30-1ubuntu4 [28.3 kB] +Get:9 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libxcb-render0 amd64 1.13-2~ubuntu18.04 [14.7 kB] +Get:10 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libxcb-shm0 amd64 1.13-2~ubuntu18.04 [5600 B] +Get:11 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libcairo2 amd64 1.15.10-2ubuntu0.1 [580 kB] +Get:12 http://archive.ubuntu.com/ubuntu bionic/main amd64 libcroco3 amd64 0.6.12-2 [81.3 kB] +Get:13 http://archive.ubuntu.com/ubuntu bionic/main amd64 libthai-data all 0.1.27-2 [133 kB] +Get:14 http://archive.ubuntu.com/ubuntu bionic/main amd64 libdatrie1 amd64 0.2.10-7 [17.8 kB] +Get:15 http://archive.ubuntu.com/ubuntu bionic/main amd64 libthai0 amd64 0.1.27-2 [18.0 kB] +Get:16 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libpango-1.0-0 amd64 1.40.14-1ubuntu0.1 [153 kB] +Get:17 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgraphite2-3 amd64 1.3.11-2 [78.7 kB] +Get:18 http://archive.ubuntu.com/ubuntu bionic/main amd64 libharfbuzz0b amd64 1.7.2-1ubuntu1 [232 kB] +Get:19 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libpangoft2-1.0-0 amd64 1.40.14-1ubuntu0.1 [33.2 kB] +Get:20 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libpangocairo-1.0-0 amd64 1.40.14-1ubuntu0.1 [20.8 kB] +Get:21 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 librsvg2-2 amd64 2.40.20-2ubuntu0.2 [98.6 kB] +Get:22 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 librsvg2-common amd64 2.40.20-2ubuntu0.2 [5064 B] +Get:23 http://archive.ubuntu.com/ubuntu bionic/main amd64 humanity-icon-theme all 0.6.15 [1250 kB] +Get:24 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 ubuntu-mono all 16.10+18.04.20181005-0ubuntu1 [149 kB] +Get:25 http://archive.ubuntu.com/ubuntu bionic/main amd64 adwaita-icon-theme all 3.28.0-1ubuntu1 [3306 kB] +Get:26 http://archive.ubuntu.com/ubuntu bionic/main amd64 libdconf1 amd64 0.26.0-2ubuntu3 [33.1 kB] +Get:27 http://archive.ubuntu.com/ubuntu bionic/main amd64 dconf-service amd64 0.26.0-2ubuntu3 [28.4 kB] +Get:28 http://archive.ubuntu.com/ubuntu bionic/main amd64 dconf-gsettings-backend amd64 0.26.0-2ubuntu3 [20.0 kB] +Get:29 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libproxy1v5 amd64 0.4.15-1ubuntu0.1 [48.3 kB] +Get:30 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 glib-networking-common all 2.56.0-1ubuntu0.1 [3476 B] +Get:31 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 glib-networking-services amd64 2.56.0-1ubuntu0.1 [8632 B] +Get:32 http://archive.ubuntu.com/ubuntu bionic/main amd64 gsettings-desktop-schemas all 3.28.0-1ubuntu1 [27.8 kB] +Get:33 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 glib-networking amd64 2.56.0-1ubuntu0.1 [56.7 kB] +Get:34 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libva2 amd64 2.1.0-3 [47.6 kB] +Get:35 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libva-drm2 amd64 2.1.0-3 [6880 B] +Get:36 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libva-x11-2 amd64 2.1.0-3 [11.5 kB] +Get:37 http://archive.ubuntu.com/ubuntu bionic/main amd64 libvdpau1 amd64 1.1.1-3ubuntu1 [25.5 kB] +Get:38 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libavutil55 amd64 7:3.4.8-0ubuntu0.2 [190 kB] +Get:39 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libcrystalhd3 amd64 1:0.0~git20110715.fdd2f19-12 [45.8 kB] +Get:40 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libgsm1 amd64 1.0.13-4build1 [22.4 kB] +Get:41 http://archive.ubuntu.com/ubuntu bionic/main amd64 libmp3lame0 amd64 3.100-2 [136 kB] +Get:42 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libopenjp2-7 amd64 2.3.0-2build0.18.04.1 [145 kB] +Get:43 http://archive.ubuntu.com/ubuntu bionic/main amd64 libopus0 amd64 1.1.2-1ubuntu1 [159 kB] +Get:44 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libshine3 amd64 3.1.1-1 [22.9 kB] +Get:45 http://archive.ubuntu.com/ubuntu bionic/main amd64 libsnappy1v5 amd64 1.1.7-1 [16.0 kB] +Get:46 http://archive.ubuntu.com/ubuntu bionic/main amd64 libspeex1 amd64 1.2~rc1.2-1ubuntu2 [52.1 kB] +Get:47 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libsoxr0 amd64 0.1.2-3 [65.9 kB] +Get:48 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libswresample2 amd64 7:3.4.8-0ubuntu0.2 [55.2 kB] +Get:49 http://archive.ubuntu.com/ubuntu bionic/main amd64 libtheora0 amd64 1.1.1+dfsg.1-14 [170 kB] +Get:50 http://archive.ubuntu.com/ubuntu bionic/main amd64 libtwolame0 amd64 0.3.13-3 [46.7 kB] +Get:51 http://archive.ubuntu.com/ubuntu bionic/main amd64 libvorbis0a amd64 1.3.5-4.2 [86.4 kB] +Get:52 http://archive.ubuntu.com/ubuntu bionic/main amd64 libvorbisenc2 amd64 1.3.5-4.2 [70.7 kB] +Get:53 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libvpx5 amd64 1.7.0-3ubuntu0.18.04.1 [796 kB] +Get:54 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libwavpack1 amd64 5.1.0-2ubuntu1.4 [76.6 kB] +Get:55 http://archive.ubuntu.com/ubuntu bionic/main amd64 libwebp6 amd64 0.6.1-2 [185 kB] +Get:56 http://archive.ubuntu.com/ubuntu bionic/main amd64 libwebpmux3 amd64 0.6.1-2 [19.6 kB] +Get:57 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libx264-152 amd64 2:0.152.2854+gite9a5903-2 [609 kB] +Get:58 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libx265-146 amd64 2.6-3 [1026 kB] +Get:59 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libxvidcore4 amd64 2:1.3.5-1 [200 kB] +Get:60 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libzvbi-common all 0.2.35-13 [32.1 kB] +Get:61 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libzvbi0 amd64 0.2.35-13 [235 kB] +Get:62 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libavcodec57 amd64 7:3.4.8-0ubuntu0.2 [4595 kB] +Get:63 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libbluray2 amd64 1:1.0.2-3 [141 kB] +Get:64 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libchromaprint1 amd64 1.4.3-1 [36.8 kB] +Get:65 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libgme0 amd64 0.6.2-1 [121 kB] +Get:66 http://archive.ubuntu.com/ubuntu bionic/main amd64 libmpg123-0 amd64 1.25.10-1 [125 kB] +Get:67 http://archive.ubuntu.com/ubuntu bionic/main amd64 libvorbisfile3 amd64 1.3.5-4.2 [16.0 kB] +Get:68 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libopenmpt0 amd64 0.3.6-1 [561 kB] +Get:69 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libssh-gcrypt-4 amd64 0.8.0~20170825.94fa1e38-1ubuntu0.7 [172 kB] +Get:70 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libavformat57 amd64 7:3.4.8-0ubuntu0.2 [953 kB] +Get:71 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libcairo-gobject2 amd64 1.15.10-2ubuntu0.1 [17.1 kB] +Get:72 http://archive.ubuntu.com/ubuntu bionic/main amd64 libcolord2 amd64 1.3.3-2build1 [107 kB] +Get:73 http://archive.ubuntu.com/ubuntu bionic/main amd64 libepoxy0 amd64 1.4.3-1 [181 kB] +Get:74 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libgtk-3-common all 3.22.30-1ubuntu4 [229 kB] +Get:75 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libjson-glib-1.0-common all 1.4.2-3ubuntu0.18.04.1 [3480 B] +Get:76 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libjson-glib-1.0-0 amd64 1.4.2-3ubuntu0.18.04.1 [58.4 kB] +Get:77 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libsoup2.4-1 amd64 2.62.1-1ubuntu0.4 [292 kB] +Get:78 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libsoup-gnome2.4-1 amd64 2.62.1-1ubuntu0.4 [5088 B] +Get:79 http://archive.ubuntu.com/ubuntu bionic/main amd64 librest-0.7-0 amd64 0.8.0-2 [31.8 kB] +Get:80 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libwayland-client0 amd64 1.16.0-1ubuntu1.1~18.04.3 [23.6 kB] +Get:81 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libwayland-cursor0 amd64 1.16.0-1ubuntu1.1~18.04.3 [10.1 kB] +Get:82 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libwayland-egl1 amd64 1.16.0-1ubuntu1.1~18.04.3 [5464 B] +Get:83 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxcursor1 amd64 1:1.1.15-1 [19.8 kB] +Get:84 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libxkbcommon0 amd64 0.8.2-1~ubuntu18.04.1 [97.8 kB] +Get:85 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libgtk-3-0 amd64 3.22.30-1ubuntu4 [2503 kB] +Get:86 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgtk2.0-common all 2.24.32-1ubuntu1 [125 kB] +Get:87 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgtk2.0-0 amd64 2.24.32-1ubuntu1 [1769 kB] +Get:88 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libopenjfx-jni amd64 11.0.2+1-1~18.04.2 [19.1 MB] +Get:89 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libopenjfx-java all 11.0.2+1-1~18.04.2 [7702 kB] +Get:90 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 openjfx amd64 11.0.2+1-1~18.04.2 [9032 B] +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +debconf: unable to initialize frontend: Readline +debconf: (This frontend requires a controlling tty.) +debconf: falling back to frontend: Teletype +dpkg-preconfigure: unable to re-open stdin: +Fetched 50.6 MB in 2min 18s (367 kB/s) +Selecting previously unselected package fontconfig. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 23466 files and directories currently installed.) +Preparing to unpack .../00-fontconfig_2.12.6-0ubuntu2_amd64.deb ... +Unpacking fontconfig (2.12.6-0ubuntu2) ... +Selecting previously unselected package libogg0:amd64. +Preparing to unpack .../01-libogg0_1.3.2-1_amd64.deb ... +Unpacking libogg0:amd64 (1.3.2-1) ... +Selecting previously unselected package hicolor-icon-theme. +Preparing to unpack .../02-hicolor-icon-theme_0.17-2_all.deb ... +Unpacking hicolor-icon-theme (0.17-2) ... +Selecting previously unselected package libjbig0:amd64. +Preparing to unpack .../03-libjbig0_2.1-3.1build1_amd64.deb ... +Unpacking libjbig0:amd64 (2.1-3.1build1) ... +Selecting previously unselected package libtiff5:amd64. +Preparing to unpack .../04-libtiff5_4.0.9-5ubuntu0.3_amd64.deb ... +Unpacking libtiff5:amd64 (4.0.9-5ubuntu0.3) ... +Selecting previously unselected package libgdk-pixbuf2.0-common. +Preparing to unpack .../05-libgdk-pixbuf2.0-common_2.36.11-2_all.deb ... +Unpacking libgdk-pixbuf2.0-common (2.36.11-2) ... +Selecting previously unselected package libgdk-pixbuf2.0-0:amd64. +Preparing to unpack .../06-libgdk-pixbuf2.0-0_2.36.11-2_amd64.deb ... +Unpacking libgdk-pixbuf2.0-0:amd64 (2.36.11-2) ... +Selecting previously unselected package gtk-update-icon-cache. +Preparing to unpack .../07-gtk-update-icon-cache_3.22.30-1ubuntu4_amd64.deb ... +No diversion 'diversion of /usr/sbin/update-icon-caches to /usr/sbin/update-icon-caches.gtk2 by libgtk-3-bin', none removed. +No diversion 'diversion of /usr/share/man/man8/update-icon-caches.8.gz to /usr/share/man/man8/update-icon-caches.gtk2.8.gz by libgtk-3-bin', none removed. +Unpacking gtk-update-icon-cache (3.22.30-1ubuntu4) ... +Selecting previously unselected package libxcb-render0:amd64. +Preparing to unpack .../08-libxcb-render0_1.13-2~ubuntu18.04_amd64.deb ... +Unpacking libxcb-render0:amd64 (1.13-2~ubuntu18.04) ... +Selecting previously unselected package libxcb-shm0:amd64. +Preparing to unpack .../09-libxcb-shm0_1.13-2~ubuntu18.04_amd64.deb ... +Unpacking libxcb-shm0:amd64 (1.13-2~ubuntu18.04) ... +Selecting previously unselected package libcairo2:amd64. +Preparing to unpack .../10-libcairo2_1.15.10-2ubuntu0.1_amd64.deb ... +Unpacking libcairo2:amd64 (1.15.10-2ubuntu0.1) ... +Selecting previously unselected package libcroco3:amd64. +Preparing to unpack .../11-libcroco3_0.6.12-2_amd64.deb ... +Unpacking libcroco3:amd64 (0.6.12-2) ... +Selecting previously unselected package libthai-data. +Preparing to unpack .../12-libthai-data_0.1.27-2_all.deb ... +Unpacking libthai-data (0.1.27-2) ... +Selecting previously unselected package libdatrie1:amd64. +Preparing to unpack .../13-libdatrie1_0.2.10-7_amd64.deb ... +Unpacking libdatrie1:amd64 (0.2.10-7) ... +Selecting previously unselected package libthai0:amd64. +Preparing to unpack .../14-libthai0_0.1.27-2_amd64.deb ... +Unpacking libthai0:amd64 (0.1.27-2) ... +Selecting previously unselected package libpango-1.0-0:amd64. +Preparing to unpack .../15-libpango-1.0-0_1.40.14-1ubuntu0.1_amd64.deb ... +Unpacking libpango-1.0-0:amd64 (1.40.14-1ubuntu0.1) ... +Selecting previously unselected package libgraphite2-3:amd64. +Preparing to unpack .../16-libgraphite2-3_1.3.11-2_amd64.deb ... +Unpacking libgraphite2-3:amd64 (1.3.11-2) ... +Selecting previously unselected package libharfbuzz0b:amd64. +Preparing to unpack .../17-libharfbuzz0b_1.7.2-1ubuntu1_amd64.deb ... +Unpacking libharfbuzz0b:amd64 (1.7.2-1ubuntu1) ... +Selecting previously unselected package libpangoft2-1.0-0:amd64. +Preparing to unpack .../18-libpangoft2-1.0-0_1.40.14-1ubuntu0.1_amd64.deb ... +Unpacking libpangoft2-1.0-0:amd64 (1.40.14-1ubuntu0.1) ... +Selecting previously unselected package libpangocairo-1.0-0:amd64. +Preparing to unpack .../19-libpangocairo-1.0-0_1.40.14-1ubuntu0.1_amd64.deb ... +Unpacking libpangocairo-1.0-0:amd64 (1.40.14-1ubuntu0.1) ... +Selecting previously unselected package librsvg2-2:amd64. +Preparing to unpack .../20-librsvg2-2_2.40.20-2ubuntu0.2_amd64.deb ... +Unpacking librsvg2-2:amd64 (2.40.20-2ubuntu0.2) ... +Selecting previously unselected package librsvg2-common:amd64. +Preparing to unpack .../21-librsvg2-common_2.40.20-2ubuntu0.2_amd64.deb ... +Unpacking librsvg2-common:amd64 (2.40.20-2ubuntu0.2) ... +Selecting previously unselected package humanity-icon-theme. +Preparing to unpack .../22-humanity-icon-theme_0.6.15_all.deb ... +Unpacking humanity-icon-theme (0.6.15) ... +Selecting previously unselected package ubuntu-mono. +Preparing to unpack .../23-ubuntu-mono_16.10+18.04.20181005-0ubuntu1_all.deb ... +Unpacking ubuntu-mono (16.10+18.04.20181005-0ubuntu1) ... +Selecting previously unselected package adwaita-icon-theme. +Preparing to unpack .../24-adwaita-icon-theme_3.28.0-1ubuntu1_all.deb ... +Unpacking adwaita-icon-theme (3.28.0-1ubuntu1) ... +Selecting previously unselected package libdconf1:amd64. +Preparing to unpack .../25-libdconf1_0.26.0-2ubuntu3_amd64.deb ... +Unpacking libdconf1:amd64 (0.26.0-2ubuntu3) ... +Selecting previously unselected package dconf-service. +Preparing to unpack .../26-dconf-service_0.26.0-2ubuntu3_amd64.deb ... +Unpacking dconf-service (0.26.0-2ubuntu3) ... +Selecting previously unselected package dconf-gsettings-backend:amd64. +Preparing to unpack .../27-dconf-gsettings-backend_0.26.0-2ubuntu3_amd64.deb ... +Unpacking dconf-gsettings-backend:amd64 (0.26.0-2ubuntu3) ... +Selecting previously unselected package libproxy1v5:amd64. +Preparing to unpack .../28-libproxy1v5_0.4.15-1ubuntu0.1_amd64.deb ... +Unpacking libproxy1v5:amd64 (0.4.15-1ubuntu0.1) ... +Selecting previously unselected package glib-networking-common. +Preparing to unpack .../29-glib-networking-common_2.56.0-1ubuntu0.1_all.deb ... +Unpacking glib-networking-common (2.56.0-1ubuntu0.1) ... +Selecting previously unselected package glib-networking-services. +Preparing to unpack .../30-glib-networking-services_2.56.0-1ubuntu0.1_amd64.deb ... +Unpacking glib-networking-services (2.56.0-1ubuntu0.1) ... +Selecting previously unselected package gsettings-desktop-schemas. +Preparing to unpack .../31-gsettings-desktop-schemas_3.28.0-1ubuntu1_all.deb ... +Unpacking gsettings-desktop-schemas (3.28.0-1ubuntu1) ... +Selecting previously unselected package glib-networking:amd64. +Preparing to unpack .../32-glib-networking_2.56.0-1ubuntu0.1_amd64.deb ... +Unpacking glib-networking:amd64 (2.56.0-1ubuntu0.1) ... +Selecting previously unselected package libva2:amd64. +Preparing to unpack .../33-libva2_2.1.0-3_amd64.deb ... +Unpacking libva2:amd64 (2.1.0-3) ... +Selecting previously unselected package libva-drm2:amd64. +Preparing to unpack .../34-libva-drm2_2.1.0-3_amd64.deb ... +Unpacking libva-drm2:amd64 (2.1.0-3) ... +Selecting previously unselected package libva-x11-2:amd64. +Preparing to unpack .../35-libva-x11-2_2.1.0-3_amd64.deb ... +Unpacking libva-x11-2:amd64 (2.1.0-3) ... +Selecting previously unselected package libvdpau1:amd64. +Preparing to unpack .../36-libvdpau1_1.1.1-3ubuntu1_amd64.deb ... +Unpacking libvdpau1:amd64 (1.1.1-3ubuntu1) ... +Selecting previously unselected package libavutil55:amd64. +Preparing to unpack .../37-libavutil55_7%3a3.4.8-0ubuntu0.2_amd64.deb ... +Unpacking libavutil55:amd64 (7:3.4.8-0ubuntu0.2) ... +Selecting previously unselected package libcrystalhd3:amd64. +Preparing to unpack .../38-libcrystalhd3_1%3a0.0~git20110715.fdd2f19-12_amd64.deb ... +Unpacking libcrystalhd3:amd64 (1:0.0~git20110715.fdd2f19-12) ... +Selecting previously unselected package libgsm1:amd64. +Preparing to unpack .../39-libgsm1_1.0.13-4build1_amd64.deb ... +Unpacking libgsm1:amd64 (1.0.13-4build1) ... +Selecting previously unselected package libmp3lame0:amd64. +Preparing to unpack .../40-libmp3lame0_3.100-2_amd64.deb ... +Unpacking libmp3lame0:amd64 (3.100-2) ... +Selecting previously unselected package libopenjp2-7:amd64. +Preparing to unpack .../41-libopenjp2-7_2.3.0-2build0.18.04.1_amd64.deb ... +Unpacking libopenjp2-7:amd64 (2.3.0-2build0.18.04.1) ... +Selecting previously unselected package libopus0:amd64. +Preparing to unpack .../42-libopus0_1.1.2-1ubuntu1_amd64.deb ... +Unpacking libopus0:amd64 (1.1.2-1ubuntu1) ... +Selecting previously unselected package libshine3:amd64. +Preparing to unpack .../43-libshine3_3.1.1-1_amd64.deb ... +Unpacking libshine3:amd64 (3.1.1-1) ... +Selecting previously unselected package libsnappy1v5:amd64. +Preparing to unpack .../44-libsnappy1v5_1.1.7-1_amd64.deb ... +Unpacking libsnappy1v5:amd64 (1.1.7-1) ... +Selecting previously unselected package libspeex1:amd64. +Preparing to unpack .../45-libspeex1_1.2~rc1.2-1ubuntu2_amd64.deb ... +Unpacking libspeex1:amd64 (1.2~rc1.2-1ubuntu2) ... +Selecting previously unselected package libsoxr0:amd64. +Preparing to unpack .../46-libsoxr0_0.1.2-3_amd64.deb ... +Unpacking libsoxr0:amd64 (0.1.2-3) ... +Selecting previously unselected package libswresample2:amd64. +Preparing to unpack .../47-libswresample2_7%3a3.4.8-0ubuntu0.2_amd64.deb ... +Unpacking libswresample2:amd64 (7:3.4.8-0ubuntu0.2) ... +Selecting previously unselected package libtheora0:amd64. +Preparing to unpack .../48-libtheora0_1.1.1+dfsg.1-14_amd64.deb ... +Unpacking libtheora0:amd64 (1.1.1+dfsg.1-14) ... +Selecting previously unselected package libtwolame0:amd64. +Preparing to unpack .../49-libtwolame0_0.3.13-3_amd64.deb ... +Unpacking libtwolame0:amd64 (0.3.13-3) ... +Selecting previously unselected package libvorbis0a:amd64. +Preparing to unpack .../50-libvorbis0a_1.3.5-4.2_amd64.deb ... +Unpacking libvorbis0a:amd64 (1.3.5-4.2) ... +Selecting previously unselected package libvorbisenc2:amd64. +Preparing to unpack .../51-libvorbisenc2_1.3.5-4.2_amd64.deb ... +Unpacking libvorbisenc2:amd64 (1.3.5-4.2) ... +Selecting previously unselected package libvpx5:amd64. +Preparing to unpack .../52-libvpx5_1.7.0-3ubuntu0.18.04.1_amd64.deb ... +Unpacking libvpx5:amd64 (1.7.0-3ubuntu0.18.04.1) ... +Selecting previously unselected package libwavpack1:amd64. +Preparing to unpack .../53-libwavpack1_5.1.0-2ubuntu1.4_amd64.deb ... +Unpacking libwavpack1:amd64 (5.1.0-2ubuntu1.4) ... +Selecting previously unselected package libwebp6:amd64. +Preparing to unpack .../54-libwebp6_0.6.1-2_amd64.deb ... +Unpacking libwebp6:amd64 (0.6.1-2) ... +Selecting previously unselected package libwebpmux3:amd64. +Preparing to unpack .../55-libwebpmux3_0.6.1-2_amd64.deb ... +Unpacking libwebpmux3:amd64 (0.6.1-2) ... +Selecting previously unselected package libx264-152:amd64. +Preparing to unpack .../56-libx264-152_2%3a0.152.2854+gite9a5903-2_amd64.deb ... +Unpacking libx264-152:amd64 (2:0.152.2854+gite9a5903-2) ... +Selecting previously unselected package libx265-146:amd64. +Preparing to unpack .../57-libx265-146_2.6-3_amd64.deb ... +Unpacking libx265-146:amd64 (2.6-3) ... +Selecting previously unselected package libxvidcore4:amd64. +Preparing to unpack .../58-libxvidcore4_2%3a1.3.5-1_amd64.deb ... +Unpacking libxvidcore4:amd64 (2:1.3.5-1) ... +Selecting previously unselected package libzvbi-common. +Preparing to unpack .../59-libzvbi-common_0.2.35-13_all.deb ... +Unpacking libzvbi-common (0.2.35-13) ... +Selecting previously unselected package libzvbi0:amd64. +Preparing to unpack .../60-libzvbi0_0.2.35-13_amd64.deb ... +Unpacking libzvbi0:amd64 (0.2.35-13) ... +Selecting previously unselected package libavcodec57:amd64. +Preparing to unpack .../61-libavcodec57_7%3a3.4.8-0ubuntu0.2_amd64.deb ... +Unpacking libavcodec57:amd64 (7:3.4.8-0ubuntu0.2) ... +Selecting previously unselected package libbluray2:amd64. +Preparing to unpack .../62-libbluray2_1%3a1.0.2-3_amd64.deb ... +Unpacking libbluray2:amd64 (1:1.0.2-3) ... +Selecting previously unselected package libchromaprint1:amd64. +Preparing to unpack .../63-libchromaprint1_1.4.3-1_amd64.deb ... +Unpacking libchromaprint1:amd64 (1.4.3-1) ... +Selecting previously unselected package libgme0:amd64. +Preparing to unpack .../64-libgme0_0.6.2-1_amd64.deb ... +Unpacking libgme0:amd64 (0.6.2-1) ... +Selecting previously unselected package libmpg123-0:amd64. +Preparing to unpack .../65-libmpg123-0_1.25.10-1_amd64.deb ... +Unpacking libmpg123-0:amd64 (1.25.10-1) ... +Selecting previously unselected package libvorbisfile3:amd64. +Preparing to unpack .../66-libvorbisfile3_1.3.5-4.2_amd64.deb ... +Unpacking libvorbisfile3:amd64 (1.3.5-4.2) ... +Selecting previously unselected package libopenmpt0:amd64. +Preparing to unpack .../67-libopenmpt0_0.3.6-1_amd64.deb ... +Unpacking libopenmpt0:amd64 (0.3.6-1) ... +Selecting previously unselected package libssh-gcrypt-4:amd64. +Preparing to unpack .../68-libssh-gcrypt-4_0.8.0~20170825.94fa1e38-1ubuntu0.7_amd64.deb ... +Unpacking libssh-gcrypt-4:amd64 (0.8.0~20170825.94fa1e38-1ubuntu0.7) ... +Selecting previously unselected package libavformat57:amd64. +Preparing to unpack .../69-libavformat57_7%3a3.4.8-0ubuntu0.2_amd64.deb ... +Unpacking libavformat57:amd64 (7:3.4.8-0ubuntu0.2) ... +Selecting previously unselected package libcairo-gobject2:amd64. +Preparing to unpack .../70-libcairo-gobject2_1.15.10-2ubuntu0.1_amd64.deb ... +Unpacking libcairo-gobject2:amd64 (1.15.10-2ubuntu0.1) ... +Selecting previously unselected package libcolord2:amd64. +Preparing to unpack .../71-libcolord2_1.3.3-2build1_amd64.deb ... +Unpacking libcolord2:amd64 (1.3.3-2build1) ... +Selecting previously unselected package libepoxy0:amd64. +Preparing to unpack .../72-libepoxy0_1.4.3-1_amd64.deb ... +Unpacking libepoxy0:amd64 (1.4.3-1) ... +Selecting previously unselected package libgtk-3-common. +Preparing to unpack .../73-libgtk-3-common_3.22.30-1ubuntu4_all.deb ... +Unpacking libgtk-3-common (3.22.30-1ubuntu4) ... +Selecting previously unselected package libjson-glib-1.0-common. +Preparing to unpack .../74-libjson-glib-1.0-common_1.4.2-3ubuntu0.18.04.1_all.deb ... +Unpacking libjson-glib-1.0-common (1.4.2-3ubuntu0.18.04.1) ... +Selecting previously unselected package libjson-glib-1.0-0:amd64. +Preparing to unpack .../75-libjson-glib-1.0-0_1.4.2-3ubuntu0.18.04.1_amd64.deb ... +Unpacking libjson-glib-1.0-0:amd64 (1.4.2-3ubuntu0.18.04.1) ... +Selecting previously unselected package libsoup2.4-1:amd64. +Preparing to unpack .../76-libsoup2.4-1_2.62.1-1ubuntu0.4_amd64.deb ... +Unpacking libsoup2.4-1:amd64 (2.62.1-1ubuntu0.4) ... +Selecting previously unselected package libsoup-gnome2.4-1:amd64. +Preparing to unpack .../77-libsoup-gnome2.4-1_2.62.1-1ubuntu0.4_amd64.deb ... +Unpacking libsoup-gnome2.4-1:amd64 (2.62.1-1ubuntu0.4) ... +Selecting previously unselected package librest-0.7-0:amd64. +Preparing to unpack .../78-librest-0.7-0_0.8.0-2_amd64.deb ... +Unpacking librest-0.7-0:amd64 (0.8.0-2) ... +Selecting previously unselected package libwayland-client0:amd64. +Preparing to unpack .../79-libwayland-client0_1.16.0-1ubuntu1.1~18.04.3_amd64.deb ... +Unpacking libwayland-client0:amd64 (1.16.0-1ubuntu1.1~18.04.3) ... +Selecting previously unselected package libwayland-cursor0:amd64. +Preparing to unpack .../80-libwayland-cursor0_1.16.0-1ubuntu1.1~18.04.3_amd64.deb ... +Unpacking libwayland-cursor0:amd64 (1.16.0-1ubuntu1.1~18.04.3) ... +Selecting previously unselected package libwayland-egl1:amd64. +Preparing to unpack .../81-libwayland-egl1_1.16.0-1ubuntu1.1~18.04.3_amd64.deb ... +Unpacking libwayland-egl1:amd64 (1.16.0-1ubuntu1.1~18.04.3) ... +Selecting previously unselected package libxcursor1:amd64. +Preparing to unpack .../82-libxcursor1_1%3a1.1.15-1_amd64.deb ... +Unpacking libxcursor1:amd64 (1:1.1.15-1) ... +Selecting previously unselected package libxkbcommon0:amd64. +Preparing to unpack .../83-libxkbcommon0_0.8.2-1~ubuntu18.04.1_amd64.deb ... +Unpacking libxkbcommon0:amd64 (0.8.2-1~ubuntu18.04.1) ... +Selecting previously unselected package libgtk-3-0:amd64. +Preparing to unpack .../84-libgtk-3-0_3.22.30-1ubuntu4_amd64.deb ... +Unpacking libgtk-3-0:amd64 (3.22.30-1ubuntu4) ... +Selecting previously unselected package libgtk2.0-common. +Preparing to unpack .../85-libgtk2.0-common_2.24.32-1ubuntu1_all.deb ... +Unpacking libgtk2.0-common (2.24.32-1ubuntu1) ... +Selecting previously unselected package libgtk2.0-0:amd64. +Preparing to unpack .../86-libgtk2.0-0_2.24.32-1ubuntu1_amd64.deb ... +Unpacking libgtk2.0-0:amd64 (2.24.32-1ubuntu1) ... +Selecting previously unselected package libopenjfx-jni. +Preparing to unpack .../87-libopenjfx-jni_11.0.2+1-1~18.04.2_amd64.deb ... +Unpacking libopenjfx-jni (11.0.2+1-1~18.04.2) ... +Selecting previously unselected package libopenjfx-java. +Preparing to unpack .../88-libopenjfx-java_11.0.2+1-1~18.04.2_all.deb ... +Unpacking libopenjfx-java (11.0.2+1-1~18.04.2) ... +Selecting previously unselected package openjfx. +Preparing to unpack .../89-openjfx_11.0.2+1-1~18.04.2_amd64.deb ... +Unpacking openjfx (11.0.2+1-1~18.04.2) ... +Setting up libtwolame0:amd64 (0.3.13-3) ... +Setting up libx264-152:amd64 (2:0.152.2854+gite9a5903-2) ... +Setting up libjson-glib-1.0-common (1.4.2-3ubuntu0.18.04.1) ... +Setting up libgtk2.0-common (2.24.32-1ubuntu1) ... +Setting up libxcb-render0:amd64 (1.13-2~ubuntu18.04) ... +Setting up libopenjp2-7:amd64 (2.3.0-2build0.18.04.1) ... +Setting up glib-networking-common (2.56.0-1ubuntu0.1) ... +Setting up libwavpack1:amd64 (5.1.0-2ubuntu1.4) ... +Setting up libjbig0:amd64 (2.1-3.1build1) ... +Setting up libsoxr0:amd64 (0.1.2-3) ... +Setting up libssh-gcrypt-4:amd64 (0.8.0~20170825.94fa1e38-1ubuntu0.7) ... +Setting up libwayland-client0:amd64 (1.16.0-1ubuntu1.1~18.04.3) ... +Setting up libproxy1v5:amd64 (0.4.15-1ubuntu0.1) ... +Setting up libbluray2:amd64 (1:1.0.2-3) ... +Setting up libvdpau1:amd64 (1.1.1-3ubuntu1) ... +Setting up libgdk-pixbuf2.0-common (2.36.11-2) ... +Setting up glib-networking-services (2.56.0-1ubuntu0.1) ... +Setting up libdatrie1:amd64 (0.2.10-7) ... +Setting up libtiff5:amd64 (4.0.9-5ubuntu0.3) ... +Setting up libshine3:amd64 (3.1.1-1) ... +Setting up libva2:amd64 (2.1.0-3) ... +Setting up libspeex1:amd64 (1.2~rc1.2-1ubuntu2) ... +Setting up libxvidcore4:amd64 (2:1.3.5-1) ... +Setting up libopus0:amd64 (1.1.2-1ubuntu1) ... +Setting up libx265-146:amd64 (2.6-3) ... +Setting up libjson-glib-1.0-0:amd64 (1.4.2-3ubuntu0.18.04.1) ... +Setting up libgraphite2-3:amd64 (1.3.11-2) ... +Setting up libcroco3:amd64 (0.6.12-2) ... +Setting up libogg0:amd64 (1.3.2-1) ... +Setting up libmp3lame0:amd64 (3.100-2) ... +Setting up libxcursor1:amd64 (1:1.1.15-1) ... +Setting up libcrystalhd3:amd64 (1:0.0~git20110715.fdd2f19-12) ... +Setting up libepoxy0:amd64 (1.4.3-1) ... +Setting up libsnappy1v5:amd64 (1.1.7-1) ... +Setting up libva-drm2:amd64 (2.1.0-3) ... +Setting up libdconf1:amd64 (0.26.0-2ubuntu3) ... +Setting up libzvbi-common (0.2.35-13) ... +Setting up libxcb-shm0:amd64 (1.13-2~ubuntu18.04) ... +Setting up libxkbcommon0:amd64 (0.8.2-1~ubuntu18.04.1) ... +Setting up libvpx5:amd64 (1.7.0-3ubuntu0.18.04.1) ... +Setting up libgme0:amd64 (0.6.2-1) ... +Setting up libcolord2:amd64 (1.3.3-2build1) ... +Setting up libthai-data (0.1.27-2) ... +Setting up libzvbi0:amd64 (0.2.35-13) ... +Setting up libva-x11-2:amd64 (2.1.0-3) ... +Setting up libvorbis0a:amd64 (1.3.5-4.2) ... +Setting up libmpg123-0:amd64 (1.25.10-1) ... +Setting up hicolor-icon-theme (0.17-2) ... +Setting up libwayland-cursor0:amd64 (1.16.0-1ubuntu1.1~18.04.3) ... +Setting up libgsm1:amd64 (1.0.13-4build1) ... +Setting up libwayland-egl1:amd64 (1.16.0-1ubuntu1.1~18.04.3) ... +Setting up fontconfig (2.12.6-0ubuntu2) ... +Regenerating fonts cache... done. +Setting up libwebp6:amd64 (0.6.1-2) ... +Setting up libvorbisfile3:amd64 (1.3.5-4.2) ... +Setting up libcairo2:amd64 (1.15.10-2ubuntu0.1) ... +Setting up libavutil55:amd64 (7:3.4.8-0ubuntu0.2) ... +Setting up dconf-service (0.26.0-2ubuntu3) ... +Setting up libopenmpt0:amd64 (0.3.6-1) ... +Setting up libgdk-pixbuf2.0-0:amd64 (2.36.11-2) ... +Setting up libcairo-gobject2:amd64 (1.15.10-2ubuntu0.1) ... +Setting up libharfbuzz0b:amd64 (1.7.2-1ubuntu1) ... +Setting up libthai0:amd64 (0.1.27-2) ... +Setting up libswresample2:amd64 (7:3.4.8-0ubuntu0.2) ... +Setting up gtk-update-icon-cache (3.22.30-1ubuntu4) ... +Setting up libwebpmux3:amd64 (0.6.1-2) ... +Setting up libpango-1.0-0:amd64 (1.40.14-1ubuntu0.1) ... +Setting up libvorbisenc2:amd64 (1.3.5-4.2) ... +Setting up libtheora0:amd64 (1.1.1+dfsg.1-14) ... +Setting up dconf-gsettings-backend:amd64 (0.26.0-2ubuntu3) ... +Setting up gsettings-desktop-schemas (3.28.0-1ubuntu1) ... +Setting up libgtk-3-common (3.22.30-1ubuntu4) ... +Setting up libpangoft2-1.0-0:amd64 (1.40.14-1ubuntu0.1) ... +Setting up libpangocairo-1.0-0:amd64 (1.40.14-1ubuntu0.1) ... +Setting up librsvg2-2:amd64 (2.40.20-2ubuntu0.2) ... +Setting up libavcodec57:amd64 (7:3.4.8-0ubuntu0.2) ... +Setting up librsvg2-common:amd64 (2.40.20-2ubuntu0.2) ... +Setting up libchromaprint1:amd64 (1.4.3-1) ... +Setting up libavformat57:amd64 (7:3.4.8-0ubuntu0.2) ... +Setting up adwaita-icon-theme (3.28.0-1ubuntu1) ... +update-alternatives: using /usr/share/icons/Adwaita/cursor.theme to provide /usr/share/icons/default/index.theme (x-cursor-theme) in auto mode +Setting up libgtk2.0-0:amd64 (2.24.32-1ubuntu1) ... +Setting up humanity-icon-theme (0.6.15) ... +Setting up ubuntu-mono (16.10+18.04.20181005-0ubuntu1) ... +Processing triggers for libglib2.0-0:amd64 (2.56.4-0ubuntu0.18.04.6) ... +Processing triggers for libc-bin (2.27-3ubuntu1.3) ... +Setting up glib-networking:amd64 (2.56.0-1ubuntu0.1) ... +Setting up libsoup2.4-1:amd64 (2.62.1-1ubuntu0.4) ... +Setting up libsoup-gnome2.4-1:amd64 (2.62.1-1ubuntu0.4) ... +Setting up librest-0.7-0:amd64 (0.8.0-2) ... +Setting up libgtk-3-0:amd64 (3.22.30-1ubuntu4) ... +Setting up libopenjfx-jni (11.0.2+1-1~18.04.2) ... +Setting up libopenjfx-java (11.0.2+1-1~18.04.2) ... +Setting up openjfx (11.0.2+1-1~18.04.2) ... +Processing triggers for libgdk-pixbuf2.0-0:amd64 (2.36.11-2) ... +Processing triggers for libc-bin (2.27-3ubuntu1.3) ... +Removing intermediate container 0551ffe5b17e + ---> 8d5398ec77a4 +Step 11/27 : RUN apt-get install -y build-essential + ---> Running in 535c07c03fb8 +Reading package lists... +Building dependency tree... +Reading state information... +The following additional packages will be installed: + dpkg-dev fakeroot g++ g++-7 libalgorithm-diff-perl libalgorithm-diff-xs-perl + libalgorithm-merge-perl libdpkg-perl libfakeroot libfile-fcntllock-perl + liblocale-gettext-perl libstdc++-7-dev make +Suggested packages: + debian-keyring g++-multilib g++-7-multilib gcc-7-doc libstdc++6-7-dbg bzr + libstdc++-7-doc make-doc +The following NEW packages will be installed: + build-essential dpkg-dev fakeroot g++ g++-7 libalgorithm-diff-perl + libalgorithm-diff-xs-perl libalgorithm-merge-perl libdpkg-perl libfakeroot + libfile-fcntllock-perl liblocale-gettext-perl libstdc++-7-dev make +0 upgraded, 14 newly installed, 0 to remove and 2 not upgraded. +Need to get 12.4 MB of archives. +After this operation, 47.6 MB of additional disk space will be used. +Get:1 http://archive.ubuntu.com/ubuntu bionic/main amd64 liblocale-gettext-perl amd64 1.07-3build2 [16.6 kB] +Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libstdc++-7-dev amd64 7.5.0-3ubuntu1~18.04 [1471 kB] +Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 g++-7 amd64 7.5.0-3ubuntu1~18.04 [9697 kB] +Get:4 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 g++ amd64 4:7.4.0-1ubuntu2.3 [1568 B] +Get:5 http://archive.ubuntu.com/ubuntu bionic/main amd64 make amd64 4.1-9.1ubuntu1 [154 kB] +Get:6 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libdpkg-perl all 1.19.0.5ubuntu2.3 [211 kB] +Get:7 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 dpkg-dev all 1.19.0.5ubuntu2.3 [607 kB] +Get:8 http://archive.ubuntu.com/ubuntu bionic/main amd64 build-essential amd64 12.4ubuntu1 [4758 B] +Get:9 http://archive.ubuntu.com/ubuntu bionic/main amd64 libfakeroot amd64 1.22-2ubuntu1 [25.9 kB] +Get:10 http://archive.ubuntu.com/ubuntu bionic/main amd64 fakeroot amd64 1.22-2ubuntu1 [62.3 kB] +Get:11 http://archive.ubuntu.com/ubuntu bionic/main amd64 libalgorithm-diff-perl all 1.19.03-1 [47.6 kB] +Get:12 http://archive.ubuntu.com/ubuntu bionic/main amd64 libalgorithm-diff-xs-perl amd64 0.04-5 [11.1 kB] +Get:13 http://archive.ubuntu.com/ubuntu bionic/main amd64 libalgorithm-merge-perl all 0.08-3 [12.0 kB] +Get:14 http://archive.ubuntu.com/ubuntu bionic/main amd64 libfile-fcntllock-perl amd64 0.22-3build2 [33.2 kB] +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +debconf: unable to initialize frontend: Readline +debconf: (This frontend requires a controlling tty.) +debconf: falling back to frontend: Teletype +dpkg-preconfigure: unable to re-open stdin: +Fetched 12.4 MB in 34s (368 kB/s) +Selecting previously unselected package liblocale-gettext-perl. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 37182 files and directories currently installed.) +Preparing to unpack .../00-liblocale-gettext-perl_1.07-3build2_amd64.deb ... +Unpacking liblocale-gettext-perl (1.07-3build2) ... +Selecting previously unselected package libstdc++-7-dev:amd64. +Preparing to unpack .../01-libstdc++-7-dev_7.5.0-3ubuntu1~18.04_amd64.deb ... +Unpacking libstdc++-7-dev:amd64 (7.5.0-3ubuntu1~18.04) ... +Selecting previously unselected package g++-7. +Preparing to unpack .../02-g++-7_7.5.0-3ubuntu1~18.04_amd64.deb ... +Unpacking g++-7 (7.5.0-3ubuntu1~18.04) ... +Selecting previously unselected package g++. +Preparing to unpack .../03-g++_4%3a7.4.0-1ubuntu2.3_amd64.deb ... +Unpacking g++ (4:7.4.0-1ubuntu2.3) ... +Selecting previously unselected package make. +Preparing to unpack .../04-make_4.1-9.1ubuntu1_amd64.deb ... +Unpacking make (4.1-9.1ubuntu1) ... +Selecting previously unselected package libdpkg-perl. +Preparing to unpack .../05-libdpkg-perl_1.19.0.5ubuntu2.3_all.deb ... +Unpacking libdpkg-perl (1.19.0.5ubuntu2.3) ... +Selecting previously unselected package dpkg-dev. +Preparing to unpack .../06-dpkg-dev_1.19.0.5ubuntu2.3_all.deb ... +Unpacking dpkg-dev (1.19.0.5ubuntu2.3) ... +Selecting previously unselected package build-essential. +Preparing to unpack .../07-build-essential_12.4ubuntu1_amd64.deb ... +Unpacking build-essential (12.4ubuntu1) ... +Selecting previously unselected package libfakeroot:amd64. +Preparing to unpack .../08-libfakeroot_1.22-2ubuntu1_amd64.deb ... +Unpacking libfakeroot:amd64 (1.22-2ubuntu1) ... +Selecting previously unselected package fakeroot. +Preparing to unpack .../09-fakeroot_1.22-2ubuntu1_amd64.deb ... +Unpacking fakeroot (1.22-2ubuntu1) ... +Selecting previously unselected package libalgorithm-diff-perl. +Preparing to unpack .../10-libalgorithm-diff-perl_1.19.03-1_all.deb ... +Unpacking libalgorithm-diff-perl (1.19.03-1) ... +Selecting previously unselected package libalgorithm-diff-xs-perl. +Preparing to unpack .../11-libalgorithm-diff-xs-perl_0.04-5_amd64.deb ... +Unpacking libalgorithm-diff-xs-perl (0.04-5) ... +Selecting previously unselected package libalgorithm-merge-perl. +Preparing to unpack .../12-libalgorithm-merge-perl_0.08-3_all.deb ... +Unpacking libalgorithm-merge-perl (0.08-3) ... +Selecting previously unselected package libfile-fcntllock-perl. +Preparing to unpack .../13-libfile-fcntllock-perl_0.22-3build2_amd64.deb ... +Unpacking libfile-fcntllock-perl (0.22-3build2) ... +Setting up make (4.1-9.1ubuntu1) ... +Setting up libdpkg-perl (1.19.0.5ubuntu2.3) ... +Setting up libstdc++-7-dev:amd64 (7.5.0-3ubuntu1~18.04) ... +Setting up libfile-fcntllock-perl (0.22-3build2) ... +Setting up dpkg-dev (1.19.0.5ubuntu2.3) ... +Setting up libfakeroot:amd64 (1.22-2ubuntu1) ... +Setting up libalgorithm-diff-perl (1.19.03-1) ... +Setting up liblocale-gettext-perl (1.07-3build2) ... +Setting up g++-7 (7.5.0-3ubuntu1~18.04) ... +Setting up fakeroot (1.22-2ubuntu1) ... +update-alternatives: using /usr/bin/fakeroot-sysv to provide /usr/bin/fakeroot (fakeroot) in auto mode +update-alternatives: warning: skip creation of /usr/share/man/man1/fakeroot.1.gz because associated file /usr/share/man/man1/fakeroot-sysv.1.gz (of link group fakeroot) doesn't exist +update-alternatives: warning: skip creation of /usr/share/man/man1/faked.1.gz because associated file /usr/share/man/man1/faked-sysv.1.gz (of link group fakeroot) doesn't exist +update-alternatives: warning: skip creation of /usr/share/man/es/man1/fakeroot.1.gz because associated file /usr/share/man/es/man1/fakeroot-sysv.1.gz (of link group fakeroot) doesn't exist +update-alternatives: warning: skip creation of /usr/share/man/es/man1/faked.1.gz because associated file /usr/share/man/es/man1/faked-sysv.1.gz (of link group fakeroot) doesn't exist +update-alternatives: warning: skip creation of /usr/share/man/fr/man1/fakeroot.1.gz because associated file /usr/share/man/fr/man1/fakeroot-sysv.1.gz (of link group fakeroot) doesn't exist +update-alternatives: warning: skip creation of /usr/share/man/fr/man1/faked.1.gz because associated file /usr/share/man/fr/man1/faked-sysv.1.gz (of link group fakeroot) doesn't exist +update-alternatives: warning: skip creation of /usr/share/man/sv/man1/fakeroot.1.gz because associated file /usr/share/man/sv/man1/fakeroot-sysv.1.gz (of link group fakeroot) doesn't exist +update-alternatives: warning: skip creation of /usr/share/man/sv/man1/faked.1.gz because associated file /usr/share/man/sv/man1/faked-sysv.1.gz (of link group fakeroot) doesn't exist +Setting up libalgorithm-merge-perl (0.08-3) ... +Setting up libalgorithm-diff-xs-perl (0.04-5) ... +Setting up g++ (4:7.4.0-1ubuntu2.3) ... +update-alternatives: using /usr/bin/g++ to provide /usr/bin/c++ (c++) in auto mode +update-alternatives: warning: skip creation of /usr/share/man/man1/c++.1.gz because associated file /usr/share/man/man1/g++.1.gz (of link group c++) doesn't exist +Setting up build-essential (12.4ubuntu1) ... +Processing triggers for ccache (3.4.1-1) ... +Updating symlinks in /usr/lib/ccache ... +Processing triggers for libc-bin (2.27-3ubuntu1.3) ... +Removing intermediate container 535c07c03fb8 + ---> 3c47ff47877d +Step 12/27 : RUN apt-get update + ---> Running in 3683d70a49b8 +Hit:1 http://security.ubuntu.com/ubuntu bionic-security InRelease +Hit:2 http://archive.ubuntu.com/ubuntu bionic InRelease +Hit:3 http://archive.ubuntu.com/ubuntu bionic-updates InRelease +Hit:4 http://archive.ubuntu.com/ubuntu bionic-backports InRelease +Reading package lists... +Removing intermediate container 3683d70a49b8 + ---> dfad74d72940 +Step 13/27 : RUN apt-get install -y autoconf automake autotools-dev babeltrace bc curl device-tree-compiler expat flex gawk gperf g++ libexpat-dev libgmp-dev libmpc-dev libmpfr-dev libtool libusb-1.0-0-dev make patchutils pkg-config python3 texinfo zlib1g-dev rsync bison verilator + ---> Running in bbc5c768dce1 +Reading package lists... +Building dependency tree... +Reading state information... +flex is already the newest version (2.6.4-6). +flex set to manually installed. +make is already the newest version (4.1-9.1ubuntu1). +make set to manually installed. +curl is already the newest version (7.58.0-2ubuntu3.10). +g++ is already the newest version (4:7.4.0-1ubuntu2.3). +g++ set to manually installed. +The following additional packages will be installed: + libauthen-sasl-perl libbabeltrace1 libbison-dev libdata-dump-perl libdw1 + libencode-locale-perl libfile-listing-perl libfont-afm-perl libgmpxx4ldbl + libhtml-form-perl libhtml-format-perl libhtml-parser-perl + libhtml-tagset-perl libhtml-tree-perl libhttp-cookies-perl + libhttp-daemon-perl libhttp-date-perl libhttp-message-perl + libhttp-negotiate-perl libio-html-perl libio-socket-ssl-perl libltdl-dev + libltdl7 liblwp-mediatypes-perl liblwp-protocol-https-perl libmailtools-perl + libmpdec2 libnet-http-perl libnet-smtp-ssl-perl libnet-ssleay-perl libpopt0 + libpython3-stdlib libpython3.6-minimal libpython3.6-stdlib + libtext-unidecode-perl libtimedate-perl libtry-tiny-perl liburi-perl + libusb-1.0-0 libusb-1.0-doc libwww-perl libwww-robotrules-perl + libxml-libxml-perl libxml-namespacesupport-perl libxml-parser-perl + libxml-sax-base-perl libxml-sax-expat-perl libxml-sax-perl + perl-openssl-defaults python3-minimal python3.6 python3.6-minimal tex-common +Suggested packages: + autoconf-archive gnu-standards autoconf-doc gettext bison-doc gawk-doc + libdigest-hmac-perl libgssapi-perl gmp-doc libgmp10-doc libtool-doc + libcrypt-ssleay-perl libmpfr-doc gfortran | fortran95-compiler gcj-jdk + libauthen-ntlm-perl python3-doc python3-tk python3-venv python3.6-venv + python3.6-doc binfmt-support openssh-server debhelper texlive-base + texlive-latex-base texlive-generic-recommended texinfo-doc-nonfree + texlive-fonts-recommended gtkwave systemc +The following NEW packages will be installed: + autoconf automake autotools-dev babeltrace bc bison device-tree-compiler + expat gawk gperf libauthen-sasl-perl libbabeltrace1 libbison-dev + libdata-dump-perl libdw1 libencode-locale-perl libexpat1-dev + libfile-listing-perl libfont-afm-perl libgmp-dev libgmpxx4ldbl + libhtml-form-perl libhtml-format-perl libhtml-parser-perl + libhtml-tagset-perl libhtml-tree-perl libhttp-cookies-perl + libhttp-daemon-perl libhttp-date-perl libhttp-message-perl + libhttp-negotiate-perl libio-html-perl libio-socket-ssl-perl libltdl-dev + libltdl7 liblwp-mediatypes-perl liblwp-protocol-https-perl libmailtools-perl + libmpc-dev libmpdec2 libmpfr-dev libnet-http-perl libnet-smtp-ssl-perl + libnet-ssleay-perl libpopt0 libpython3-stdlib libpython3.6-minimal + libpython3.6-stdlib libtext-unidecode-perl libtimedate-perl libtool + libtry-tiny-perl liburi-perl libusb-1.0-0 libusb-1.0-0-dev libusb-1.0-doc + libwww-perl libwww-robotrules-perl libxml-libxml-perl + libxml-namespacesupport-perl libxml-parser-perl libxml-sax-base-perl + libxml-sax-expat-perl libxml-sax-perl patchutils perl-openssl-defaults + pkg-config python3 python3-minimal python3.6 python3.6-minimal rsync + tex-common texinfo verilator zlib1g-dev +0 upgraded, 76 newly installed, 0 to remove and 2 not upgraded. +Need to get 14.9 MB of archives. +After this operation, 70.1 MB of additional disk space will be used. +Get:1 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libpython3.6-minimal amd64 3.6.9-1~18.04ubuntu1.3 [533 kB] +Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 python3.6-minimal amd64 3.6.9-1~18.04ubuntu1.3 [1609 kB] +Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 python3-minimal amd64 3.6.7-1~18.04 [23.7 kB] +Get:4 http://archive.ubuntu.com/ubuntu bionic/main amd64 libmpdec2 amd64 2.4.2-1ubuntu1 [84.1 kB] +Get:5 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libpython3.6-stdlib amd64 3.6.9-1~18.04ubuntu1.3 [1713 kB] +Get:6 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 python3.6 amd64 3.6.9-1~18.04ubuntu1.3 [203 kB] +Get:7 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libpython3-stdlib amd64 3.6.7-1~18.04 [7240 B] +Get:8 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 python3 amd64 3.6.7-1~18.04 [47.2 kB] +Get:9 http://archive.ubuntu.com/ubuntu bionic/main amd64 gawk amd64 1:4.1.4+dfsg-1build1 [401 kB] +Get:10 http://archive.ubuntu.com/ubuntu bionic/main amd64 tex-common all 6.09 [33.0 kB] +Get:11 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpopt0 amd64 1.16-11 [26.2 kB] +Get:12 http://archive.ubuntu.com/ubuntu bionic/main amd64 libusb-1.0-0 amd64 2:1.0.21-2 [43.3 kB] +Get:13 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 rsync amd64 3.1.2-2.1ubuntu1.1 [334 kB] +Get:14 http://archive.ubuntu.com/ubuntu bionic/main amd64 autoconf all 2.69-11 [322 kB] +Get:15 http://archive.ubuntu.com/ubuntu bionic/main amd64 autotools-dev all 20180224.1 [39.6 kB] +Get:16 http://archive.ubuntu.com/ubuntu bionic/main amd64 automake all 1:1.15.1-3ubuntu2 [509 kB] +Get:17 http://archive.ubuntu.com/ubuntu bionic/main amd64 bc amd64 1.07.1-2 [86.2 kB] +Get:18 http://archive.ubuntu.com/ubuntu bionic/main amd64 libbison-dev amd64 2:3.0.4.dfsg-1build1 [339 kB] +Get:19 http://archive.ubuntu.com/ubuntu bionic/main amd64 bison amd64 2:3.0.4.dfsg-1build1 [266 kB] +Get:20 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 expat amd64 2.2.5-3ubuntu0.2 [15.0 kB] +Get:21 http://archive.ubuntu.com/ubuntu bionic/universe amd64 gperf amd64 3.1-1 [103 kB] +Get:22 http://archive.ubuntu.com/ubuntu bionic/main amd64 libdata-dump-perl all 1.23-1 [27.0 kB] +Get:23 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libdw1 amd64 0.170-0.4ubuntu0.1 [203 kB] +Get:24 http://archive.ubuntu.com/ubuntu bionic/main amd64 libencode-locale-perl all 1.05-1 [12.3 kB] +Get:25 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libexpat1-dev amd64 2.2.5-3ubuntu0.2 [122 kB] +Get:26 http://archive.ubuntu.com/ubuntu bionic/main amd64 libtimedate-perl all 2.3000-2 [37.5 kB] +Get:27 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhttp-date-perl all 6.02-1 [10.4 kB] +Get:28 http://archive.ubuntu.com/ubuntu bionic/main amd64 libfile-listing-perl all 6.04-1 [9774 B] +Get:29 http://archive.ubuntu.com/ubuntu bionic/main amd64 libfont-afm-perl all 1.20-2 [13.2 kB] +Get:30 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgmpxx4ldbl amd64 2:6.1.2+dfsg-2 [8964 B] +Get:31 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgmp-dev amd64 2:6.1.2+dfsg-2 [316 kB] +Get:32 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhtml-tagset-perl all 3.20-3 [12.1 kB] +Get:33 http://archive.ubuntu.com/ubuntu bionic/main amd64 liburi-perl all 1.73-1 [77.2 kB] +Get:34 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhtml-parser-perl amd64 3.72-3build1 [85.9 kB] +Get:35 http://archive.ubuntu.com/ubuntu bionic/main amd64 libio-html-perl all 1.001-1 [14.9 kB] +Get:36 http://archive.ubuntu.com/ubuntu bionic/main amd64 liblwp-mediatypes-perl all 6.02-1 [21.7 kB] +Get:37 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhttp-message-perl all 6.14-1 [72.1 kB] +Get:38 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhtml-form-perl all 6.03-1 [23.5 kB] +Get:39 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhtml-tree-perl all 5.07-1 [200 kB] +Get:40 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhtml-format-perl all 2.12-1 [41.3 kB] +Get:41 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhttp-cookies-perl all 6.04-1 [17.2 kB] +Get:42 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhttp-daemon-perl all 6.01-1 [17.0 kB] +Get:43 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhttp-negotiate-perl all 6.00-2 [13.4 kB] +Get:44 http://archive.ubuntu.com/ubuntu bionic/main amd64 perl-openssl-defaults amd64 3build1 [7012 B] +Get:45 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libnet-ssleay-perl amd64 1.84-1ubuntu0.2 [283 kB] +Get:46 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libio-socket-ssl-perl all 2.060-3~ubuntu18.04.1 [173 kB] +Get:47 http://archive.ubuntu.com/ubuntu bionic/main amd64 libltdl7 amd64 2.4.6-2 [38.8 kB] +Get:48 http://archive.ubuntu.com/ubuntu bionic/main amd64 libltdl-dev amd64 2.4.6-2 [162 kB] +Get:49 http://archive.ubuntu.com/ubuntu bionic/main amd64 libnet-http-perl all 6.17-1 [22.7 kB] +Get:50 http://archive.ubuntu.com/ubuntu bionic/main amd64 libtry-tiny-perl all 0.30-1 [20.5 kB] +Get:51 http://archive.ubuntu.com/ubuntu bionic/main amd64 libwww-robotrules-perl all 6.01-1 [14.1 kB] +Get:52 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libwww-perl all 6.31-1ubuntu0.1 [137 kB] +Get:53 http://archive.ubuntu.com/ubuntu bionic/main amd64 liblwp-protocol-https-perl all 6.07-2 [8284 B] +Get:54 http://archive.ubuntu.com/ubuntu bionic/main amd64 libnet-smtp-ssl-perl all 1.04-1 [5948 B] +Get:55 http://archive.ubuntu.com/ubuntu bionic/main amd64 libmailtools-perl all 2.18-1 [74.0 kB] +Get:56 http://archive.ubuntu.com/ubuntu bionic/main amd64 libmpfr-dev amd64 4.0.1-1 [249 kB] +Get:57 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libtext-unidecode-perl all 1.30-1 [99.0 kB] +Get:58 http://archive.ubuntu.com/ubuntu bionic/main amd64 libtool all 2.4.6-2 [194 kB] +Get:59 http://archive.ubuntu.com/ubuntu bionic/main amd64 libusb-1.0-0-dev amd64 2:1.0.21-2 [60.5 kB] +Get:60 http://archive.ubuntu.com/ubuntu bionic/main amd64 libusb-1.0-doc all 2:1.0.21-2 [170 kB] +Get:61 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxml-namespacesupport-perl all 1.12-1 [13.2 kB] +Get:62 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxml-sax-base-perl all 1.09-1 [18.8 kB] +Get:63 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxml-sax-perl all 0.99+dfsg-2ubuntu1 [64.6 kB] +Get:64 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxml-libxml-perl amd64 2.0128+dfsg-5 [316 kB] +Get:65 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxml-parser-perl amd64 2.44-2build3 [199 kB] +Get:66 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxml-sax-expat-perl all 0.40-2 [11.5 kB] +Get:67 http://archive.ubuntu.com/ubuntu bionic/main amd64 patchutils amd64 0.3.4-2 [71.1 kB] +Get:68 http://archive.ubuntu.com/ubuntu bionic/main amd64 pkg-config amd64 0.29.1-0ubuntu2 [45.0 kB] +Get:69 http://archive.ubuntu.com/ubuntu bionic/universe amd64 texinfo amd64 6.5.0.dfsg.1-2 [752 kB] +Get:70 http://archive.ubuntu.com/ubuntu bionic/universe amd64 verilator amd64 3.916-1build1 [2878 kB] +Get:71 http://archive.ubuntu.com/ubuntu bionic/main amd64 zlib1g-dev amd64 1:1.2.11.dfsg-0ubuntu2 [176 kB] +Get:72 http://archive.ubuntu.com/ubuntu bionic/main amd64 libbabeltrace1 amd64 1.5.5-1 [154 kB] +Get:73 http://archive.ubuntu.com/ubuntu bionic/universe amd64 babeltrace amd64 1.5.5-1 [26.1 kB] +Get:74 http://archive.ubuntu.com/ubuntu bionic/main amd64 device-tree-compiler amd64 1.4.5-3 [239 kB] +Get:75 http://archive.ubuntu.com/ubuntu bionic/main amd64 libauthen-sasl-perl all 2.1600-1 [48.7 kB] +Get:76 http://archive.ubuntu.com/ubuntu bionic/main amd64 libmpc-dev amd64 1.1.0-1 [50.5 kB] +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +debconf: unable to initialize frontend: Readline +debconf: (This frontend requires a controlling tty.) +debconf: falling back to frontend: Teletype +dpkg-preconfigure: unable to re-open stdin: +Fetched 14.9 MB in 4s (3788 kB/s) +Selecting previously unselected package libpython3.6-minimal:amd64. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 38497 files and directories currently installed.) +Preparing to unpack .../libpython3.6-minimal_3.6.9-1~18.04ubuntu1.3_amd64.deb ... +Unpacking libpython3.6-minimal:amd64 (3.6.9-1~18.04ubuntu1.3) ... +Selecting previously unselected package python3.6-minimal. +Preparing to unpack .../python3.6-minimal_3.6.9-1~18.04ubuntu1.3_amd64.deb ... +Unpacking python3.6-minimal (3.6.9-1~18.04ubuntu1.3) ... +Setting up libpython3.6-minimal:amd64 (3.6.9-1~18.04ubuntu1.3) ... +Setting up python3.6-minimal (3.6.9-1~18.04ubuntu1.3) ... +Selecting previously unselected package python3-minimal. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 38735 files and directories currently installed.) +Preparing to unpack .../python3-minimal_3.6.7-1~18.04_amd64.deb ... +Unpacking python3-minimal (3.6.7-1~18.04) ... +Selecting previously unselected package libmpdec2:amd64. +Preparing to unpack .../libmpdec2_2.4.2-1ubuntu1_amd64.deb ... +Unpacking libmpdec2:amd64 (2.4.2-1ubuntu1) ... +Selecting previously unselected package libpython3.6-stdlib:amd64. +Preparing to unpack .../libpython3.6-stdlib_3.6.9-1~18.04ubuntu1.3_amd64.deb ... +Unpacking libpython3.6-stdlib:amd64 (3.6.9-1~18.04ubuntu1.3) ... +Selecting previously unselected package python3.6. +Preparing to unpack .../python3.6_3.6.9-1~18.04ubuntu1.3_amd64.deb ... +Unpacking python3.6 (3.6.9-1~18.04ubuntu1.3) ... +Selecting previously unselected package libpython3-stdlib:amd64. +Preparing to unpack .../libpython3-stdlib_3.6.7-1~18.04_amd64.deb ... +Unpacking libpython3-stdlib:amd64 (3.6.7-1~18.04) ... +Setting up python3-minimal (3.6.7-1~18.04) ... +Selecting previously unselected package python3. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 39139 files and directories currently installed.) +Preparing to unpack .../00-python3_3.6.7-1~18.04_amd64.deb ... +Unpacking python3 (3.6.7-1~18.04) ... +Selecting previously unselected package gawk. +Preparing to unpack .../01-gawk_1%3a4.1.4+dfsg-1build1_amd64.deb ... +Unpacking gawk (1:4.1.4+dfsg-1build1) ... +Selecting previously unselected package tex-common. +Preparing to unpack .../02-tex-common_6.09_all.deb ... +Unpacking tex-common (6.09) ... +Selecting previously unselected package libpopt0:amd64. +Preparing to unpack .../03-libpopt0_1.16-11_amd64.deb ... +Unpacking libpopt0:amd64 (1.16-11) ... +Selecting previously unselected package libusb-1.0-0:amd64. +Preparing to unpack .../04-libusb-1.0-0_2%3a1.0.21-2_amd64.deb ... +Unpacking libusb-1.0-0:amd64 (2:1.0.21-2) ... +Selecting previously unselected package rsync. +Preparing to unpack .../05-rsync_3.1.2-2.1ubuntu1.1_amd64.deb ... +Unpacking rsync (3.1.2-2.1ubuntu1.1) ... +Selecting previously unselected package autoconf. +Preparing to unpack .../06-autoconf_2.69-11_all.deb ... +Unpacking autoconf (2.69-11) ... +Selecting previously unselected package autotools-dev. +Preparing to unpack .../07-autotools-dev_20180224.1_all.deb ... +Unpacking autotools-dev (20180224.1) ... +Selecting previously unselected package automake. +Preparing to unpack .../08-automake_1%3a1.15.1-3ubuntu2_all.deb ... +Unpacking automake (1:1.15.1-3ubuntu2) ... +Selecting previously unselected package bc. +Preparing to unpack .../09-bc_1.07.1-2_amd64.deb ... +Unpacking bc (1.07.1-2) ... +Selecting previously unselected package libbison-dev:amd64. +Preparing to unpack .../10-libbison-dev_2%3a3.0.4.dfsg-1build1_amd64.deb ... +Unpacking libbison-dev:amd64 (2:3.0.4.dfsg-1build1) ... +Selecting previously unselected package bison. +Preparing to unpack .../11-bison_2%3a3.0.4.dfsg-1build1_amd64.deb ... +Unpacking bison (2:3.0.4.dfsg-1build1) ... +Selecting previously unselected package expat. +Preparing to unpack .../12-expat_2.2.5-3ubuntu0.2_amd64.deb ... +Unpacking expat (2.2.5-3ubuntu0.2) ... +Selecting previously unselected package gperf. +Preparing to unpack .../13-gperf_3.1-1_amd64.deb ... +Unpacking gperf (3.1-1) ... +Selecting previously unselected package libdata-dump-perl. +Preparing to unpack .../14-libdata-dump-perl_1.23-1_all.deb ... +Unpacking libdata-dump-perl (1.23-1) ... +Selecting previously unselected package libdw1:amd64. +Preparing to unpack .../15-libdw1_0.170-0.4ubuntu0.1_amd64.deb ... +Unpacking libdw1:amd64 (0.170-0.4ubuntu0.1) ... +Selecting previously unselected package libencode-locale-perl. +Preparing to unpack .../16-libencode-locale-perl_1.05-1_all.deb ... +Unpacking libencode-locale-perl (1.05-1) ... +Selecting previously unselected package libexpat1-dev:amd64. +Preparing to unpack .../17-libexpat1-dev_2.2.5-3ubuntu0.2_amd64.deb ... +Unpacking libexpat1-dev:amd64 (2.2.5-3ubuntu0.2) ... +Selecting previously unselected package libtimedate-perl. +Preparing to unpack .../18-libtimedate-perl_2.3000-2_all.deb ... +Unpacking libtimedate-perl (2.3000-2) ... +Selecting previously unselected package libhttp-date-perl. +Preparing to unpack .../19-libhttp-date-perl_6.02-1_all.deb ... +Unpacking libhttp-date-perl (6.02-1) ... +Selecting previously unselected package libfile-listing-perl. +Preparing to unpack .../20-libfile-listing-perl_6.04-1_all.deb ... +Unpacking libfile-listing-perl (6.04-1) ... +Selecting previously unselected package libfont-afm-perl. +Preparing to unpack .../21-libfont-afm-perl_1.20-2_all.deb ... +Unpacking libfont-afm-perl (1.20-2) ... +Selecting previously unselected package libgmpxx4ldbl:amd64. +Preparing to unpack .../22-libgmpxx4ldbl_2%3a6.1.2+dfsg-2_amd64.deb ... +Unpacking libgmpxx4ldbl:amd64 (2:6.1.2+dfsg-2) ... +Selecting previously unselected package libgmp-dev:amd64. +Preparing to unpack .../23-libgmp-dev_2%3a6.1.2+dfsg-2_amd64.deb ... +Unpacking libgmp-dev:amd64 (2:6.1.2+dfsg-2) ... +Selecting previously unselected package libhtml-tagset-perl. +Preparing to unpack .../24-libhtml-tagset-perl_3.20-3_all.deb ... +Unpacking libhtml-tagset-perl (3.20-3) ... +Selecting previously unselected package liburi-perl. +Preparing to unpack .../25-liburi-perl_1.73-1_all.deb ... +Unpacking liburi-perl (1.73-1) ... +Selecting previously unselected package libhtml-parser-perl. +Preparing to unpack .../26-libhtml-parser-perl_3.72-3build1_amd64.deb ... +Unpacking libhtml-parser-perl (3.72-3build1) ... +Selecting previously unselected package libio-html-perl. +Preparing to unpack .../27-libio-html-perl_1.001-1_all.deb ... +Unpacking libio-html-perl (1.001-1) ... +Selecting previously unselected package liblwp-mediatypes-perl. +Preparing to unpack .../28-liblwp-mediatypes-perl_6.02-1_all.deb ... +Unpacking liblwp-mediatypes-perl (6.02-1) ... +Selecting previously unselected package libhttp-message-perl. +Preparing to unpack .../29-libhttp-message-perl_6.14-1_all.deb ... +Unpacking libhttp-message-perl (6.14-1) ... +Selecting previously unselected package libhtml-form-perl. +Preparing to unpack .../30-libhtml-form-perl_6.03-1_all.deb ... +Unpacking libhtml-form-perl (6.03-1) ... +Selecting previously unselected package libhtml-tree-perl. +Preparing to unpack .../31-libhtml-tree-perl_5.07-1_all.deb ... +Unpacking libhtml-tree-perl (5.07-1) ... +Selecting previously unselected package libhtml-format-perl. +Preparing to unpack .../32-libhtml-format-perl_2.12-1_all.deb ... +Unpacking libhtml-format-perl (2.12-1) ... +Selecting previously unselected package libhttp-cookies-perl. +Preparing to unpack .../33-libhttp-cookies-perl_6.04-1_all.deb ... +Unpacking libhttp-cookies-perl (6.04-1) ... +Selecting previously unselected package libhttp-daemon-perl. +Preparing to unpack .../34-libhttp-daemon-perl_6.01-1_all.deb ... +Unpacking libhttp-daemon-perl (6.01-1) ... +Selecting previously unselected package libhttp-negotiate-perl. +Preparing to unpack .../35-libhttp-negotiate-perl_6.00-2_all.deb ... +Unpacking libhttp-negotiate-perl (6.00-2) ... +Selecting previously unselected package perl-openssl-defaults:amd64. +Preparing to unpack .../36-perl-openssl-defaults_3build1_amd64.deb ... +Unpacking perl-openssl-defaults:amd64 (3build1) ... +Selecting previously unselected package libnet-ssleay-perl. +Preparing to unpack .../37-libnet-ssleay-perl_1.84-1ubuntu0.2_amd64.deb ... +Unpacking libnet-ssleay-perl (1.84-1ubuntu0.2) ... +Selecting previously unselected package libio-socket-ssl-perl. +Preparing to unpack .../38-libio-socket-ssl-perl_2.060-3~ubuntu18.04.1_all.deb ... +Unpacking libio-socket-ssl-perl (2.060-3~ubuntu18.04.1) ... +Selecting previously unselected package libltdl7:amd64. +Preparing to unpack .../39-libltdl7_2.4.6-2_amd64.deb ... +Unpacking libltdl7:amd64 (2.4.6-2) ... +Selecting previously unselected package libltdl-dev:amd64. +Preparing to unpack .../40-libltdl-dev_2.4.6-2_amd64.deb ... +Unpacking libltdl-dev:amd64 (2.4.6-2) ... +Selecting previously unselected package libnet-http-perl. +Preparing to unpack .../41-libnet-http-perl_6.17-1_all.deb ... +Unpacking libnet-http-perl (6.17-1) ... +Selecting previously unselected package libtry-tiny-perl. +Preparing to unpack .../42-libtry-tiny-perl_0.30-1_all.deb ... +Unpacking libtry-tiny-perl (0.30-1) ... +Selecting previously unselected package libwww-robotrules-perl. +Preparing to unpack .../43-libwww-robotrules-perl_6.01-1_all.deb ... +Unpacking libwww-robotrules-perl (6.01-1) ... +Selecting previously unselected package libwww-perl. +Preparing to unpack .../44-libwww-perl_6.31-1ubuntu0.1_all.deb ... +Unpacking libwww-perl (6.31-1ubuntu0.1) ... +Selecting previously unselected package liblwp-protocol-https-perl. +Preparing to unpack .../45-liblwp-protocol-https-perl_6.07-2_all.deb ... +Unpacking liblwp-protocol-https-perl (6.07-2) ... +Selecting previously unselected package libnet-smtp-ssl-perl. +Preparing to unpack .../46-libnet-smtp-ssl-perl_1.04-1_all.deb ... +Unpacking libnet-smtp-ssl-perl (1.04-1) ... +Selecting previously unselected package libmailtools-perl. +Preparing to unpack .../47-libmailtools-perl_2.18-1_all.deb ... +Unpacking libmailtools-perl (2.18-1) ... +Selecting previously unselected package libmpfr-dev:amd64. +Preparing to unpack .../48-libmpfr-dev_4.0.1-1_amd64.deb ... +Unpacking libmpfr-dev:amd64 (4.0.1-1) ... +Selecting previously unselected package libtext-unidecode-perl. +Preparing to unpack .../49-libtext-unidecode-perl_1.30-1_all.deb ... +Unpacking libtext-unidecode-perl (1.30-1) ... +Selecting previously unselected package libtool. +Preparing to unpack .../50-libtool_2.4.6-2_all.deb ... +Unpacking libtool (2.4.6-2) ... +Selecting previously unselected package libusb-1.0-0-dev:amd64. +Preparing to unpack .../51-libusb-1.0-0-dev_2%3a1.0.21-2_amd64.deb ... +Unpacking libusb-1.0-0-dev:amd64 (2:1.0.21-2) ... +Selecting previously unselected package libusb-1.0-doc. +Preparing to unpack .../52-libusb-1.0-doc_2%3a1.0.21-2_all.deb ... +Unpacking libusb-1.0-doc (2:1.0.21-2) ... +Selecting previously unselected package libxml-namespacesupport-perl. +Preparing to unpack .../53-libxml-namespacesupport-perl_1.12-1_all.deb ... +Unpacking libxml-namespacesupport-perl (1.12-1) ... +Selecting previously unselected package libxml-sax-base-perl. +Preparing to unpack .../54-libxml-sax-base-perl_1.09-1_all.deb ... +Unpacking libxml-sax-base-perl (1.09-1) ... +Selecting previously unselected package libxml-sax-perl. +Preparing to unpack .../55-libxml-sax-perl_0.99+dfsg-2ubuntu1_all.deb ... +Unpacking libxml-sax-perl (0.99+dfsg-2ubuntu1) ... +Selecting previously unselected package libxml-libxml-perl. +Preparing to unpack .../56-libxml-libxml-perl_2.0128+dfsg-5_amd64.deb ... +Unpacking libxml-libxml-perl (2.0128+dfsg-5) ... +Selecting previously unselected package libxml-parser-perl. +Preparing to unpack .../57-libxml-parser-perl_2.44-2build3_amd64.deb ... +Unpacking libxml-parser-perl (2.44-2build3) ... +Selecting previously unselected package libxml-sax-expat-perl. +Preparing to unpack .../58-libxml-sax-expat-perl_0.40-2_all.deb ... +Unpacking libxml-sax-expat-perl (0.40-2) ... +Selecting previously unselected package patchutils. +Preparing to unpack .../59-patchutils_0.3.4-2_amd64.deb ... +Unpacking patchutils (0.3.4-2) ... +Selecting previously unselected package pkg-config. +Preparing to unpack .../60-pkg-config_0.29.1-0ubuntu2_amd64.deb ... +Unpacking pkg-config (0.29.1-0ubuntu2) ... +Selecting previously unselected package texinfo. +Preparing to unpack .../61-texinfo_6.5.0.dfsg.1-2_amd64.deb ... +Unpacking texinfo (6.5.0.dfsg.1-2) ... +Selecting previously unselected package verilator. +Preparing to unpack .../62-verilator_3.916-1build1_amd64.deb ... +Unpacking verilator (3.916-1build1) ... +Selecting previously unselected package zlib1g-dev:amd64. +Preparing to unpack .../63-zlib1g-dev_1%3a1.2.11.dfsg-0ubuntu2_amd64.deb ... +Unpacking zlib1g-dev:amd64 (1:1.2.11.dfsg-0ubuntu2) ... +Selecting previously unselected package libbabeltrace1:amd64. +Preparing to unpack .../64-libbabeltrace1_1.5.5-1_amd64.deb ... +Unpacking libbabeltrace1:amd64 (1.5.5-1) ... +Selecting previously unselected package babeltrace. +Preparing to unpack .../65-babeltrace_1.5.5-1_amd64.deb ... +Unpacking babeltrace (1.5.5-1) ... +Selecting previously unselected package device-tree-compiler. +Preparing to unpack .../66-device-tree-compiler_1.4.5-3_amd64.deb ... +Unpacking device-tree-compiler (1.4.5-3) ... +Selecting previously unselected package libauthen-sasl-perl. +Preparing to unpack .../67-libauthen-sasl-perl_2.1600-1_all.deb ... +Unpacking libauthen-sasl-perl (2.1600-1) ... +Selecting previously unselected package libmpc-dev:amd64. +Preparing to unpack .../68-libmpc-dev_1.1.0-1_amd64.deb ... +Unpacking libmpc-dev:amd64 (1.1.0-1) ... +Setting up libhtml-tagset-perl (3.20-3) ... +Setting up libpopt0:amd64 (1.16-11) ... +Setting up libtry-tiny-perl (0.30-1) ... +Setting up libfont-afm-perl (1.20-2) ... +Setting up tex-common (6.09) ... +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +update-language: texlive-base not installed and configured, doing nothing! +Setting up libxml-namespacesupport-perl (1.12-1) ... +Setting up libencode-locale-perl (1.05-1) ... +Setting up libdw1:amd64 (0.170-0.4ubuntu0.1) ... +Setting up libusb-1.0-doc (2:1.0.21-2) ... +Setting up libtimedate-perl (2.3000-2) ... +Setting up perl-openssl-defaults:amd64 (3build1) ... +Setting up expat (2.2.5-3ubuntu0.2) ... +Setting up libio-html-perl (1.001-1) ... +Setting up libtext-unidecode-perl (1.30-1) ... +Setting up autoconf (2.69-11) ... +Setting up gperf (3.1-1) ... +Setting up gawk (1:4.1.4+dfsg-1build1) ... +Setting up rsync (3.1.2-2.1ubuntu1.1) ... +invoke-rc.d: could not determine current runlevel +invoke-rc.d: policy-rc.d denied execution of restart. +Setting up libxml-sax-base-perl (1.09-1) ... +Setting up pkg-config (0.29.1-0ubuntu2) ... +Setting up libusb-1.0-0:amd64 (2:1.0.21-2) ... +Setting up liblwp-mediatypes-perl (6.02-1) ... +Setting up patchutils (0.3.4-2) ... +Setting up autotools-dev (20180224.1) ... +Setting up liburi-perl (1.73-1) ... +Setting up libdata-dump-perl (1.23-1) ... +Setting up libusb-1.0-0-dev:amd64 (2:1.0.21-2) ... +Setting up libltdl7:amd64 (2.4.6-2) ... +Setting up libhtml-parser-perl (3.72-3build1) ... +Setting up automake (1:1.15.1-3ubuntu2) ... +update-alternatives: using /usr/bin/automake-1.15 to provide /usr/bin/automake (automake) in auto mode +update-alternatives: warning: skip creation of /usr/share/man/man1/automake.1.gz because associated file /usr/share/man/man1/automake-1.15.1.gz (of link group automake) doesn't exist +update-alternatives: warning: skip creation of /usr/share/man/man1/aclocal.1.gz because associated file /usr/share/man/man1/aclocal-1.15.1.gz (of link group automake) doesn't exist +Setting up libbison-dev:amd64 (2:3.0.4.dfsg-1build1) ... +Setting up libexpat1-dev:amd64 (2.2.5-3ubuntu0.2) ... +Setting up libnet-http-perl (6.17-1) ... +Setting up device-tree-compiler (1.4.5-3) ... +Setting up bison (2:3.0.4.dfsg-1build1) ... +update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode +update-alternatives: warning: skip creation of /usr/share/man/man1/yacc.1.gz because associated file /usr/share/man/man1/bison.yacc.1.gz (of link group yacc) doesn't exist +Setting up verilator (3.916-1build1) ... +Setting up libgmpxx4ldbl:amd64 (2:6.1.2+dfsg-2) ... +Setting up bc (1.07.1-2) ... +Setting up libwww-robotrules-perl (6.01-1) ... +Setting up libauthen-sasl-perl (2.1600-1) ... +Setting up libbabeltrace1:amd64 (1.5.5-1) ... +Setting up libmpdec2:amd64 (2.4.2-1ubuntu1) ... +Setting up zlib1g-dev:amd64 (1:1.2.11.dfsg-0ubuntu2) ... +Setting up libxml-sax-perl (0.99+dfsg-2ubuntu1) ... +update-perl-sax-parsers: Registering Perl SAX parser XML::SAX::PurePerl with priority 10... +update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline + +Creating config file /etc/perl/XML/SAX/ParserDetails.ini with new version +Setting up babeltrace (1.5.5-1) ... +Setting up libtool (2.4.6-2) ... +Setting up libgmp-dev:amd64 (2:6.1.2+dfsg-2) ... +Setting up libpython3.6-stdlib:amd64 (3.6.9-1~18.04ubuntu1.3) ... +Setting up libhttp-date-perl (6.02-1) ... +Setting up python3.6 (3.6.9-1~18.04ubuntu1.3) ... +Setting up libltdl-dev:amd64 (2.4.6-2) ... +Setting up libnet-ssleay-perl (1.84-1ubuntu0.2) ... +Setting up libio-socket-ssl-perl (2.060-3~ubuntu18.04.1) ... +Setting up libhtml-tree-perl (5.07-1) ... +Setting up libfile-listing-perl (6.04-1) ... +Setting up libhttp-message-perl (6.14-1) ... +Setting up libxml-libxml-perl (2.0128+dfsg-5) ... +update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX::Parser with priority 50... +update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX with priority 50... +update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +Replacing config file /etc/perl/XML/SAX/ParserDetails.ini with new version +Setting up libhttp-negotiate-perl (6.00-2) ... +Setting up libmpfr-dev:amd64 (4.0.1-1) ... +Setting up libpython3-stdlib:amd64 (3.6.7-1~18.04) ... +Setting up libnet-smtp-ssl-perl (1.04-1) ... +Setting up libhtml-format-perl (2.12-1) ... +Setting up python3 (3.6.7-1~18.04) ... +running python rtupdate hooks for python3.6... +running python post-rtupdate hooks for python3.6... +Setting up libhttp-cookies-perl (6.04-1) ... +Setting up libhttp-daemon-perl (6.01-1) ... +Setting up libhtml-form-perl (6.03-1) ... +Setting up texinfo (6.5.0.dfsg.1-2) ... +Setting up libmpc-dev:amd64 (1.1.0-1) ... +Setting up libmailtools-perl (2.18-1) ... +Setting up liblwp-protocol-https-perl (6.07-2) ... +Setting up libwww-perl (6.31-1ubuntu0.1) ... +Setting up libxml-parser-perl (2.44-2build3) ... +Setting up libxml-sax-expat-perl (0.40-2) ... +update-perl-sax-parsers: Registering Perl SAX parser XML::SAX::Expat with priority 50... +update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +Replacing config file /etc/perl/XML/SAX/ParserDetails.ini with new version +Processing triggers for mime-support (3.60ubuntu1) ... +Processing triggers for libc-bin (2.27-3ubuntu1.3) ... +Removing intermediate container bbc5c768dce1 + ---> c11c5bcdd295 +Step 14/27 : RUN apt-get install -y libfdt-dev libglib2.0-dev libpixman-1-dev + ---> Running in 849f268bb9a0 +Reading package lists... +Building dependency tree... +Reading state information... +The following additional packages will be installed: + libfdt1 libglib2.0-bin libglib2.0-dev-bin libpcre16-3 libpcre3-dev + libpcre32-3 libpcrecpp0v5 python3-distutils python3-lib2to3 +Suggested packages: + libglib2.0-doc +The following NEW packages will be installed: + libfdt-dev libfdt1 libglib2.0-bin libglib2.0-dev libglib2.0-dev-bin + libpcre16-3 libpcre3-dev libpcre32-3 libpcrecpp0v5 libpixman-1-dev + python3-distutils python3-lib2to3 +0 upgraded, 12 newly installed, 0 to remove and 2 not upgraded. +Need to get 2900 kB of archives. +After this operation, 17.9 MB of additional disk space will be used. +Get:1 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libglib2.0-bin amd64 2.56.4-0ubuntu0.18.04.6 [68.8 kB] +Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 python3-lib2to3 all 3.6.9-1~18.04 [77.4 kB] +Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 python3-distutils all 3.6.9-1~18.04 [144 kB] +Get:4 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libglib2.0-dev-bin amd64 2.56.4-0ubuntu0.18.04.6 [102 kB] +Get:5 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpcre16-3 amd64 2:8.39-9 [147 kB] +Get:6 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpcre32-3 amd64 2:8.39-9 [138 kB] +Get:7 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpcrecpp0v5 amd64 2:8.39-9 [15.3 kB] +Get:8 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpcre3-dev amd64 2:8.39-9 [537 kB] +Get:9 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libglib2.0-dev amd64 2.56.4-0ubuntu0.18.04.6 [1385 kB] +Get:10 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpixman-1-dev amd64 0.34.0-2 [244 kB] +Get:11 http://archive.ubuntu.com/ubuntu bionic/main amd64 libfdt1 amd64 1.4.5-3 [15.7 kB] +Get:12 http://archive.ubuntu.com/ubuntu bionic/main amd64 libfdt-dev amd64 1.4.5-3 [25.7 kB] +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +debconf: unable to initialize frontend: Readline +debconf: (This frontend requires a controlling tty.) +debconf: falling back to frontend: Teletype +dpkg-preconfigure: unable to re-open stdin: +Fetched 2900 kB in 2s (1458 kB/s) +Selecting previously unselected package libglib2.0-bin. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 41615 files and directories currently installed.) +Preparing to unpack .../00-libglib2.0-bin_2.56.4-0ubuntu0.18.04.6_amd64.deb ... +Unpacking libglib2.0-bin (2.56.4-0ubuntu0.18.04.6) ... +Selecting previously unselected package python3-lib2to3. +Preparing to unpack .../01-python3-lib2to3_3.6.9-1~18.04_all.deb ... +Unpacking python3-lib2to3 (3.6.9-1~18.04) ... +Selecting previously unselected package python3-distutils. +Preparing to unpack .../02-python3-distutils_3.6.9-1~18.04_all.deb ... +Unpacking python3-distutils (3.6.9-1~18.04) ... +Selecting previously unselected package libglib2.0-dev-bin. +Preparing to unpack .../03-libglib2.0-dev-bin_2.56.4-0ubuntu0.18.04.6_amd64.deb ... +Unpacking libglib2.0-dev-bin (2.56.4-0ubuntu0.18.04.6) ... +Selecting previously unselected package libpcre16-3:amd64. +Preparing to unpack .../04-libpcre16-3_2%3a8.39-9_amd64.deb ... +Unpacking libpcre16-3:amd64 (2:8.39-9) ... +Selecting previously unselected package libpcre32-3:amd64. +Preparing to unpack .../05-libpcre32-3_2%3a8.39-9_amd64.deb ... +Unpacking libpcre32-3:amd64 (2:8.39-9) ... +Selecting previously unselected package libpcrecpp0v5:amd64. +Preparing to unpack .../06-libpcrecpp0v5_2%3a8.39-9_amd64.deb ... +Unpacking libpcrecpp0v5:amd64 (2:8.39-9) ... +Selecting previously unselected package libpcre3-dev:amd64. +Preparing to unpack .../07-libpcre3-dev_2%3a8.39-9_amd64.deb ... +Unpacking libpcre3-dev:amd64 (2:8.39-9) ... +Selecting previously unselected package libglib2.0-dev:amd64. +Preparing to unpack .../08-libglib2.0-dev_2.56.4-0ubuntu0.18.04.6_amd64.deb ... +Unpacking libglib2.0-dev:amd64 (2.56.4-0ubuntu0.18.04.6) ... +Selecting previously unselected package libpixman-1-dev:amd64. +Preparing to unpack .../09-libpixman-1-dev_0.34.0-2_amd64.deb ... +Unpacking libpixman-1-dev:amd64 (0.34.0-2) ... +Selecting previously unselected package libfdt1:amd64. +Preparing to unpack .../10-libfdt1_1.4.5-3_amd64.deb ... +Unpacking libfdt1:amd64 (1.4.5-3) ... +Selecting previously unselected package libfdt-dev. +Preparing to unpack .../11-libfdt-dev_1.4.5-3_amd64.deb ... +Unpacking libfdt-dev (1.4.5-3) ... +Setting up libpixman-1-dev:amd64 (0.34.0-2) ... +Setting up libpcrecpp0v5:amd64 (2:8.39-9) ... +Setting up libpcre32-3:amd64 (2:8.39-9) ... +Setting up libpcre16-3:amd64 (2:8.39-9) ... +Setting up python3-lib2to3 (3.6.9-1~18.04) ... +Setting up libglib2.0-bin (2.56.4-0ubuntu0.18.04.6) ... +Setting up python3-distutils (3.6.9-1~18.04) ... +Setting up libfdt1:amd64 (1.4.5-3) ... +Setting up libfdt-dev (1.4.5-3) ... +Setting up libpcre3-dev:amd64 (2:8.39-9) ... +Setting up libglib2.0-dev-bin (2.56.4-0ubuntu0.18.04.6) ... +Setting up libglib2.0-dev:amd64 (2.56.4-0ubuntu0.18.04.6) ... +Processing triggers for libglib2.0-0:amd64 (2.56.4-0ubuntu0.18.04.6) ... +Processing triggers for libc-bin (2.27-3ubuntu1.3) ... +Removing intermediate container 849f268bb9a0 + ---> b82bb1821ec1 +Step 15/27 : ENV HOME="/home/riscvuser" + ---> Running in 51d644716666 +Removing intermediate container 51d644716666 + ---> 6dbafe7c9b1a +Step 16/27 : ENV RISCV="$HOME/riscv-tools-install" + ---> Running in 04f659aa25cf +Removing intermediate container 04f659aa25cf + ---> f114f73f056c +Step 17/27 : ENV LD_LIBRARY_PATH="$RISCV/lib" + ---> Running in 26b6a9e09e56 +Removing intermediate container 26b6a9e09e56 + ---> f1bac7e9c06a +Step 18/27 : ENV PATH="$RISCV/bin:$PATH" + ---> Running in e10160a9cbdd +Removing intermediate container e10160a9cbdd + ---> 4a4a90ab60b9 +Step 19/27 : WORKDIR $HOME + ---> Running in c5e059418854 +Removing intermediate container c5e059418854 + ---> 24be9d5f80f9 +Step 20/27 : USER riscvuser + ---> Running in 64c454299c8e +Removing intermediate container 64c454299c8e + ---> 4d9fa1962719 +Step 21/27 : RUN git clone https://github.com/schwarz-em/chipyard.git && cd chipyard && export MAKEFLAGS=-"j $(nproc)" && ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null + ---> Running in 4b740b9b351c +Cloning into 'chipyard'... +^C +]0;ellas@ella-pc:~/ella-chipyard/chipyard[ellas@ella-pc chipyard]$ exit +exit + +Script done on 2020-12-01 13:45:16-08:00 [COMMAND_EXIT_CODE="130"] diff --git a/completed.txt b/completed.txt new file mode 100644 index 0000000000..f026eb0ae4 --- /dev/null +++ b/completed.txt @@ -0,0 +1,4486 @@ +Script started on 2020-12-01 15:40:51-08:00 [TERM="xterm-256color" TTY="/dev/pts/5" COLUMNS="77" LINES="39"] +]0;ellas@ella-pc:~/ella-chipyard/chipyard[ellas@ella-pc chipyard]$ docker build - < Dockerfile-ella +Sending build context to Docker daemon 11.78kB +Step 1/28 : FROM ubuntu:18.04 + ---> 2c047404e52d +Step 2/28 : MAINTAINER jacobgadikian@gmail.com + ---> Using cache + ---> 0fc71a7a7123 +Step 3/28 : RUN apt-get update && apt-get upgrade -y && mkdir -p /usr/share/man/man1 && apt-get install -y bzip2 ca-certificates curl git gnupg gzip libfl2 libfl-dev locales mercurial python-minimal python-pexpect-doc netcat net-tools openssh-client parallel sudo tar unzip wget xvfb xxd zip ccache libgoogle-perftools-dev numactl zlib1g jq openjdk-11-jdk maven ant gradle + ---> Using cache + ---> 87156762f3a0 +Step 4/28 : RUN apt-get install -y apt-utils + ---> Using cache + ---> 8f6afbee470e +Step 5/28 : RUN ln -sf /usr/share/zoneinfo/Etc/UTC /etc/localtime + ---> Using cache + ---> 25ab089d0a01 +Step 6/28 : RUN locale-gen C.UTF-8 || true + ---> Using cache + ---> 4cbabe2d62ca +Step 7/28 : ENV LANG=C.UTF-8 + ---> Using cache + ---> df0c6a2ad869 +Step 8/28 : RUN groupadd --gid 3434 riscvuser && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep + ---> Using cache + ---> 6c7c8dfcb6b1 +Step 9/28 : RUN apt-get update + ---> Using cache + ---> a4eb4561d4f1 +Step 10/28 : RUN apt-get install -y --no-install-recommends openjfx + ---> Using cache + ---> 2118063a9af5 +Step 11/28 : RUN apt-get install -y build-essential + ---> Using cache + ---> 91c539c2fc7a +Step 12/28 : RUN apt-get update + ---> Using cache + ---> 7c07f89c49c4 +Step 13/28 : RUN apt-get install -y autoconf automake autotools-dev babeltrace bc curl device-tree-compiler expat flex gawk gperf g++ libexpat-dev libgmp-dev libmpc-dev libmpfr-dev libtool libusb-1.0-0-dev make patchutils pkg-config python3 texinfo zlib1g-dev rsync bison verilator + ---> Using cache + ---> 74a7e0c43523 +Step 14/28 : RUN apt-get install -y libfdt-dev libglib2.0-dev libpixman-1-dev + ---> Using cache + ---> e846afdecd93 +Step 15/28 : ENV HOME="/home/riscvuser" + ---> Using cache + ---> 04252ae68643 +Step 16/28 : ENV RISCV="$HOME/riscv-tools-install" + ---> Using cache + ---> 060a560cef38 +Step 17/28 : ENV LD_LIBRARY_PATH="$RISCV/lib" + ---> Using cache + ---> 0cc082b7cff3 +Step 18/28 : ENV PATH="$RISCV/bin:$PATH" + ---> Using cache + ---> d38f378a063e +Step 19/28 : WORKDIR $HOME + ---> Using cache + ---> e78fdc1428da +Step 20/28 : USER riscvuser + ---> Using cache + ---> 4ef013acb4c5 +Step 21/28 : RUN git clone https://github.com/schwarz-em/chipyard.git && cd chipyard && export MAKEFLAGS=-"j $(nproc)" && ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null + ---> Using cache + ---> b4a55c772a76 +Step 22/28 : RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install keyboard-configuration && sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup + ---> Using cache + ---> 53ff15f9846a +Step 23/28 : RUN cd chipyard && ./scripts/ubuntu-req.sh 1>/dev/null + ---> Using cache + ---> 3b68215fe6b1 +Step 24/28 : RUN mvn -version && ant -version && gradle -version && sbt sbtVersion && verilator --version + ---> Using cache + ---> fd74a2659db6 +Step 25/28 : RUN cd chipyard && export MAKEFLAGS=-"j $(nproc)" && \./scripts/build-toolchains.sh riscv-tools 1>/dev/null + ---> Running in 7972893ac3e9 +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain'... +Submodule 'qemu' (https://git.qemu.org/git/qemu.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/qemu' +Submodule 'riscv-binutils' (https://github.com/riscv/riscv-binutils-gdb.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-binutils' +Submodule 'riscv-dejagnu' (https://github.com/riscv/riscv-dejagnu.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-dejagnu' +Submodule 'riscv-gcc' (https://github.com/riscv/riscv-gcc.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gcc' +Submodule 'riscv-gdb' (https://github.com/riscv/riscv-binutils-gdb.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gdb' +Submodule 'riscv-glibc' (https://github.com/riscv/riscv-glibc.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-glibc' +Submodule 'riscv-newlib' (https://github.com/riscv/riscv-newlib.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-newlib' +Skipping submodule '../toolchains/riscv-tools/riscv-gnu-toolchain/' +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-binutils'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-dejagnu'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gcc'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gdb'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-glibc'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-newlib'... +configure: WARNING: neither ld nor gold are enabled +*** This configuration is not supported in the following subdirectories: + binutils gas gprof + (Any other directories should still work fine.) +*** This configuration is not supported in the following subdirectories: + readline libdecnumber sim gdb + (Any other directories should still work fine.) +configure: WARNING: decimal float is not supported for this target, ignored +ar: `u' modifier ignored since `D' is the default (see `U') +ar: `u' modifier ignored since `D' is the default (see `U') +ar: `u' modifier ignored since `D' is the default (see `U') +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/sysinfo.y: warning: 1 shift/reduce conflict [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/defparse.y: warning: 27 shift/reduce conflicts [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/rcparse.y: warning: 58 shift/reduce conflicts [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/rcparse.y: warning: 10 reduce/reduce conflicts [-Wconflicts-rr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/mcparse.y: warning: 1 shift/reduce conflict [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/doc/binutils.texi:819: warning: @ref node name should not contain `.' +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/doc/binutils.texi:1239: warning: @xref node name should not contain `.' +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/ld/deffilep.y: warning: 76 shift/reduce conflicts [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/ld/ldlex.l:386: warning, rule cannot be matched +ld.pod around line 568: Expected '=item *' +POD document had syntax errors at /usr/bin/pod2man line 71. +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/ld/ld.texi:8376: warning: @pxref node name should not contain `.' +/bin/sh: 1: test: false: unexpected operator +*** This configuration is not supported in the following subdirectories: + zlib target-libquadmath target-libitm target-libsanitizer target-libvtv gnattools gotools target-libada target-libhsail-rt target-libstdc++-v3 target-libphobos target-zlib target-libbacktrace target-libgfortran target-libgo target-libffi target-libobjc target-libgomp target-liboffloadmic target-libatomic target-libssp + (Any other directories should still work fine.) +configure: WARNING: python is missing or unusable; some features may be unavailable. +configure: WARNING: libipt is missing or unusable; some features may be unavailable. +objcopy: /tmp/ls267633: debuglink section already exists +configure: WARNING: decimal float is not supported for this target, ignored +ar: `u' modifier ignored since `D' is the default (see `U') +ar: `u' modifier ignored since `D' is the default (see `U') +configure: WARNING: babeltrace is missing or unusable; GDB is unable to read CTF data. +../../../../riscv-gcc/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: +../../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] + 0, message); + ^ +../../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] + virtual_location, 0, message); + ^ +libtool: install: warning: remember to run `libtool --finish /home/riscvuser/chipyard/riscv-tools-install/libexec/gcc/riscv64-unknown-linux-gnu/9.2.0' +../../../../riscv-gcc/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: +../../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../../riscv-gcc/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: +../../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +../../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +ar: `u' modifier ignored since `D' is the default (see `U') +../../../riscv-gcc/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: +../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] + 0, message); + ^ +../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] + virtual_location, 0, message); + ^ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-profile.c: In function ‘profile_pc_init’: +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-profile.c:566:8: warning: left shift count >= width of type [-Wshift-count-overflow] + ((1 << sizeof (sim_cia) * (8 - 1)) + ^~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-profile.c:585:21: warning: left shift count >= width of type [-Wshift-count-overflow] + bucket_size = ((1 << ((sizeof (sim_cia) * 8) - 1)) + ^~ +../../../riscv-gcc/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: +../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../riscv-gcc/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: +../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c: In function ‘map_to_str’: +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:359:18: warning: ‘%ld’ directive writing between 1 and 10 bytes into a region of size 9 [-Wformat-overflow=] + sprintf (str, "(%ld)", (long) map); + ^~~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:359:16: note: directive argument in the range [4, 4294967295] + sprintf (str, "(%ld)", (long) map); + ^~~~~~~ +In file included from /usr/include/stdio.h:862:0, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-basics.h:37, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/sim-main.h:24, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:20: +/usr/include/x86_64-linux-gnu/bits/stdio2.h:33:10: note: ‘__builtin___sprintf_chk’ output between 4 and 13 bytes into a destination of size 10 + return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, + ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + __bos (__s), __fmt, __va_arg_pack ()); + ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c: In function ‘access_to_str’: +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:389:18: warning: ‘%ld’ directive writing between 2 and 10 bytes into a region of size 9 [-Wformat-overflow=] + sprintf (str, "(%ld)", (long) access); + ^~~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:389:16: note: directive argument in the range [16, 4294967295] + sprintf (str, "(%ld)", (long) access); + ^~~~~~~ +In file included from /usr/include/stdio.h:862:0, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-basics.h:37, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/sim-main.h:24, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:20: +/usr/include/x86_64-linux-gnu/bits/stdio2.h:33:10: note: ‘__builtin___sprintf_chk’ output between 5 and 13 bytes into a destination of size 10 + return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, + ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + __bos (__s), __fmt, __va_arg_pack ()); + ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +ar: `u' modifier ignored since `D' is the default (see `U') +configure: WARNING: decimal float is not supported for this target, ignored +configure: WARNING: fixed-point is not supported for this target, ignored +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/c-exp.y: warning: 42 shift/reduce conflicts [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/c-exp.y: warning: 53 reduce/reduce conflicts [-Wconflicts-rr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/m2-exp.y: warning: 34 shift/reduce conflicts [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/m2-exp.y:301.25-44: warning: rule useless in parser due to conflicts [-Wother] + { start_arglist(); } + ^^^^^^^^^^^^^^^^^^^^ +Links are now set up to build a cross-compiler + from x86_64-pc-linux-gnu to riscv64-unknown-linux-gnu. +../../../riscv-gcc/gcc/genhooks.c: In function ‘void emit_documentation(const char*)’: +../../../riscv-gcc/gcc/genhooks.c:131:45: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + while (fscanf (f, "%*[^@]"), buf[0] = '\0', + ^ +../../../riscv-gcc/gcc/genhooks.c:140:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%999s", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/genhooks.c:193:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%5[^ \n]", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/genhooks.c:199:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%999s", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ + "GTY"/{EOID} { return GTY_TOKEN; } + ^~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here + "^" | + ^~~~ +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ + + ^ +../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here + size_t len; + ^ ~~ +GIMPLE decision tree has 2874 leafs, maximum depth 12 and a total number of 11721 nodes +removed 1855 duplicate tails +GENERIC decision tree has 2760 leafs, maximum depth 12 and a total number of 11180 nodes +removed 1757 duplicate tails +../../../riscv-gcc/gcc/config/riscv/riscv.md:2281:1: warning: operand 0 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2303:1: warning: operand 1 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2326:1: warning: operand 0 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2349:1: warning: operand 1 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2415:1: warning: source missing a mode? +Statistics for recog: + Number of decisions: 1699 + longest path: 32 (code: 188) + longest backtrack: 13 (code: 336) +Statistics for split_insns: + Number of decisions: 209 + longest path: 30 (code: 40) + longest backtrack: 3 (code: 40) +Statistics for peephole2_insns: + Number of decisions: 22 + longest path: 22 (code: 1) + longest backtrack: 0 (code: 1) +Shared 1212 out of 3026 states by creating 356 new states, saving 856 +../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘int convert_arguments(location_t, vec, tree, vec*, vec*, tree, tree)’: +../../../riscv-gcc/gcc/c/c-typeck.c:3601:28: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_func_diag); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘tree_node* build_unary_op(location_t, tree_code, tree, bool)’: +../../../riscv-gcc/gcc/c/c-typeck.c:4339:42: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (location, invalid_op_diag); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘void warning_init(location_t, int, const char*)’: +../../../riscv-gcc/gcc/c/c-typeck.c:6400:43: warning: format not a string literal and no format arguments [-Wformat-security] + warned = warning_at (exploc, opt, gmsgid); + ^ +../../../riscv-gcc/gcc/c/c-convert.c: In function ‘tree_node* convert(tree, tree)’: +../../../riscv-gcc/gcc/c/c-convert.c:81:31: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_conv_diag); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘tree_node* build_binary_op(location_t, tree_code, tree, tree, bool)’: +../../../riscv-gcc/gcc/c/c-typeck.c:11480:42: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (location, invalid_op_diag); + ^ +../../../riscv-gcc/gcc/c-family/c-common.c: In function ‘void c_parse_error(const char*, cpp_ttype, tree, unsigned char, rich_location*)’: +../../../riscv-gcc/gcc/c-family/c-common.c:6144:30: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (richloc, gmsgid); + ^ +../../../riscv-gcc/gcc/c-family/c-common.c:6148:33: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (richloc, message); + ^ +In file included from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:26:0: +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/inferior.h: In function ‘void handle_vfork_child_exec_or_exit(int)’: +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/inferior.h:606:26: warning: ‘*((void*)(& maybe_restore_inferior)+40).scoped_restore_current_inferior::m_saved_inf’ may be used uninitialized in this function [-Wmaybe-uninitialized] + { set_current_inferior (m_saved_inf); } + ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:931:6: note: ‘*((void*)(& maybe_restore_inferior)+40).scoped_restore_current_inferior::m_saved_inf’ was declared here + maybe_restore_inferior; + ^~~~~~~~~~~~~~~~~~~~~~ +In file included from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/inferior.h:49:0, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:26: +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/progspace.h:314:31: warning: ‘*((void*)(& maybe_restore_inferior)+32).scoped_restore_current_program_space::m_saved_pspace’ may be used uninitialized in this function [-Wmaybe-uninitialized] + { set_current_program_space (m_saved_pspace); } + ~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:931:6: note: ‘*((void*)(& maybe_restore_inferior)+32).scoped_restore_current_program_space::m_saved_pspace’ was declared here + maybe_restore_inferior; + ^~~~~~~~~~~~~~~~~~~~~~ +In file included from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/exceptions.h:23:0, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/utils.h:24, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/defs.h:670, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/record-btrace.c:22: +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/ui-out.h: In function ‘void btrace_insn_history(ui_out*, const btrace_thread_info*, const btrace_insn_iterator*, const btrace_insn_iterator*, gdb_disassembly_flags)’: +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/ui-out.h:214:18: warning: ‘asm_list.ui_out_emit_type<(ui_out_type)1>::m_uiout’ may be used uninitialized in this function [-Wmaybe-uninitialized] + m_uiout->end (Type); + ~~~~~~~~~~~~~^~~~~~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/record-btrace.c:786:35: note: ‘asm_list.ui_out_emit_type<(ui_out_type)1>::m_uiout’ was declared here + gdb::optional asm_list; + ^~~~~~~~ +../../../riscv-gcc/gcc/fold-const.c: In function ‘void fold_overflow_warning(const char*, warn_strict_overflow_code)’: +../../../riscv-gcc/gcc/fold-const.c:303:42: warning: format not a string literal and no format arguments [-Wformat-security] + warning (OPT_Wstrict_overflow, gmsgid); + ^ +../../../riscv-gcc/gcc/gimple-fold.c: In function ‘bool gimple_fold_builtin_strncpy(gimple_stmt_iterator*, tree, tree, tree)’: +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, fndecl, slen); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, fndecl); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c: In function ‘bool gimple_fold_builtin_strncat(gimple_stmt_iterator*)’: +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, fndecl, len, dstsize); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, fndecl, len)) + ^ +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c: In function ‘void find_explicit_erroneous_behavior()’: +../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c:554:35: warning: format not a string literal and no format arguments [-Wformat-security] + OPT_Wreturn_local_addr, msg)) + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In function ‘bool {anonymous}::format_directive(const {anonymous}::sprintf_dom_walker::call_info&, {anonymous}::format_result*, const {anonymous}::directive&, vr_values*)’: +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: unknown conversion type character ‘G’ in format [-Wformat=] + target_to_host (hostdir, sizeof hostdir, dir.beg)); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: field precision specifier ‘.*’ expects argument of type ‘int’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In member function ‘bool {anonymous}::sprintf_dom_walker::handle_gimple_call(gimple_stmt_iterator*)’: +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] + info.callstmt); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: unknown conversion type character ‘G’ in format [-Wformat=] + info.callstmt); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘bool {anonymous}::maybe_diag_overlap(location_t, gimple*, {anonymous}::builtin_access&)’: +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[0], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 10 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[0], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%s’ expects argument of type ‘char*’, but argument 10 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, sizrange[0], sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘bool {anonymous}::maybe_diag_access_bounds(location_t, gimple*, tree, int, const {anonymous}::builtin_memref&, bool)’: +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] + maxobjsize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] + maxobjsize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], rangestr[1], ref.base, type)) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], rangestr[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], rangestr[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ref.base, TREE_TYPE (ref.base))) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘long unsigned int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘long unsigned int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ref.base, TREE_TYPE (ref.base))) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], ref.basesize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], ref.base, type); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%E’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ref.refoff.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%E’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘int check_bounds_or_overlap(gimple*, tree, tree, tree, tree, bool, bool)’: +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/hsa-gen.c: In member function ‘void omp_simple_builtin::generate(gimple*, hsa_bb*)’: +../../../riscv-gcc/gcc/hsa-gen.c:88:32: warning: format not a string literal and no format arguments [-Wformat-security] + inform (location, message); \ + ^ +../../../riscv-gcc/gcc/hsa-gen.c:4548:2: note: in expansion of macro ‘HSA_SORRY_AT’ + HSA_SORRY_AT (gimple_location (stmt), m_warning_message); + ^~~~~~~~~~~~ +../../../riscv-gcc/gcc/hsa-gen.c:4555:68: warning: format not a string literal and no format arguments [-Wformat-security] + warning_at (gimple_location (stmt), OPT_Whsa, m_warning_message); + ^ +../../../riscv-gcc/gcc/ipa-devirt.c: In function ‘void warn_odr(tree, tree, tree, tree, bool, bool*, const char*)’: +../../../riscv-gcc/gcc/ipa-devirt.c:1050:47: warning: format not a string literal and no format arguments [-Wformat-security] + inform (DECL_SOURCE_LOCATION (decl2), reason); + ^ +../../../riscv-gcc/gcc/reload1.c: In function ‘void elimination_costs_in_insn(rtx_insn*)’: +../../../riscv-gcc/gcc/reload1.c:3754:28: warning: ‘orig_dup[0]’ may be used uninitialized in this function [-Wmaybe-uninitialized] + *recog_data.dup_loc[i] = orig_dup[i]; + ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ +../../../riscv-gcc/gcc/tree-ssa-ccp.c: In member function ‘virtual unsigned int {anonymous}::pass_post_ipa_warn::execute(function*)’: +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: unknown conversion type character ‘G’ in format [-Wformat=] + "expected", stmt, i + 1)) + ^ +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function ‘bool maybe_diag_stxncpy_trunc(gimple_stmt_iterator, tree, tree)’: +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func, cnt); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func, cnt, lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func, cnt, lenrange[1].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[1].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: unknown conversion type character ‘G’ in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func, cnt); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function ‘void handle_builtin_stxncpy(built_in_function, gimple_stmt_iterator*)’: +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func)) + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/collect2.c: In function ‘void scan_prog_file(const char*, scanpass, scanfilter)’: +../../../riscv-gcc/gcc/collect2.c:2463:37: warning: format not a string literal and no format arguments [-Wformat-security] + fatal_error (input_location, errmsg); + ^ +../../../riscv-gcc/gcc/collect-utils.c: In function ‘pex_obj* collect_execute(const char*, char**, const char*, const char*, int, bool)’: +../../../riscv-gcc/gcc/collect-utils.c:195:37: warning: format not a string literal and no format arguments [-Wformat-security] + fatal_error (input_location, errmsg); + ^ +../../../riscv-gcc/gcc/lto-wrapper.c: In function ‘bool find_and_merge_options(int, off_t, const char*, cl_decoded_option**, unsigned int*, const char*)’: +../../../riscv-gcc/gcc/lto-wrapper.c:1005:8: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + read (fd, data, length); + ~~~~~^~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ + "GTY"/{EOID} { return GTY_TOKEN; } + ^~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here + "^" | + ^~~~ +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ + + ^ +../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here + size_t len; + ^ ~~ +../../../riscv-gcc/gcc/gcc.c: In function ‘long unsigned int get_random_number()’: +../../../riscv-gcc/gcc/gcc.c:9639:12: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + read (fd, &ret, sizeof (HOST_WIDE_INT)); + ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c: In function ‘void do_report_bug(const char**, int, char**, char**)’: +../../../riscv-gcc/gcc/gcc.c:6999:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + write (fd, "\n//", 3); + ~~~~~~^~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7002:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + write (fd, " ", 1); + ~~~~~~^~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7003:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + write (fd, new_argv[i], strlen (new_argv[i])); + ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7005:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + write (fd, "\n\n", 2); + ~~~~~~^~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/lto/lto.c: In function ‘void lto_resolution_read(splay_tree, FILE*, lto_file*)’: +../../../riscv-gcc/gcc/lto/lto.c:1918:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (resolution, " "); /* Read white space. */ + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/lto/lto.c:1920:9: warning: ignoring return value of ‘size_t fread(void*, size_t, size_t, FILE*)’, declared with attribute warn_unused_result [-Wunused-result] + fread (obj_name, sizeof (char), name_len, resolution); + ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/lto/lto.c:1940:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (resolution, "%u", &num_symbols); + ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +Applying io_quotes_use to drm/exynos_drm.h +Applying io_quotes_use to drm/nouveau_drm.h +Applying io_quotes_use to drm/radeon_drm.h +Applying io_quotes_use to drm/drm.h +Applying io_quotes_use to drm/etnaviv_drm.h +Applying io_quotes_use to drm/v3d_drm.h +Applying io_quotes_use to drm/savage_drm.h +Applying io_quotes_use to drm/vc4_drm.h +Applying io_quotes_use to drm/mga_drm.h +Applying io_quotes_use to drm/omap_drm.h +Applying io_quotes_use to drm/i915_drm.h +Applying io_quotes_use to drm/r128_drm.h +Applying io_quotes_use to drm/msm_drm.h +Applying io_quotes_use to drm/amdgpu_drm.h +Applying io_quotes_use to drm/via_drm.h +Applying io_quotes_use to drm/sis_drm.h +Applying io_quotes_use to drm/vgem_drm.h +Applying io_quotes_use to drm/i810_drm.h +Applying io_quotes_use to drm/tegra_drm.h +Applying io_quotes_use to linux/fd.h +Applying io_quotes_use to linux/usb/tmc.h +Applying io_quotes_use to linux/nbd.h +Applying io_quotes_use to linux/ppdev.h +Applying io_quotes_def to linux/ppp-comp.h +Applying io_quotes_use to linux/fuse.h +Applying io_quotes_use to linux/ipmi_bmc.h +Applying io_quotes_use to linux/lightnvm.h +Applying io_quotes_use to linux/gpio.h +Applying io_quotes_use to linux/spi/spidev.h +Applying io_quotes_use to linux/mmtimer.h +Applying io_quotes_use to linux/btrfs.h +Applying io_quotes_use to linux/bt-bmc.h +Applying io_quotes_use to linux/nsfs.h +Applying io_quotes_def to linux/version.h +Applying io_quotes_use to linux/seccomp.h +-fself-test: 46308 pass(es) in 0.358501 seconds +Applying io_quotes_use to linux/mmc/ioctl.h +Applying io_quotes_use to linux/phantom.h +Applying io_quotes_use to linux/vm_sockets.h +Applying io_quotes_use to linux/omapfb.h +Applying io_quotes_def to linux/soundcard.h +Applying io_quotes_use to linux/vtpm_proxy.h +Applying io_quotes_use to linux/synclink.h +Applying io_quotes_use to linux/dn.h +Applying io_quotes_use to linux/atmbr2684.h +Applying io_quotes_use to linux/rpmsg.h +Applying io_quotes_use to linux/rio_cm_cdev.h +Applying io_quotes_use to linux/cciss_ioctl.h +Applying io_quotes_use to linux/sync_file.h +Applying io_quotes_use to linux/tee.h +Applying io_quotes_use to linux/i2o-dev.h +Applying io_quotes_use to linux/rfkill.h +Applying io_quotes_use to linux/watchdog.h +Applying io_quotes_use to linux/cm4000_cs.h +Applying io_quotes_use to linux/genwqe/genwqe_card.h +Applying io_quotes_use to linux/vfio.h +Applying io_quotes_use to linux/gigaset_dev.h +Applying io_quotes_use to linux/if_pppox.h +Applying io_quotes_use to linux/blkzoned.h +Applying io_quotes_use to linux/ndctl.h +Applying io_quotes_use to linux/agpgart.h +Applying io_quotes_use to linux/blkpg.h +Applying io_quotes_use to linux/fpga-dfl.h +Applying io_quotes_use to linux/psp-sev.h +Applying io_quotes_use to linux/wmi.h +Applying io_quotes_use to linux/fs.h +Applying io_quotes_use to linux/ptp_clock.h +Applying io_quotes_use to linux/reiserfs_fs.h +Applying io_quotes_use to linux/dma-buf.h +Applying io_quotes_use to linux/raid/md_u.h +Applying io_quotes_use to linux/random.h +Applying io_quotes_use to linux/auto_fs.h +Applying io_quotes_use to linux/uinput.h +Applying io_quotes_use to linux/suspend_ioctls.h +Applying io_quotes_use to linux/vboxguest.h +Applying io_quotes_use to linux/ipmi.h +Applying io_quotes_use to linux/dm-ioctl.h +Applying io_quotes_use to linux/aspeed-lpc-ctrl.h +Applying io_quotes_use to linux/hsi/cs-protocol.h +Applying io_quotes_use to linux/hsi/hsi_char.h +Applying io_quotes_use to linux/raw.h +Applying io_quotes_use to linux/vhost.h +Applying io_quotes_use to linux/userfaultfd.h +Applying io_quotes_def to linux/pci_regs.h +Applying io_quotes_use to linux/pktcdvd.h +Applying io_quotes_use to rdma/rdma_user_ioctl.h +Applying io_quotes_use to video/sisfb.h +Applying io_quotes_use to sound/emu10k1.h +Applying io_quotes_use to sound/compress_offload.h +Applying io_quotes_use to sound/asequencer.h +Applying io_quotes_use to sound/asound.h +Applying io_quotes_use to scsi/cxlflash_ioctl.h +Applying io_quotes_use to mtd/ubi-user.h +Applying io_quotes_use to misc/cxl.h +Applying io_quotes_use to misc/ocxl.h +configure: WARNING: decimal float is not supported for this target, ignored +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +configure: WARNING: gcc emits a warning for alias between functions of incompatible types +configure: WARNING: Multi-arch is disabled. +configure: WARNING: gcc emits a warning for alias between functions of incompatible types +configure: WARNING: Multi-arch is disabled. +configure: WARNING: minimum kernel version reset to 4.15.0 +configure: WARNING: minimum kernel version reset to 4.15.0 +*** errlist.c count 134 inflated to GLIBC_2.12 count 135 (old errno.h?) +*** This configuration is not supported in the following subdirectories: + zlib target-libquadmath target-libitm target-libsanitizer target-libvtv gnattools gotools target-libada target-libhsail-rt target-libphobos target-zlib target-libgo target-libffi target-libobjc target-liboffloadmic target-libssp + (Any other directories should still work fine.) +configure: WARNING: decimal float is not supported for this target, ignored +objcopy: /tmp/ls375352: debuglink section already exists +ar: `u' modifier ignored since `D' is the default (see `U') +../../../../riscv-gcc/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: +../../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] + 0, message); + ^ +../../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] + virtual_location, 0, message); + ^ +../../../../riscv-gcc/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: +../../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../../riscv-gcc/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: +../../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +../../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +libtool: install: warning: remember to run `libtool --finish /home/riscvuser/chipyard/riscv-tools-install/libexec/gcc/riscv64-unknown-linux-gnu/9.2.0' +../../../riscv-gcc/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: +../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] + 0, message); + ^ +../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] + virtual_location, 0, message); + ^ +ar: `u' modifier ignored since `D' is the default (see `U') +../../../riscv-gcc/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: +../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../riscv-gcc/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: +../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +ar: `u' modifier ignored since `D' is the default (see `U') +configure: WARNING: decimal float is not supported for this target, ignored +configure: WARNING: fixed-point is not supported for this target, ignored +Links are now set up to build a cross-compiler + from x86_64-pc-linux-gnu to riscv64-unknown-linux-gnu. +../../../riscv-gcc/gcc/genhooks.c: In function ‘void emit_documentation(const char*)’: +../../../riscv-gcc/gcc/genhooks.c:131:45: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + while (fscanf (f, "%*[^@]"), buf[0] = '\0', + ^ +../../../riscv-gcc/gcc/genhooks.c:140:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%999s", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/genhooks.c:193:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%5[^ \n]", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/genhooks.c:199:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%999s", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ + "GTY"/{EOID} { return GTY_TOKEN; } + ^~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here + "^" | + ^~~~ +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ + + ^ +../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here + size_t len; + ^ ~~ +../../../riscv-gcc/gcc/fortran/gfortran.texi:2769: warning: @ref node name should not contain `:' +GIMPLE decision tree has 2874 leafs, maximum depth 12 and a total number of 11721 nodes +removed 1855 duplicate tails +GENERIC decision tree has 2760 leafs, maximum depth 12 and a total number of 11180 nodes +removed 1757 duplicate tails +../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘int convert_arguments(location_t, vec, tree, vec*, vec*, tree, tree)’: +../../../riscv-gcc/gcc/c/c-typeck.c:3601:28: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_func_diag); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘tree_node* build_unary_op(location_t, tree_code, tree, bool)’: +../../../riscv-gcc/gcc/c/c-typeck.c:4339:42: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (location, invalid_op_diag); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘void warning_init(location_t, int, const char*)’: +../../../riscv-gcc/gcc/c/c-typeck.c:6400:43: warning: format not a string literal and no format arguments [-Wformat-security] + warned = warning_at (exploc, opt, gmsgid); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘tree_node* build_binary_op(location_t, tree_code, tree, tree, bool)’: +../../../riscv-gcc/gcc/c/c-typeck.c:11480:42: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (location, invalid_op_diag); + ^ +../../../riscv-gcc/gcc/c/c-convert.c: In function ‘tree_node* convert(tree, tree)’: +../../../riscv-gcc/gcc/c/c-convert.c:81:31: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_conv_diag); + ^ +../../../riscv-gcc/gcc/c-family/c-common.c: In function ‘void c_parse_error(const char*, cpp_ttype, tree, unsigned char, rich_location*)’: +../../../riscv-gcc/gcc/c-family/c-common.c:6144:30: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (richloc, gmsgid); + ^ +../../../riscv-gcc/gcc/c-family/c-common.c:6148:33: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (richloc, message); + ^ +../../../riscv-gcc/gcc/fold-const.c: In function ‘void fold_overflow_warning(const char*, warn_strict_overflow_code)’: +../../../riscv-gcc/gcc/fold-const.c:303:42: warning: format not a string literal and no format arguments [-Wformat-security] + warning (OPT_Wstrict_overflow, gmsgid); + ^ +../../../riscv-gcc/gcc/gimple-fold.c: In function ‘bool gimple_fold_builtin_strncpy(gimple_stmt_iterator*, tree, tree, tree)’: +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, fndecl, slen); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, fndecl); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c: In function ‘bool gimple_fold_builtin_strncat(gimple_stmt_iterator*)’: +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, fndecl, len, dstsize); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, fndecl, len)) + ^ +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c: In function ‘void find_explicit_erroneous_behavior()’: +../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c:554:35: warning: format not a string literal and no format arguments [-Wformat-security] + OPT_Wreturn_local_addr, msg)) + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In function ‘bool {anonymous}::format_directive(const {anonymous}::sprintf_dom_walker::call_info&, {anonymous}::format_result*, const {anonymous}::directive&, vr_values*)’: +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: unknown conversion type character ‘G’ in format [-Wformat=] + target_to_host (hostdir, sizeof hostdir, dir.beg)); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: field precision specifier ‘.*’ expects argument of type ‘int’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In member function ‘bool {anonymous}::sprintf_dom_walker::handle_gimple_call(gimple_stmt_iterator*)’: +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] + info.callstmt); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: unknown conversion type character ‘G’ in format [-Wformat=] + info.callstmt); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘bool {anonymous}::maybe_diag_overlap(location_t, gimple*, {anonymous}::builtin_access&)’: +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[0], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 10 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[0], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%s’ expects argument of type ‘char*’, but argument 10 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, sizrange[0], sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘bool {anonymous}::maybe_diag_access_bounds(location_t, gimple*, tree, int, const {anonymous}::builtin_memref&, bool)’: +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] + maxobjsize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] + maxobjsize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], rangestr[1], ref.base, type)) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], rangestr[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], rangestr[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ref.base, TREE_TYPE (ref.base))) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘long unsigned int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘long unsigned int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ref.base, TREE_TYPE (ref.base))) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], ref.basesize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], ref.base, type); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%E’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ref.refoff.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%E’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘int check_bounds_or_overlap(gimple*, tree, tree, tree, tree, bool, bool)’: +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/hsa-gen.c: In member function ‘void omp_simple_builtin::generate(gimple*, hsa_bb*)’: +../../../riscv-gcc/gcc/hsa-gen.c:88:32: warning: format not a string literal and no format arguments [-Wformat-security] + inform (location, message); \ + ^ +../../../riscv-gcc/gcc/hsa-gen.c:4548:2: note: in expansion of macro ‘HSA_SORRY_AT’ + HSA_SORRY_AT (gimple_location (stmt), m_warning_message); + ^~~~~~~~~~~~ +../../../riscv-gcc/gcc/hsa-gen.c:4555:68: warning: format not a string literal and no format arguments [-Wformat-security] + warning_at (gimple_location (stmt), OPT_Whsa, m_warning_message); + ^ +../../../riscv-gcc/gcc/ipa-devirt.c: In function ‘void warn_odr(tree, tree, tree, tree, bool, bool*, const char*)’: +../../../riscv-gcc/gcc/ipa-devirt.c:1050:47: warning: format not a string literal and no format arguments [-Wformat-security] + inform (DECL_SOURCE_LOCATION (decl2), reason); + ^ +../../../riscv-gcc/gcc/reload1.c: In function ‘void elimination_costs_in_insn(rtx_insn*)’: +../../../riscv-gcc/gcc/reload1.c:3754:28: warning: ‘orig_dup[0]’ may be used uninitialized in this function [-Wmaybe-uninitialized] + *recog_data.dup_loc[i] = orig_dup[i]; + ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ +../../../riscv-gcc/gcc/tree-ssa-ccp.c: In member function ‘virtual unsigned int {anonymous}::pass_post_ipa_warn::execute(function*)’: +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: unknown conversion type character ‘G’ in format [-Wformat=] + "expected", stmt, i + 1)) + ^ +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function ‘bool maybe_diag_stxncpy_trunc(gimple_stmt_iterator, tree, tree)’: +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func, cnt); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func, cnt, lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func, cnt, lenrange[1].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[1].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: unknown conversion type character ‘G’ in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func, cnt); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function ‘void handle_builtin_stxncpy(built_in_function, gimple_stmt_iterator*)’: +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func)) + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘void print_conversion_rejection(location_t, conversion_info*, tree)’: +../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: unknown conversion type character ‘H’ in format [-Wformat=] + from, info->to_type); + ^ +../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: unknown conversion type character ‘H’ in format [-Wformat=] + from, info->to_type); + ^ +../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: unknown conversion type character ‘H’ in format [-Wformat=] + info->n_arg + 1, from, info->to_type); + ^ +../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘z_candidate* build_user_type_conversion_1(tree, tree, int, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: unknown conversion type character ‘H’ in format [-Wformat=] + fromtype, totype); + ^ +../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* build_converted_constant_expr_internal(tree, tree, int, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: unknown conversion type character ‘H’ in format [-Wformat=] + type, next_conversion (c)->type); + ^ +../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "converted constant expression", t, type); + ^ +../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] + TREE_TYPE (expr), type); + ^ +../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* build_conditional_expr_1(const op_location_t&, tree, tree, tree, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "involves truncation", arg2_type, vtype); + ^ +../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "involves truncation", arg3_type, vtype); + ^ +../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* convert_like_real(conversion*, tree, tree, int, bool, bool, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: unknown conversion type character ‘H’ in format [-Wformat=] + totype, TREE_TYPE (expr)); + ^ +../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: unknown conversion type character ‘H’ in format [-Wformat=] + totype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: unknown conversion type character ‘H’ in format [-Wformat=] + TREE_TYPE (expr), totype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "lvalue of type %qI", totype, extype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "type %qH to an rvalue of type %qI", totype, extype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "discards qualifiers", totype, extype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* convert_arg_to_ellipsis(tree, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: unknown conversion type character ‘H’ in format [-Wformat=] + arg_type, double_type_node); + ^ +../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘void complain_about_bad_argument(location_t, tree, tree, tree, int)’: +../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: unknown conversion type character ‘H’ in format [-Wformat=] + from_type, to_type); + ^ +../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘int joust(z_candidate*, z_candidate*, bool, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: unknown conversion type character ‘H’ in format [-Wformat=] + source, w->second_conv->type)) + ^ +../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* perform_implicit_conversion_flags(tree, tree, tsubst_flags_t, int)’: +../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: unknown conversion type character ‘H’ in format [-Wformat=] + expr, TREE_TYPE (expr), type); + ^ +../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* initialize_reference(tree, tree, int, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: unknown conversion type character ‘H’ in format [-Wformat=] + type, TREE_TYPE (expr)); + ^ +../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: unknown conversion type character ‘H’ in format [-Wformat=] + TREE_TYPE (expr)); + ^ +../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c: In function ‘tree_node* cp_convert_to_pointer(tree, tree, bool, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: unknown conversion type character ‘H’ in format [-Wformat=] + intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] + expr, intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] + expr, intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: unknown conversion type character ‘H’ in format [-Wformat=] + expr, intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: unknown conversion type character ‘H’ in format [-Wformat=] + error_at (loc, "invalid conversion from %qH to %qI", intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: unknown conversion type character ‘H’ in format [-Wformat=] + expr, intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c: In function ‘tree_node* convert_to_reference(tree, tree, int, int, tree, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: unknown conversion type character ‘H’ in format [-Wformat=] + ttr, reftype); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: unknown conversion type character ‘H’ in format [-Wformat=] + error_at (loc, "cannot convert type %qH to type %qI", intype, reftype); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c: In function ‘tree_node* ocp_convert(tree, tree, int, int, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/cvt.c:707:26: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_conv_diag); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] + TREE_TYPE (expr), type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/pt.c: In function ‘tree_node* tsubst_copy_and_build(tree, tree, tsubst_flags_t, tree, bool, bool)’: +../../../riscv-gcc/gcc/cp/pt.c:18292:20: warning: format not a string literal and no format arguments [-Wformat-security] + error (error_msg); + ^ +../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* cp_build_binary_op(const op_location_t&, tree_code, tree, tree, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/typeck.c:4480:24: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_op_diag); + ^ +../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* cp_build_unary_op(tree_code, tree, bool, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/typeck.c:6232:24: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_op_diag); + ^ +../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* convert_member_func_to_ptr(tree, tree, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "converting from %qH to %qI", intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* build_reinterpret_cast_1(tree, tree, bool, bool*, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: unknown conversion type character ‘H’ in format [-Wformat=] + intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: unknown conversion type character ‘H’ in format [-Wformat=] + " from %qH to %qI", intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: unknown conversion type character ‘H’ in format [-Wformat=] + " from %qH to %qI", intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "increases required alignment of target type", intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* build_const_cast_1(tree, tree, tsubst_flags_t, bool*)’: +../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: unknown conversion type character ‘H’ in format [-Wformat=] + src_type, dst_type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* convert_for_assignment(tree, tree, impl_conv_rhs, tree, int, tsubst_flags_t, int)’: +../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck2.c: In function ‘bool check_narrowing(tree, tree, tsubst_flags_t, bool)’: +../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: unknown conversion type character ‘H’ in format [-Wformat=] + init, ftype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] + init, ftype, type) + ^ +../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: unknown conversion type character ‘H’ in format [-Wformat=] + init, ftype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/fortran/trans-intrinsic.c: In function ‘void gfc_conv_intrinsic_findloc(gfc_se*, gfc_expr*)’: +../../../riscv-gcc/gcc/fortran/trans-intrinsic.c:5615:7: warning: ‘forward_branch’ may be used uninitialized in this function [-Wmaybe-uninitialized] + tmp = build3_v (COND_EXPR, backse.expr, forward_branch, back_branch); +../../../riscv-gcc/gcc/collect2.c: In function ‘void scan_prog_file(const char*, scanpass, scanfilter)’: +../../../riscv-gcc/gcc/collect2.c:2463:37: warning: format not a string literal and no format arguments [-Wformat-security] + fatal_error (input_location, errmsg); + ^ +../../../riscv-gcc/gcc/collect-utils.c: In function ‘pex_obj* collect_execute(const char*, char**, const char*, const char*, int, bool)’: +../../../riscv-gcc/gcc/collect-utils.c:195:37: warning: format not a string literal and no format arguments [-Wformat-security] + fatal_error (input_location, errmsg); + ^ +../../../riscv-gcc/gcc/lto-wrapper.c: In function ‘bool find_and_merge_options(int, off_t, const char*, cl_decoded_option**, unsigned int*, const char*)’: +../../../riscv-gcc/gcc/lto-wrapper.c:1005:8: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + read (fd, data, length); + ~~~~~^~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ + "GTY"/{EOID} { return GTY_TOKEN; } + ^~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here + "^" | + ^~~~ +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ + + ^ +../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here + size_t len; + ^ ~~ +../../../riscv-gcc/gcc/gcc.c: In function ‘long unsigned int get_random_number()’: +../../../riscv-gcc/gcc/gcc.c:9639:12: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + read (fd, &ret, sizeof (HOST_WIDE_INT)); + ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c: In function ‘void do_report_bug(const char**, int, char**, char**)’: +../../../riscv-gcc/gcc/gcc.c:6999:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + write (fd, "\n//", 3); + ~~~~~~^~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7002:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + write (fd, " ", 1); + ~~~~~~^~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7003:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + write (fd, new_argv[i], strlen (new_argv[i])); + ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7005:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + write (fd, "\n\n", 2); + ~~~~~~^~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/lto/lto.c: In function ‘void lto_resolution_read(splay_tree, FILE*, lto_file*)’: +../../../riscv-gcc/gcc/lto/lto.c:1918:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (resolution, " "); /* Read white space. */ + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/lto/lto.c:1920:9: warning: ignoring return value of ‘size_t fread(void*, size_t, size_t, FILE*)’, declared with attribute warn_unused_result [-Wunused-result] + fread (obj_name, sizeof (char), name_len, resolution); + ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/lto/lto.c:1940:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (resolution, "%u", &num_symbols); + ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/config/riscv/riscv.md:2281:1: warning: operand 0 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2303:1: warning: operand 1 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2326:1: warning: operand 0 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2349:1: warning: operand 1 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2415:1: warning: source missing a mode? +Statistics for recog: + Number of decisions: 1699 + longest path: 32 (code: 188) + longest backtrack: 13 (code: 336) +Statistics for split_insns: + Number of decisions: 209 + longest path: 30 (code: 40) + longest backtrack: 3 (code: 40) +Statistics for peephole2_insns: + Number of decisions: 22 + longest path: 22 (code: 1) + longest backtrack: 0 (code: 1) +Shared 1212 out of 3026 states by creating 356 new states, saving 856 +Applying io_quotes_use to drm/exynos_drm.h +Applying io_quotes_use to drm/nouveau_drm.h +Applying io_quotes_use to drm/radeon_drm.h +Applying io_quotes_use to drm/drm.h +Applying io_quotes_use to drm/etnaviv_drm.h +Applying io_quotes_use to drm/v3d_drm.h +Applying io_quotes_use to drm/savage_drm.h +Applying io_quotes_use to drm/vc4_drm.h +Applying io_quotes_use to drm/mga_drm.h +Applying io_quotes_use to drm/omap_drm.h +Applying io_quotes_use to drm/i915_drm.h +Applying io_quotes_use to drm/r128_drm.h +Applying io_quotes_use to drm/msm_drm.h +Applying io_quotes_use to drm/amdgpu_drm.h +Applying io_quotes_use to drm/via_drm.h +Applying io_quotes_use to drm/sis_drm.h +Applying io_quotes_use to drm/vgem_drm.h +Applying io_quotes_use to drm/i810_drm.h +Applying io_quotes_use to drm/tegra_drm.h +Applying hpux8_bogus_inlines to math.h +Applying io_quotes_use to linux/fd.h +Applying io_quotes_use to linux/usb/tmc.h +Applying io_quotes_use to linux/nbd.h +Applying io_quotes_use to linux/ppdev.h +Applying io_quotes_def to linux/ppp-comp.h +Applying io_quotes_use to linux/fuse.h +Applying io_quotes_use to linux/ipmi_bmc.h +Applying io_quotes_use to linux/lightnvm.h +Applying io_quotes_use to linux/gpio.h +Applying io_quotes_use to linux/spi/spidev.h +Applying io_quotes_use to linux/mmtimer.h +Applying io_quotes_use to linux/btrfs.h +-fself-test: 46338 pass(es) in 0.468013 seconds +Applying io_quotes_use to linux/bt-bmc.h +Applying io_quotes_use to linux/nsfs.h +Applying io_quotes_def to linux/version.h +Applying io_quotes_use to linux/seccomp.h +Applying io_quotes_use to linux/mmc/ioctl.h +Applying io_quotes_use to linux/phantom.h +-fself-test: 46308 pass(es) in 0.474821 seconds +Applying io_quotes_use to linux/vm_sockets.h +Applying io_quotes_use to linux/omapfb.h +Applying io_quotes_def to linux/soundcard.h +Applying io_quotes_use to linux/vtpm_proxy.h +Applying io_quotes_use to linux/synclink.h +Applying io_quotes_use to linux/dn.h +Applying io_quotes_use to linux/atmbr2684.h +Applying io_quotes_use to linux/rpmsg.h +Applying io_quotes_use to linux/rio_cm_cdev.h +Applying io_quotes_use to linux/cciss_ioctl.h +Applying io_quotes_use to linux/sync_file.h +Applying io_quotes_use to linux/tee.h +Applying io_quotes_use to linux/i2o-dev.h +Applying io_quotes_use to linux/rfkill.h +Applying io_quotes_use to linux/watchdog.h +Applying io_quotes_use to linux/cm4000_cs.h +Applying io_quotes_use to linux/genwqe/genwqe_card.h +Applying io_quotes_use to linux/vfio.h +Applying io_quotes_use to linux/gigaset_dev.h +Applying io_quotes_use to linux/if_pppox.h +Applying io_quotes_use to linux/blkzoned.h +Applying io_quotes_use to linux/ndctl.h +Applying io_quotes_use to linux/agpgart.h +Applying io_quotes_use to linux/blkpg.h +Applying io_quotes_use to linux/fpga-dfl.h +Applying io_quotes_use to linux/psp-sev.h +Applying io_quotes_use to linux/wmi.h +Applying io_quotes_use to linux/fs.h +Applying io_quotes_use to linux/ptp_clock.h +Applying io_quotes_use to linux/reiserfs_fs.h +Applying io_quotes_use to linux/dma-buf.h +Applying io_quotes_use to linux/raid/md_u.h +Applying io_quotes_use to linux/random.h +Applying io_quotes_use to linux/auto_fs.h +Applying io_quotes_use to linux/uinput.h +Applying io_quotes_use to linux/suspend_ioctls.h +Applying io_quotes_use to linux/vboxguest.h +Applying io_quotes_use to linux/ipmi.h +Applying io_quotes_use to linux/dm-ioctl.h +Applying io_quotes_use to linux/aspeed-lpc-ctrl.h +Applying io_quotes_use to linux/hsi/cs-protocol.h +Applying io_quotes_use to linux/hsi/hsi_char.h +Applying io_quotes_use to linux/raw.h +Applying io_quotes_use to linux/vhost.h +Applying io_quotes_use to linux/userfaultfd.h +Applying io_quotes_def to linux/pci_regs.h +Applying io_quotes_use to linux/pktcdvd.h +Applying io_quotes_use to rdma/rdma_user_ioctl.h +Applying sun_malloc to malloc.h +Applying pthread_incomplete_struct_argument to pthread.h +Applying io_quotes_use to video/sisfb.h +Applying sysv68_string to string.h +Applying io_quotes_use to sound/emu10k1.h +Applying io_quotes_use to sound/compress_offload.h +Applying io_quotes_use to sound/asequencer.h +Applying io_quotes_use to sound/asound.h +Applying glibc_stdint to stdint.h +Applying io_quotes_use to sys/mount.h +Applying io_quotes_use to sys/raw.h +Applying io_quotes_use to scsi/cxlflash_ioctl.h +Applying io_quotes_use to mtd/ubi-user.h +Applying io_quotes_use to misc/cxl.h +Applying io_quotes_use to misc/ocxl.h +configure: WARNING: decimal float is not supported for this target, ignored +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +In file included from ../../../../riscv-gcc/libgcc/unwind-dw2.c:411: +./md-unwind-support.h: In function 'riscv_fallback_frame_state': +./md-unwind-support.h:67:6: warning: assignment to 'struct sigcontext *' from incompatible pointer type 'mcontext_t *' {aka 'struct mcontext_t *'} [-Wincompatible-pointer-types] + 67 | sc = &rt_->uc.uc_mcontext; + | ^ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c: In function '__sync_nand_and_fetch_1': +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:63:14: note: '__sync_fetch_and_nand' changed semantics in GCC 4.4 + 63 | type o = __sync_fetch_and_ ## opname ## _ ## size (p, v); \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +In file included from ../../../../riscv-gcc/libgcc/unwind-dw2.c:411: +./md-unwind-support.h: In function 'riscv_fallback_frame_state': +./md-unwind-support.h:67:6: warning: assignment to 'struct sigcontext *' from incompatible pointer type 'mcontext_t *' {aka 'struct mcontext_t *'} [-Wincompatible-pointer-types] + 67 | sc = &rt_->uc.uc_mcontext; + | ^ +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +configure: WARNING: No native atomic operations are provided for this platform. +configure: WARNING: They will be faked using a mutex. +configure: WARNING: Performance of certain classes will degrade as a result. +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c: In function 'date_and_time': +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:33: warning: '%04d' directive output may be truncated writing between 4 and 11 bytes into a region of size 9 [-Wformat-truncation=] + 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", + | ^~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:32: note: directive argument in the range [-2147481748, 2147483647] + 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", + | ^~~~~~~~~~~~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:32: note: directive argument in the range [-2147483647, 2147483647] +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:2: note: 'snprintf' output between 9 and 34 bytes into a destination of size 9 + 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + 166 | values[0], values[1], values[2]); + | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:33: warning: '%+03d' directive output may be truncated writing between 3 and 9 bytes into a region of size 6 [-Wformat-truncation=] + 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", + | ^~~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:32: note: directive argument in the range [-35791394, 35791394] + 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", + | ^~~~~~~~~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:32: note: directive argument in the range [0, 59] +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:2: note: 'snprintf' output between 6 and 12 bytes into a destination of size 6 + 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + 173 | values[3] / 60, abs (values[3] % 60)); + | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: .libs/fpu.o: in function `.L11': +fpu.c:(.text._gfortrani_set_fpu_trap_exceptions+0x86): warning: fedisableexcept is not implemented and will always fail +/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: fpu.c:(.text._gfortrani_set_fpu_trap_exceptions+0x74): warning: feenableexcept is not implemented and will always fail +/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: .libs/fpu.o: in function `_gfortrani_get_fpu_trap_exceptions': +fpu.c:(.text._gfortrani_get_fpu_trap_exceptions+0x4): warning: fegetexcept is not implemented and will always fail +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-isa-sim'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-pk'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-tests'... +Submodule 'env' (https://github.com/riscv/riscv-test-env.git) registered for path 'toolchains/riscv-tools/riscv-tests/env' +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-tests/env'... +Cloning into '/home/riscvuser/chipyard/toolchains/libgloss'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu'... +Submodule 'capstone' (https://git.qemu.org/git/capstone.git) registered for path 'toolchains/qemu/capstone' +Submodule 'dtc' (https://git.qemu.org/git/dtc.git) registered for path 'toolchains/qemu/dtc' +Submodule 'roms/QemuMacDrivers' (https://git.qemu.org/git/QemuMacDrivers.git) registered for path 'toolchains/qemu/roms/QemuMacDrivers' +Submodule 'roms/SLOF' (https://git.qemu.org/git/SLOF.git) registered for path 'toolchains/qemu/roms/SLOF' +Submodule 'roms/edk2' (https://git.qemu.org/git/edk2.git) registered for path 'toolchains/qemu/roms/edk2' +Submodule 'roms/ipxe' (https://git.qemu.org/git/ipxe.git) registered for path 'toolchains/qemu/roms/ipxe' +Submodule 'roms/openbios' (https://git.qemu.org/git/openbios.git) registered for path 'toolchains/qemu/roms/openbios' +Submodule 'roms/openhackware' (https://git.qemu.org/git/openhackware.git) registered for path 'toolchains/qemu/roms/openhackware' +Submodule 'roms/opensbi' (https://git.qemu.org/git/opensbi.git) registered for path 'toolchains/qemu/roms/opensbi' +Submodule 'roms/qemu-palcode' (https://git.qemu.org/git/qemu-palcode.git) registered for path 'toolchains/qemu/roms/qemu-palcode' +Submodule 'roms/seabios' (https://git.qemu.org/git/seabios.git/) registered for path 'toolchains/qemu/roms/seabios' +Submodule 'roms/seabios-hppa' (https://git.qemu.org/git/seabios-hppa.git) registered for path 'toolchains/qemu/roms/seabios-hppa' +Submodule 'roms/sgabios' (https://git.qemu.org/git/sgabios.git) registered for path 'toolchains/qemu/roms/sgabios' +Submodule 'roms/skiboot' (https://git.qemu.org/git/skiboot.git) registered for path 'toolchains/qemu/roms/skiboot' +Submodule 'roms/u-boot' (https://git.qemu.org/git/u-boot.git) registered for path 'toolchains/qemu/roms/u-boot' +Submodule 'roms/u-boot-sam460ex' (https://git.qemu.org/git/u-boot-sam460ex.git) registered for path 'toolchains/qemu/roms/u-boot-sam460ex' +Submodule 'slirp' (https://git.qemu.org/git/libslirp.git) registered for path 'toolchains/qemu/slirp' +Submodule 'tests/fp/berkeley-softfloat-3' (https://git.qemu.org/git/berkeley-softfloat-3.git) registered for path 'toolchains/qemu/tests/fp/berkeley-softfloat-3' +Submodule 'tests/fp/berkeley-testfloat-3' (https://git.qemu.org/git/berkeley-testfloat-3.git) registered for path 'toolchains/qemu/tests/fp/berkeley-testfloat-3' +Submodule 'ui/keycodemapdb' (https://git.qemu.org/git/keycodemapdb.git) registered for path 'toolchains/qemu/ui/keycodemapdb' +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/capstone'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/dtc'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/QemuMacDrivers'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/SLOF'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/ipxe'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/openbios'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/openhackware'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/opensbi'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/qemu-palcode'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/seabios'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/seabios-hppa'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/sgabios'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/skiboot'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/u-boot'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/u-boot-sam460ex'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/slirp'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/tests/fp/berkeley-softfloat-3'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/tests/fp/berkeley-testfloat-3'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/ui/keycodemapdb'... +Submodule 'SoftFloat' (https://github.com/ucb-bar/berkeley-softfloat-3.git) registered for path 'toolchains/qemu/roms/edk2/ArmPkg/Library/ArmSoftFloatLib/berkeley-softfloat-3' +Submodule 'CryptoPkg/Library/OpensslLib/openssl' (https://github.com/openssl/openssl) registered for path 'toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl' +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/ArmPkg/Library/ArmSoftFloatLib/berkeley-softfloat-3'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl'... +Submodule 'boringssl' (https://boringssl.googlesource.com/boringssl) registered for path 'toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/boringssl' +Submodule 'krb5' (https://github.com/krb5/krb5) registered for path 'toolchai warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +configure: WARNING: gcc emits a warning for alias between functions of incompatible types +configure: WARNING: Multi-arch is disabled. +configure: WARNING: gcc emits a warning for alias between functions of incompatible types +configure: WARNING: Multi-arch is disabled. +configure: WARNING: minimum kernel version reset to 4.15.0 +configure: WARNING: minimum kernel version reset to 4.15.0 +*** errlist.c count 134 inflated to GLIBC_2.12 count 135 (old errno.h?) +*** This configuration is not supported in the following subdirectories: + zlib target-libquadmath target-libitm target-libsanitizer target-libvtv gnattools gotools target-libada target-libhsail-rt target-libphobos target-zlib target-libgo target-libffi target-libobjc target-liboffloadmic target-libssp + (Any other directories should still work fine.) +objcopy: /tmp/ls373943: debuglink section already exists +configure: WARNING: decimal float is not supported for this target, ignored +ar: `u' modifier ignored since `D' is the default (see `U') +../../../../riscv-gcc/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: +../../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] + 0, message); + ^ +../../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] + virtual_location, 0, message); + ^ +../../../../riscv-gcc/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: +../../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../../riscv-gcc/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: +../../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +../../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +libtool: install: warning: remember to run `libtool --finish /home/riscvuser/chipyard/riscv-tools-install/libexec/gcc/riscv64-unknown-linux-gnu/9.2.0' +ar: `u' modifier ignored since `D' is the default (see `U') +../../../riscv-gcc/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: +../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] + 0, message); + ^ +../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] + virtual_location, 0, message); + ^ +../../../riscv-gcc/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: +../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../riscv-gcc/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: +../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +ar: `u' modifier ignored since `D' is the default (see `U') +configure: WARNING: decimal float is not supported for this target, ignored +configure: WARNING: fixed-point is not supported for this target, ignored +Links are now set up to build a cross-compiler + from x86_64-pc-linux-gnu to riscv64-unknown-linux-gnu. +../../../riscv-gcc/gcc/genhooks.c: In function ‘void emit_documentation(const char*)’: +../../../riscv-gcc/gcc/genhooks.c:131:45: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + while (fscanf (f, "%*[^@]"), buf[0] = '\0', + ^ +../../../riscv-gcc/gcc/genhooks.c:140:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%999s", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/genhooks.c:193:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%5[^ \n]", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/genhooks.c:199:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%999s", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/fortran/gfortran.texi:2769: warning: @ref node name should not contain `:' +../../../riscv-gcc/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ + "GTY"/{EOID} { return GTY_TOKEN; } + ^~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here + "^" | + ^~~~ +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ + + ^ +../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here + size_t len; + ^ ~~ +GIMPLE decision tree has 2874 leafs, maximum depth 12 and a total number of 11721 nodes +removed 1855 duplicate tails +GENERIC decision tree has 2760 leafs, maximum depth 12 and a total number of 11180 nodes +removed 1757 duplicate tails +../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘int convert_arguments(location_t, vec, tree, vec*, vec*, tree, tree)’: +../../../riscv-gcc/gcc/c/c-typeck.c:3601:28: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_func_diag); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘tree_node* build_unary_op(location_t, tree_code, tree, bool)’: +../../../riscv-gcc/gcc/c/c-typeck.c:4339:42: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (location, invalid_op_diag); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘void warning_init(location_t, int, const char*)’: +../../../riscv-gcc/gcc/c/c-typeck.c:6400:43: warning: format not a string literal and no format arguments [-Wformat-security] + warned = warning_at (exploc, opt, gmsgid); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘tree_node* build_binary_op(location_t, tree_code, tree, tree, bool)’: +../../../riscv-gcc/gcc/c/c-typeck.c:11480:42: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (location, invalid_op_diag); + ^ +../../../riscv-gcc/gcc/c/c-convert.c: In function ‘tree_node* convert(tree, tree)’: +../../../riscv-gcc/gcc/c/c-convert.c:81:31: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_conv_diag); + ^ +../../../riscv-gcc/gcc/c-family/c-common.c: In function ‘void c_parse_error(const char*, cpp_ttype, tree, unsigned char, rich_location*)’: +../../../riscv-gcc/gcc/c-family/c-common.c:6144:30: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (richloc, gmsgid); + ^ +../../../riscv-gcc/gcc/c-family/c-common.c:6148:33: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (richloc, message); + ^ +../../../riscv-gcc/gcc/fold-const.c: In function ‘void fold_overflow_warning(const char*, warn_strict_overflow_code)’: +../../../riscv-gcc/gcc/fold-const.c:303:42: warning: format not a string literal and no format arguments [-Wformat-security] + warning (OPT_Wstrict_overflow, gmsgid); + ^ +../../../riscv-gcc/gcc/gimple-fold.c: In function ‘bool gimple_fold_builtin_strncpy(gimple_stmt_iterator*, tree, tree, tree)’: +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, fndecl, slen); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, fndecl); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c: In function ‘bool gimple_fold_builtin_strncat(gimple_stmt_iterator*)’: +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, fndecl, len, dstsize); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, fndecl, len)) + ^ +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c: In function ‘void find_explicit_erroneous_behavior()’: +../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c:554:35: warning: format not a string literal and no format arguments [-Wformat-security] + OPT_Wreturn_local_addr, msg)) + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In function ‘bool {anonymous}::format_directive(const {anonymous}::sprintf_dom_walker::call_info&, {anonymous}::format_result*, const {anonymous}::directive&, vr_values*)’: +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: unknown conversion type character ‘G’ in format [-Wformat=] + target_to_host (hostdir, sizeof hostdir, dir.beg)); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: field precision specifier ‘.*’ expects argument of type ‘int’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In member function ‘bool {anonymous}::sprintf_dom_walker::handle_gimple_call(gimple_stmt_iterator*)’: +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] + info.callstmt); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: unknown conversion type character ‘G’ in format [-Wformat=] + info.callstmt); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘bool {anonymous}::maybe_diag_overlap(location_t, gimple*, {anonymous}::builtin_access&)’: +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[0], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 10 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[0], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%s’ expects argument of type ‘char*’, but argument 10 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, sizrange[0], sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character ‘G’ in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘bool {anonymous}::maybe_diag_access_bounds(location_t, gimple*, tree, int, const {anonymous}::builtin_memref&, bool)’: +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] + maxobjsize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] + maxobjsize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], rangestr[1], ref.base, type)) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], rangestr[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], rangestr[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ref.base, TREE_TYPE (ref.base))) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘long unsigned int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘long unsigned int’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ref.base, TREE_TYPE (ref.base))) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], ref.basesize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func, rangestr[0], ref.base, type); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%E’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: unknown conversion type character ‘G’ in format [-Wformat=] + ref.refoff.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%E’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘int check_bounds_or_overlap(gimple*, tree, tree, tree, tree, bool, bool)’: +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: unknown conversion type character ‘G’ in format [-Wformat=] + call, func); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/hsa-gen.c: In member function ‘void omp_simple_builtin::generate(gimple*, hsa_bb*)’: +../../../riscv-gcc/gcc/hsa-gen.c:88:32: warning: format not a string literal and no format arguments [-Wformat-security] + inform (location, message); \ + ^ +../../../riscv-gcc/gcc/hsa-gen.c:4548:2: note: in expansion of macro ‘HSA_SORRY_AT’ + HSA_SORRY_AT (gimple_location (stmt), m_warning_message); + ^~~~~~~~~~~~ +../../../riscv-gcc/gcc/hsa-gen.c:4555:68: warning: format not a string literal and no format arguments [-Wformat-security] + warning_at (gimple_location (stmt), OPT_Whsa, m_warning_message); + ^ +../../../riscv-gcc/gcc/ipa-devirt.c: In function ‘void warn_odr(tree, tree, tree, tree, bool, bool*, const char*)’: +../../../riscv-gcc/gcc/ipa-devirt.c:1050:47: warning: format not a string literal and no format arguments [-Wformat-security] + inform (DECL_SOURCE_LOCATION (decl2), reason); + ^ +../../../riscv-gcc/gcc/reload1.c: In function ‘void elimination_costs_in_insn(rtx_insn*)’: +../../../riscv-gcc/gcc/reload1.c:3754:28: warning: ‘orig_dup[0]’ may be used uninitialized in this function [-Wmaybe-uninitialized] + *recog_data.dup_loc[i] = orig_dup[i]; + ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ +../../../riscv-gcc/gcc/tree-ssa-ccp.c: In member function ‘virtual unsigned int {anonymous}::pass_post_ipa_warn::execute(function*)’: +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: unknown conversion type character ‘G’ in format [-Wformat=] + "expected", stmt, i + 1)) + ^ +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function ‘bool maybe_diag_stxncpy_trunc(gimple_stmt_iterator, tree, tree)’: +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func, cnt); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func, cnt, lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func, cnt, lenrange[1].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[1].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: unknown conversion type character ‘G’ in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func, cnt); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function ‘void handle_builtin_stxncpy(built_in_function, gimple_stmt_iterator*)’: +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func)) + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: unknown conversion type character ‘G’ in format [-Wformat=] + stmt, func); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘void print_conversion_rejection(location_t, conversion_info*, tree)’: +../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: unknown conversion type character ‘H’ in format [-Wformat=] + from, info->to_type); + ^ +../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: unknown conversion type character ‘H’ in format [-Wformat=] + from, info->to_type); + ^ +../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: unknown conversion type character ‘H’ in format [-Wformat=] + info->n_arg + 1, from, info->to_type); + ^ +../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘z_candidate* build_user_type_conversion_1(tree, tree, int, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: unknown conversion type character ‘H’ in format [-Wformat=] + fromtype, totype); + ^ +../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* build_converted_constant_expr_internal(tree, tree, int, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: unknown conversion type character ‘H’ in format [-Wformat=] + type, next_conversion (c)->type); + ^ +../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "converted constant expression", t, type); + ^ +../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] + TREE_TYPE (expr), type); + ^ +../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* build_conditional_expr_1(const op_location_t&, tree, tree, tree, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "involves truncation", arg2_type, vtype); + ^ +../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "involves truncation", arg3_type, vtype); + ^ +../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* convert_like_real(conversion*, tree, tree, int, bool, bool, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: unknown conversion type character ‘H’ in format [-Wformat=] + totype, TREE_TYPE (expr)); + ^ +../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: unknown conversion type character ‘H’ in format [-Wformat=] + totype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: unknown conversion type character ‘H’ in format [-Wformat=] + TREE_TYPE (expr), totype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "lvalue of type %qI", totype, extype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "type %qH to an rvalue of type %qI", totype, extype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "discards qualifiers", totype, extype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* convert_arg_to_ellipsis(tree, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: unknown conversion type character ‘H’ in format [-Wformat=] + arg_type, double_type_node); + ^ +../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘void complain_about_bad_argument(location_t, tree, tree, tree, int)’: +../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: unknown conversion type character ‘H’ in format [-Wformat=] + from_type, to_type); + ^ +../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘int joust(z_candidate*, z_candidate*, bool, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: unknown conversion type character ‘H’ in format [-Wformat=] + source, w->second_conv->type)) + ^ +../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* perform_implicit_conversion_flags(tree, tree, tsubst_flags_t, int)’: +../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: unknown conversion type character ‘H’ in format [-Wformat=] + expr, TREE_TYPE (expr), type); + ^ +../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* initialize_reference(tree, tree, int, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: unknown conversion type character ‘H’ in format [-Wformat=] + type, TREE_TYPE (expr)); + ^ +../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: unknown conversion type character ‘H’ in format [-Wformat=] + TREE_TYPE (expr)); + ^ +../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c: In function ‘tree_node* cp_convert_to_pointer(tree, tree, bool, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: unknown conversion type character ‘H’ in format [-Wformat=] + intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] + expr, intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] + expr, intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: unknown conversion type character ‘H’ in format [-Wformat=] + expr, intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: unknown conversion type character ‘H’ in format [-Wformat=] + error_at (loc, "invalid conversion from %qH to %qI", intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: unknown conversion type character ‘H’ in format [-Wformat=] + expr, intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c: In function ‘tree_node* convert_to_reference(tree, tree, int, int, tree, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: unknown conversion type character ‘H’ in format [-Wformat=] + ttr, reftype); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: unknown conversion type character ‘H’ in format [-Wformat=] + error_at (loc, "cannot convert type %qH to type %qI", intype, reftype); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c: In function ‘tree_node* ocp_convert(tree, tree, int, int, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/cvt.c:707:26: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_conv_diag); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] + TREE_TYPE (expr), type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/pt.c: In function ‘tree_node* tsubst_copy_and_build(tree, tree, tsubst_flags_t, tree, bool, bool)’: +../../../riscv-gcc/gcc/cp/pt.c:18292:20: warning: format not a string literal and no format arguments [-Wformat-security] + error (error_msg); + ^ +../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* cp_build_binary_op(const op_location_t&, tree_code, tree, tree, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/typeck.c:4480:24: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_op_diag); + ^ +../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* cp_build_unary_op(tree_code, tree, bool, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/typeck.c:6232:24: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_op_diag); + ^ +../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* convert_member_func_to_ptr(tree, tree, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "converting from %qH to %qI", intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* build_reinterpret_cast_1(tree, tree, bool, bool*, tsubst_flags_t)’: +../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: unknown conversion type character ‘H’ in format [-Wformat=] + intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: unknown conversion type character ‘H’ in format [-Wformat=] + " from %qH to %qI", intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: unknown conversion type character ‘H’ in format [-Wformat=] + " from %qH to %qI", intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: unknown conversion type character ‘H’ in format [-Wformat=] + "increases required alignment of target type", intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* build_const_cast_1(tree, tree, tsubst_flags_t, bool*)’: +../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: unknown conversion type character ‘H’ in format [-Wformat=] + src_type, dst_type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* convert_for_assignment(tree, tree, impl_conv_rhs, tree, int, tsubst_flags_t, int)’: +../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck2.c: In function ‘bool check_narrowing(tree, tree, tsubst_flags_t, bool)’: +../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: unknown conversion type character ‘H’ in format [-Wformat=] + init, ftype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] + init, ftype, type) + ^ +../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: unknown conversion type character ‘H’ in format [-Wformat=] + init, ftype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: unknown conversion type character ‘I’ in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/fortran/trans-intrinsic.c: In function ‘void gfc_conv_intrinsic_findloc(gfc_se*, gfc_expr*)’: +../../../riscv-gcc/gcc/fortran/trans-intrinsic.c:5615:7: warning: ‘forward_branch’ may be used uninitialized in this function [-Wmaybe-uninitialized] + tmp = build3_v (COND_EXPR, backse.expr, forward_branch, back_branch); +../../../riscv-gcc/gcc/collect2.c: In function ‘void scan_prog_file(const char*, scanpass, scanfilter)’: +../../../riscv-gcc/gcc/collect2.c:2463:37: warning: format not a string literal and no format arguments [-Wformat-security] + fatal_error (input_location, errmsg); + ^ +../../../riscv-gcc/gcc/collect-utils.c: In function ‘pex_obj* collect_execute(const char*, char**, const char*, const char*, int, bool)’: +../../../riscv-gcc/gcc/collect-utils.c:195:37: warning: format not a string literal and no format arguments [-Wformat-security] + fatal_error (input_location, errmsg); + ^ +../../../riscv-gcc/gcc/lto-wrapper.c: In function ‘bool find_and_merge_options(int, off_t, const char*, cl_decoded_option**, unsigned int*, const char*)’: +../../../riscv-gcc/gcc/lto-wrapper.c:1005:8: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + read (fd, data, length); + ~~~~~^~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ + "GTY"/{EOID} { return GTY_TOKEN; } + ^~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here + "^" | + ^~~~ +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ + + ^ +../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here + size_t len; + ^ ~~ +../../../riscv-gcc/gcc/gcc.c: In function ‘long unsigned int get_random_number()’: +../../../riscv-gcc/gcc/gcc.c:9639:12: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + read (fd, &ret, sizeof (HOST_WIDE_INT)); + ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c: In function ‘void do_report_bug(const char**, int, char**, char**)’: +../../../riscv-gcc/gcc/gcc.c:6999:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + write (fd, "\n//", 3); + ~~~~~~^~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7002:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + write (fd, " ", 1); + ~~~~~~^~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7003:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + write (fd, new_argv[i], strlen (new_argv[i])); + ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7005:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] + write (fd, "\n\n", 2); + ~~~~~~^~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/lto/lto.c: In function ‘void lto_resolution_read(splay_tree, FILE*, lto_file*)’: +../../../riscv-gcc/gcc/lto/lto.c:1918:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (resolution, " "); /* Read white space. */ + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/lto/lto.c:1920:9: warning: ignoring return value of ‘size_t fread(void*, size_t, size_t, FILE*)’, declared with attribute warn_unused_result [-Wunused-result] + fread (obj_name, sizeof (char), name_len, resolution); + ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/lto/lto.c:1940:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] + fscanf (resolution, "%u", &num_symbols); + ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/config/riscv/riscv.md:2281:1: warning: operand 0 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2303:1: warning: operand 1 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2326:1: warning: operand 0 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2349:1: warning: operand 1 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2415:1: warning: source missing a mode? +Statistics for recog: + Number of decisions: 1699 + longest path: 32 (code: 188) + longest backtrack: 13 (code: 336) +Statistics for split_insns: + Number of decisions: 209 + longest path: 30 (code: 40) + longest backtrack: 3 (code: 40) +Statistics for peephole2_insns: + Number of decisions: 22 + longest path: 22 (code: 1) + longest backtrack: 0 (code: 1) +Shared 1212 out of 3026 states by creating 356 new states, saving 856 +-fself-test: 46338 pass(es) in 0.621204 seconds +-fself-test: 46308 pass(es) in 0.606442 seconds +Applying io_quotes_use to drm/exynos_drm.h +Applying io_quotes_use to drm/nouveau_drm.h +Applying io_quotes_use to drm/radeon_drm.h +Applying io_quotes_use to drm/drm.h +Applying io_quotes_use to drm/etnaviv_drm.h +Applying io_quotes_use to drm/v3d_drm.h +Applying io_quotes_use to drm/savage_drm.h +Applying io_quotes_use to drm/vc4_drm.h +Applying io_quotes_use to drm/mga_drm.h +Applying io_quotes_use to drm/omap_drm.h +Applying io_quotes_use to drm/i915_drm.h +Applying io_quotes_use to drm/r128_drm.h +Applying io_quotes_use to drm/msm_drm.h +Applying io_quotes_use to drm/amdgpu_drm.h +Applying io_quotes_use to drm/via_drm.h +Applying io_quotes_use to drm/sis_drm.h +Applying io_quotes_use to drm/vgem_drm.h +Applying io_quotes_use to drm/i810_drm.h +Applying io_quotes_use to drm/tegra_drm.h +Applying hpux8_bogus_inlines to math.h +Applying io_quotes_use to linux/fd.h +Applying io_quotes_use to linux/usb/tmc.h +Applying io_quotes_use to linux/nbd.h +Applying io_quotes_use to linux/ppdev.h +Applying io_quotes_def to linux/ppp-comp.h +Applying io_quotes_use to linux/fuse.h +Applying io_quotes_use to linux/ipmi_bmc.h +Applying io_quotes_use to linux/lightnvm.h +Applying io_quotes_use to linux/gpio.h +Applying io_quotes_use to linux/spi/spidev.h +Applying io_quotes_use to linux/mmtimer.h +Applying io_quotes_use to linux/btrfs.h +Applying io_quotes_use to linux/bt-bmc.h +Applying io_quotes_use to linux/nsfs.h +Applying io_quotes_def to linux/version.h +Applying io_quotes_use to linux/seccomp.h +Applying io_quotes_use to linux/mmc/ioctl.h +Applying io_quotes_use to linux/phantom.h +Applying io_quotes_use to linux/vm_sockets.h +Applying io_quotes_use to linux/omapfb.h +Applying io_quotes_def to linux/soundcard.h +Applying io_quotes_use to linux/vtpm_proxy.h +Applying io_quotes_use to linux/synclink.h +Applying io_quotes_use to linux/dn.h +Applying io_quotes_use to linux/atmbr2684.h +Applying io_quotes_use to linux/rpmsg.h +Applying io_quotes_use to linux/rio_cm_cdev.h +Applying io_quotes_use to linux/cciss_ioctl.h +Applying io_quotes_use to linux/sync_file.h +Applying io_quotes_use to linux/tee.h +Applying io_quotes_use to linux/i2o-dev.h +Applying io_quotes_use to linux/rfkill.h +Applying io_quotes_use to linux/watchdog.h +Applying io_quotes_use to linux/cm4000_cs.h +Applying io_quotes_use to linux/genwqe/genwqe_card.h +Applying io_quotes_use to linux/vfio.h +Applying io_quotes_use to linux/gigaset_dev.h +Applying io_quotes_use to linux/if_pppox.h +Applying io_quotes_use to linux/blkzoned.h +Applying io_quotes_use to linux/ndctl.h +Applying io_quotes_use to linux/agpgart.h +Applying io_quotes_use to linux/blkpg.h +Applying io_quotes_use to linux/fpga-dfl.h +Applying io_quotes_use to linux/psp-sev.h +Applying io_quotes_use to linux/wmi.h +Applying io_quotes_use to linux/fs.h +Applying io_quotes_use to linux/ptp_clock.h +Applying io_quotes_use to linux/reiserfs_fs.h +Applying io_quotes_use to linux/dma-buf.h +Applying io_quotes_use to linux/raid/md_u.h +Applying io_quotes_use to linux/random.h +Applying io_quotes_use to linux/auto_fs.h +Applying io_quotes_use to linux/uinput.h +Applying io_quotes_use to linux/suspend_ioctls.h +Applying io_quotes_use to linux/vboxguest.h +Applying io_quotes_use to linux/ipmi.h +Applying io_quotes_use to linux/dm-ioctl.h +Applying io_quotes_use to linux/aspeed-lpc-ctrl.h +Applying io_quotes_use to linux/hsi/cs-protocol.h +Applying io_quotes_use to linux/hsi/hsi_char.h +Applying io_quotes_use to linux/raw.h +Applying io_quotes_use to linux/vhost.h +Applying io_quotes_use to linux/userfaultfd.h +Applying io_quotes_def to linux/pci_regs.h +Applying io_quotes_use to linux/pktcdvd.h +Applying io_quotes_use to rdma/rdma_user_ioctl.h +Applying sun_malloc to malloc.h +Applying pthread_incomplete_struct_argument to pthread.h +Applying io_quotes_use to video/sisfb.h +Applying sysv68_string to string.h +Applying io_quotes_use to sound/emu10k1.h +Applying io_quotes_use to sound/compress_offload.h +Applying io_quotes_use to sound/asequencer.h +Applying io_quotes_use to sound/asound.h +Applying glibc_stdint to stdint.h +Applying io_quotes_use to sys/mount.h +Applying io_quotes_use to sys/raw.h +Applying io_quotes_use to scsi/cxlflash_ioctl.h +Applying io_quotes_use to mtd/ubi-user.h +Applying io_quotes_use to misc/cxl.h +Applying io_quotes_use to misc/ocxl.h +configure: WARNING: decimal float is not supported for this target, ignored +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +In file included from ../../../../riscv-gcc/libgcc/unwind-dw2.c:411: +./md-unwind-support.h: In function 'riscv_fallback_frame_state': +./md-unwind-support.h:67:6: warning: assignment to 'struct sigcontext *' from incompatible pointer type 'mcontext_t *' {aka 'struct mcontext_t *'} [-Wincompatible-pointer-types] + 67 | sc = &rt_->uc.uc_mcontext; + | ^ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c: In function '__sync_nand_and_fetch_1': +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:63:14: note: '__sync_fetch_and_nand' changed semantics in GCC 4.4 + 63 | type o = __sync_fetch_and_ ## opname ## _ ## size (p, v); \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +In file included from ../../../../riscv-gcc/libgcc/unwind-dw2.c:411: +./md-unwind-support.h: In function 'riscv_fallback_frame_state': +./md-unwind-support.h:67:6: warning: assignment to 'struct sigcontext *' from incompatible pointer type 'mcontext_t *' {aka 'struct mcontext_t *'} [-Wincompatible-pointer-types] + 67 | sc = &rt_->uc.uc_mcontext; + | ^ +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +configure: WARNING: No native atomic operations are provided for this platform. +configure: WARNING: They will be faked using a mutex. +configure: WARNING: Performance of certain classes will degrade as a result. +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c: In function 'date_and_time': +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:33: warning: '%04d' directive output may be truncated writing between 4 and 11 bytes into a region of size 9 [-Wformat-truncation=] + 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", + | ^~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:32: note: directive argument in the range [-2147481748, 2147483647] + 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", + | ^~~~~~~~~~~~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:32: note: directive argument in the range [-2147483647, 2147483647] +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:2: note: 'snprintf' output between 9 and 34 bytes into a destination of size 9 + 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + 166 | values[0], values[1], values[2]); + | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:33: warning: '%+03d' directive output may be truncated writing between 3 and 9 bytes into a region of size 6 [-Wformat-truncation=] + 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", + | ^~~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:32: note: directive argument in the range [-35791394, 35791394] + 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", + | ^~~~~~~~~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:32: note: directive argument in the range [0, 59] +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:2: note: 'snprintf' output between 6 and 12 bytes into a destination of size 6 + 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + 173 | values[3] / 60, abs (values[3] % 60)); + | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: .libs/fpu.o: in function `.L11': +fpu.c:(.text._gfortrani_set_fpu_trap_exceptions+0x86): warning: fedisableexcept is not implemented and will always fail +/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: fpu.c:(.text._gfortrani_set_fpu_trap_exceptions+0x74): warning: feenableexcept is not implemented and will always fail +/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: .libs/fpu.o: in function `_gfortrani_get_fpu_trap_exceptions': +fpu.c:(.text._gfortrani_get_fpu_trap_exceptions+0x4): warning: fegetexcept is not implemented and will always fail +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-isa-sim'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-pk'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-tests'... +Submodule 'env' (https://github.com/riscv/riscv-test-env.git) registered for path 'toolchains/riscv-tools/riscv-tests/env' +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-tests/env'... +Cloning into '/home/riscvuser/chipyard/toolchains/libgloss'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu'... +Submodule 'capstone' (https://git.qemu.org/git/capstone.git) registered for path 'toolchains/qemu/capstone' +Submodule 'dtc' (https://git.qemu.org/git/dtc.git) registered for path 'toolchains/qemu/dtc' +Submodule 'roms/QemuMacDrivers' (https://git.qemu.org/git/QemuMacDrivers.git) registered for path 'toolchains/qemu/roms/QemuMacDrivers' +Submodule 'roms/SLOF' (https://git.qemu.org/git/SLOF.git) registered for path 'toolchains/qemu/roms/SLOF' +Submodule 'roms/edk2' (https://git.qemu.org/git/edk2.git) registered for path 'toolchains/qemu/roms/edk2' +Submodule 'roms/ipxe' (https://git.qemu.org/git/ipxe.git) registered for path 'toolchains/qemu/roms/ipxe' +Submodule 'roms/openbios' (https://git.qemu.org/git/openbios.git) registered for path 'toolchains/qemu/roms/openbios' +Submodule 'roms/openhackware' (https://git.qemu.org/git/openhackware.git) registered for path 'toolchains/qemu/roms/openhackware' +Submodule 'roms/opensbi' (https://git.qemu.org/git/opensbi.git) registered for path 'toolchains/qemu/roms/opensbi' +Submodule 'roms/qemu-palcode' (https://git.qemu.org/git/qemu-palcode.git) registered for path 'toolchains/qemu/roms/qemu-palcode' +Submodule 'roms/seabios' (https://git.qemu.org/git/seabios.git/) registered for path 'toolchains/qemu/roms/seabios' +Submodule 'roms/seabios-hppa' (https://git.qemu.org/git/seabios-hppa.git) registered for path 'toolchains/qemu/roms/seabios-hppa' +Submodule 'roms/sgabios' (https://git.qemu.org/git/sgabios.git) registered for path 'toolchains/qemu/roms/sgabios' +Submodule 'roms/skiboot' (https://git.qemu.org/git/skiboot.git) registered for path 'toolchains/qemu/roms/skiboot' +Submodule 'roms/u-boot' (https://git.qemu.org/git/u-boot.git) registered for path 'toolchains/qemu/roms/u-boot' +Submodule 'roms/u-boot-sam460ex' (https://git.qemu.org/git/u-boot-sam460ex.git) registered for path 'toolchains/qemu/roms/u-boot-sam460ex' +Submodule 'slirp' (https://git.qemu.org/git/libslirp.git) registered for path 'toolchains/qemu/slirp' +Submodule 'tests/fp/berkeley-softfloat-3' (https://git.qemu.org/git/berkeley-softfloat-3.git) registered for path 'toolchains/qemu/tests/fp/berkeley-softfloat-3' +Submodule 'tests/fp/berkeley-testfloat-3' (https://git.qemu.org/git/berkeley-testfloat-3.git) registered for path 'toolchains/qemu/tests/fp/berkeley-testfloat-3' +Submodule 'ui/keycodemapdb' (https://git.qemu.org/git/keycodemapdb.git) registered for path 'toolchains/qemu/ui/keycodemapdb' +Cloning into '/home/riscvuser/chipya \ No newline at end of file From cfca224b6ff072ebeea5109410dc87082acc3e33 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Wed, 2 Dec 2020 21:06:56 -0800 Subject: [PATCH 006/225] removed log files for real this time? --- Dockerfile-ella | 296 --- build-clone-entrypoint.txt | 1294 ----------- completed.txt | 4486 ------------------------------------ entrypoint.sh | 4 - 4 files changed, 6080 deletions(-) delete mode 100644 Dockerfile-ella delete mode 100644 build-clone-entrypoint.txt delete mode 100644 completed.txt delete mode 100644 entrypoint.sh diff --git a/Dockerfile-ella b/Dockerfile-ella deleted file mode 100644 index b818539c45..0000000000 --- a/Dockerfile-ella +++ /dev/null @@ -1,296 +0,0 @@ -### Note: This DockerFile is adapted from https://github.com/ucb-bar/chipyard/blob/master/.circleci/images/Dockerfile which was adapted from: https://github.com/CircleCI-Public/example-images/openjdk -# This is a full chipyard setup, which will be built manually on-demand in the Tendermint Hardware Project at https://gitlab.com/virgohardware/core/ - -FROM ubuntu:18.04 - -MAINTAINER jacobgadikian@gmail.com - -# man directory is missing in some base images -# https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=863199 -RUN apt-get update && \ - apt-get upgrade -y && \ - mkdir -p /usr/share/man/man1 && \ - apt-get install -y \ - bzip2 \ - ca-certificates \ - curl \ - git \ - gnupg \ - gzip \ - libfl2 \ - libfl-dev \ - locales \ - mercurial \ - python-minimal \ - python-pexpect-doc \ - netcat \ - net-tools \ - openssh-client \ - parallel \ - sudo \ - tar \ - unzip \ - wget \ - xvfb \ - xxd \ - zip \ - ccache \ - libgoogle-perftools-dev \ - numactl \ - zlib1g \ - jq \ - openjdk-11-jdk \ - maven \ - #sbt \# - ant \ - gradle - -#ADDED -RUN apt-get install -y apt-utils - -#ADDED: get sbt -#RUN apt-get install default-jdk -y && \ -# wget www.scala-lang.org/files/archive/scala-2.13.0.deb && \ -# dpkg -i scala*.deb && \ -# echo "deb https://dl.bintray.com/sbt/debian /" | tee -a /#etc/apt/sources.list.d/sbt.list && \ -# sudo apt-key adv --keyserver hkp://keyserver.ubuntu.com:80 #--recv 2EE0EA64E40A89B84B2DF73499E82A75642AC823 && \ -# apt-get install sbt -y && \ -# sbt test -RUN echo "deb https://dl.bintray.com/sbt/debian /" | sudo tee -a /etc/apt/sources.list.d/sbt.list && \ - curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add && \ - sudo apt-get update && \ - sudo apt-get install sbt - -# Set timezone to UTC by default -RUN ln -sf /usr/share/zoneinfo/Etc/UTC /etc/localtime - -# Use unicode -RUN locale-gen C.UTF-8 || true -ENV LANG=C.UTF-8 - -# install jq -# RUN JQ_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/jq-latest" \ -# && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/jq $JQ_URL \ -# && chmod +x /usr/bin/jq \ -# && jq --version - -# Install Docker - -# Docker.com returns the URL of the latest binary when you hit a directory listing -# We curl this URL and `grep` the version out. -# The output looks like this: - -#> # To install, run the following commands as root: -#> curl -fsSLO https://download.docker.com/linux/static/stable/x86_64/docker-17.05.0-ce.tgz && tar --strip-components=1 -xvzf docker-17.05.0-ce.tgz -C /usr/local/bin -#> -#> # Then start docker in daemon mode: -#> /usr/local/bin/dockerd - -# RUN set -ex \ -# && export DOCKER_VERSION=$(curl --silent --fail --retry 3 https://download.docker.com/linux/static/stable/x86_64/ | grep -o -e 'docker-[.0-9]*-ce\.tgz' | sort -r | head -n 1) \ -# && DOCKER_URL="https://download.docker.com/linux/static/stable/x86_64/${DOCKER_VERSION}" \ -# && echo Docker URL: $DOCKER_URL \ -# && curl --silent --show-error --location --fail --retry 3 --output /tmp/docker.tgz "${DOCKER_URL}" \ -# && ls -lha /tmp/docker.tgz \ -# && tar -xz -C /tmp -f /tmp/docker.tgz \ -# && mv /tmp/docker/* /usr/bin \ -# && rm -rf /tmp/docker /tmp/docker.tgz \ -# && which docker \ -# && (docker version || true) - -# docker compose -# RUN COMPOSE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/docker-compose-latest" \ -# && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/docker-compose $COMPOSE_URL \ -# && chmod +x /usr/bin/docker-compose \ -# && docker-compose version - -# install dockerize -# RUN DOCKERIZE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/dockerize-latest.tar.gz" \ -# && curl --silent --show-error --location --fail --retry 3 --output /tmp/dockerize-linux-amd64.tar.gz $DOCKERIZE_URL \ -# && tar -C /usr/local/bin -xzvf /tmp/dockerize-linux-amd64.tar.gz \ -# && rm -rf /tmp/dockerize-linux-amd64.tar.gz \ -# && dockerize --version - -RUN groupadd --gid 3434 riscvuser \ - && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ - && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ - && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep - -# BEGIN IMAGE CUSTOMIZATIONS - -# cacerts from OpenJDK 9-slim to workaround http://bugs.java.com/view_bug.do?bug_id=8189357 -# AND https://github.com/docker-library/openjdk/issues/145 -# -# Created by running: -# docker run --rm openjdk:9-slim cat /etc/ssl/certs/java/cacerts | # aws s3 cp - s3://circle-downloads/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts --acl public-read -# RUN if java -fullversion 2>&1 | grep -q '"9.'; then curl --silent --show-error --location --fail --retry 3 --output /etc/ssl/certs/java/cacerts https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts; fi - -# Install Maven Version: 3.6.3 -# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-maven.tar.gz https://www.apache.org/dist/maven/maven-3/3.6.3/binaries/apache-maven-3.6.3-bin.tar.gz \ -# && tar xf /tmp/apache-maven.tar.gz -C /opt/ \ -# && rm /tmp/apache-maven.tar.gz \ -# && ln -s /opt/apache-maven-* /opt/apache-maven \ -# && /opt/apache-maven/bin/mvn -version - -# Install Ant Version: 1.10.5 -# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-ant.tar.gz https://archive.apache.org/dist/ant/binaries/apache-ant-1.10.5-bin.tar.gz \ -# && tar xf /tmp/apache-ant.tar.gz -C /opt/ \ -# && ln -s /opt/apache-ant-* /opt/apache-ant \ -# && rm -rf /tmp/apache-ant.tar.gz \ -# && /opt/apache-ant/bin/ant -version - -# ENV ANT_HOME=/opt/apache-ant - -# Install Gradle Version: 5.0 -# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/gradle.zip https://services.gradle.org/distributions/gradle-5.0-bin.zip \ -# && unzip -d /opt /tmp/gradle.zip \ -# && rm /tmp/gradle.zip \ -# && ln -s /opt/gradle-* /opt/gradle \ -# && /opt/gradle/bin/gradle -version - -# Install sbt from https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz -#RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/sbt.tgz https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz \ -# && tar -xzf /tmp/sbt.tgz -C /opt/ \ -# && rm /tmp/sbt.tgz \ -# && /opt/sbt/bin/sbt sbtVersion - -# Install openjfx -RUN apt-get update -RUN apt-get install -y --no-install-recommends openjfx - -# Add build-essential -RUN apt-get install -y build-essential - -# Add RISCV toolchain necessary dependencies -RUN apt-get update -RUN apt-get install -y \ - autoconf \ - automake \ - autotools-dev \ - babeltrace \ - bc \ - curl \ - device-tree-compiler \ - expat \ - flex \ - gawk \ - gperf \ - g++ \ - libexpat-dev \ - libgmp-dev \ - libmpc-dev \ - libmpfr-dev \ - libtool \ - libusb-1.0-0-dev \ - make \ - patchutils \ - pkg-config \ - python3 \ - texinfo \ - zlib1g-dev \ - rsync \ - bison \ - verilator - - -# Use specific bison version to bypass Verilator 4.034 issues -# TODO: When Verilator is bumped, use apt to get newest bison -# RUN wget https://ftp.gnu.org/gnu/bison/bison-3.5.4.tar.gz \ -# && tar -xvf bison-3.5.4.tar.gz \ -# && cd bison-3.5.4 \ -# && ./configure && make && make install - -# Check bison version is 3.5.4 -# RUN bison --version - -# Add minimal QEMU dependencies -RUN apt-get install -y \ - libfdt-dev \ - libglib2.0-dev \ - libpixman-1-dev - -# Install verilator -# RUN git clone http://git.veripool.org/git/verilator \ -# && cd verilator \ -# && git pull \ -# && git checkout v4.034 \ -# && autoconf && ./configure && make && make install - - -# Add HOME environment variable -ENV HOME="/home/riscvuser" - -# Update PATH for RISCV toolchain (note: hardcoded for CircleCI) -ENV RISCV="$HOME/riscv-tools-install" -ENV LD_LIBRARY_PATH="$RISCV/lib" -ENV PATH="$RISCV/bin:$PATH" - -WORKDIR $HOME -USER riscvuser - -# smoke test with path -RUN mvn -version \ - && ant -version \ - && gradle -version \ - && sbt sbtVersion \ - && verilator --version - -# remove extra folders -# RUN rm -rf project/ - -# Install Chipyard -RUN git clone https://github.com/schwarz-em/chipyard.git && \ - cd chipyard && \ - export MAKEFLAGS=-"j $(nproc)" && \ - ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null - -#RUN ls $HOME/chipyard/toolchains/riscv-tools - -#RUN cd chipyard && \ -# printf '\n\n\n31\n1\n20\n' | sudo apt-get install -y #python3-pip python3.6-dev rsync libguestfs-tools expat ctags && #\ - -#RUN sudo apt install debconf-utils && \ -# dpkg-reconfigure keyboard-configuration && \ -# debconf-get-selections | grep keyboard-configuration > #selections.conf && \ -# debconf-set-selections < selections.conf && \ -# dpkg-reconfigure keyboard-configuration -f noninteractive - -# Stopping docker keyboard-config from disrupting ubuntu-req.sh -RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install keyboard-configuration && \ - sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup - - -RUN cd chipyard && \ - ./scripts/ubuntu-req.sh 1>/dev/null - -# Install riscv-tools -RUN cd chipyard && \ - export MAKEFLAGS=-"j $(nproc)" && \./scripts/build-toolchains.sh riscv-tools 1>/dev/null - -#ENTRYPOINT ["sh", "-c", "-l", "cd chipyard && . ./env.sh && #\"$@\"", "-s"] - -WORKDIR $HOME/chipyard -COPY ./entrypoint.sh entrypoint.sh -#USER root -#RUN chmod +x entrypoint.sh -#USER riscvuser -#WORKDIR $HOME -#ENTRYPOINT ["sh", "/home/riscvuser/chipyard/entrypoint.sh"] - -#env_file: ./env.sh - -#SHELL ["/bin/sh", "-c"] - -#RUN cd chipyard && \ - #git submodule update --init --recursive /home/#riscvuser/chipyard/toolchains/riscv-tools/riscv-#isa-sim -# git submodule update --init --recursive /home/riscvuser/#chipyard/toolchains/riscv-tools/riscv-gnu-toolchain - -# Install esp-tools -#RUN cd chipyard && \ -# export MAKEFLAGS=-"j $(nproc)" && \ -# ./scripts/build-toolchains.sh esp-tools 1>/dev/null - - -# END IMAGE CUSTOMIZATIONS - -CMD ["/bin/sh"] diff --git a/build-clone-entrypoint.txt b/build-clone-entrypoint.txt deleted file mode 100644 index 5bee04c705..0000000000 --- a/build-clone-entrypoint.txt +++ /dev/null @@ -1,1294 +0,0 @@ -Script started on 2020-12-01 13:38:49-08:00 [TERM="xterm-256color" TTY="/dev/pts/2" COLUMNS="157" LINES="39"] -]0;ellas@ella-pc:~/ella-chipyard/chipyard[ellas@ella-pc chipyard]$ docker run -it 76b48e0d61ea bashbuild .realpath --helpdocker build .realpath --helpdocker build .run -it 76b48e0d61ea bash docker build - < Dcoekrockfeerfile-ella -Sending build context to Docker daemon 12.29kB -Step 1/27 : FROM ubuntu:18.04 - ---> 2c047404e52d -Step 2/27 : MAINTAINER jacobgadikian@gmail.com - ---> Using cache - ---> 59cc5c3469c1 -Step 3/27 : RUN apt-get update && apt-get upgrade -y && mkdir -p /usr/share/man/man1 && apt-get install -y bzip2 ca-certificates curl git gnupg gzip libfl2 libfl-dev locales mercurial python-minimal python-pexpect-doc netcat net-tools openssh-client parallel sudo tar unzip wget xvfb xxd zip ccache libgoogle-perftools-dev numactl zlib1g jq openjdk-11-jdk maven ant gradle - ---> Using cache - ---> 656ea25b0798 -Step 4/27 : RUN apt-get install -y apt-utils - ---> Using cache - ---> 6e2c44613dc4 -Step 5/27 : RUN ln -sf /usr/share/zoneinfo/Etc/UTC /etc/localtime - ---> Using cache - ---> b4deda2bcc0d -Step 6/27 : RUN locale-gen C.UTF-8 || true - ---> Using cache - ---> 19d45d6a736c -Step 7/27 : ENV LANG=C.UTF-8 - ---> Using cache - ---> c8b35238122f -Step 8/27 : RUN groupadd --gid 3434 riscvuser && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep - ---> Using cache - ---> 430268aa3db4 -Step 9/27 : RUN apt-get update - ---> Running in 970790874da2 -Hit:1 http://archive.ubuntu.com/ubuntu bionic InRelease -Get:2 http://security.ubuntu.com/ubuntu bionic-security InRelease [88.7 kB] -Get:3 http://archive.ubuntu.com/ubuntu bionic-updates InRelease [88.7 kB] -Get:4 http://security.ubuntu.com/ubuntu bionic-security/main amd64 Packages [1800 kB] -Get:5 http://archive.ubuntu.com/ubuntu bionic-backports InRelease [74.6 kB] -Get:6 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 Packages [2131 kB] -Get:7 http://security.ubuntu.com/ubuntu bionic-security/universe amd64 Packages [1365 kB] -Get:8 http://security.ubuntu.com/ubuntu bionic-security/restricted amd64 Packages [230 kB] -Get:9 http://archive.ubuntu.com/ubuntu bionic-updates/restricted amd64 Packages [259 kB] -Get:10 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 Packages [2227 kB] -Fetched 8263 kB in 15s (549 kB/s) -Reading package lists... -Removing intermediate container 970790874da2 - ---> ede8755f3c28 -Step 10/27 : RUN apt-get install -y --no-install-recommends openjfx - ---> Running in 0551ffe5b17e -Reading package lists... -Building dependency tree... -Reading state information... -The following additional packages will be installed: - adwaita-icon-theme dconf-gsettings-backend dconf-service fontconfig - glib-networking glib-networking-common glib-networking-services - gsettings-desktop-schemas gtk-update-icon-cache hicolor-icon-theme - humanity-icon-theme libavcodec57 libavformat57 libavutil55 libbluray2 - libcairo-gobject2 libcairo2 libchromaprint1 libcolord2 libcroco3 - libcrystalhd3 libdatrie1 libdconf1 libepoxy0 libgdk-pixbuf2.0-0 - libgdk-pixbuf2.0-common libgme0 libgraphite2-3 libgsm1 libgtk-3-0 - libgtk-3-common libgtk2.0-0 libgtk2.0-common libharfbuzz0b libjbig0 - libjson-glib-1.0-0 libjson-glib-1.0-common libmp3lame0 libmpg123-0 libogg0 - libopenjfx-java libopenjfx-jni libopenjp2-7 libopenmpt0 libopus0 - libpango-1.0-0 libpangocairo-1.0-0 libpangoft2-1.0-0 libproxy1v5 - librest-0.7-0 librsvg2-2 librsvg2-common libshine3 libsnappy1v5 - libsoup-gnome2.4-1 libsoup2.4-1 libsoxr0 libspeex1 libssh-gcrypt-4 - libswresample2 libthai-data libthai0 libtheora0 libtiff5 libtwolame0 - libva-drm2 libva-x11-2 libva2 libvdpau1 libvorbis0a libvorbisenc2 - libvorbisfile3 libvpx5 libwavpack1 libwayland-client0 libwayland-cursor0 - libwayland-egl1 libwebp6 libwebpmux3 libx264-152 libx265-146 libxcb-render0 - libxcb-shm0 libxcursor1 libxkbcommon0 libxvidcore4 libzvbi-common libzvbi0 - ubuntu-mono -Suggested packages: - libbluray-bdj colord firmware-crystalhd gvfs opus-tools librsvg2-bin speex -Recommended packages: - libaacs0 libgdk-pixbuf2.0-bin libgtk-3-bin libgail-common libgtk2.0-bin - va-driver-all | va-driver vdpau-driver-all | vdpau-driver openjfx-source -The following NEW packages will be installed: - adwaita-icon-theme dconf-gsettings-backend dconf-service fontconfig - glib-networking glib-networking-common glib-networking-services - gsettings-desktop-schemas gtk-update-icon-cache hicolor-icon-theme - humanity-icon-theme libavcodec57 libavformat57 libavutil55 libbluray2 - libcairo-gobject2 libcairo2 libchromaprint1 libcolord2 libcroco3 - libcrystalhd3 libdatrie1 libdconf1 libepoxy0 libgdk-pixbuf2.0-0 - libgdk-pixbuf2.0-common libgme0 libgraphite2-3 libgsm1 libgtk-3-0 - libgtk-3-common libgtk2.0-0 libgtk2.0-common libharfbuzz0b libjbig0 - libjson-glib-1.0-0 libjson-glib-1.0-common libmp3lame0 libmpg123-0 libogg0 - libopenjfx-java libopenjfx-jni libopenjp2-7 libopenmpt0 libopus0 - libpango-1.0-0 libpangocairo-1.0-0 libpangoft2-1.0-0 libproxy1v5 - librest-0.7-0 librsvg2-2 librsvg2-common libshine3 libsnappy1v5 - libsoup-gnome2.4-1 libsoup2.4-1 libsoxr0 libspeex1 libssh-gcrypt-4 - libswresample2 libthai-data libthai0 libtheora0 libtiff5 libtwolame0 - libva-drm2 libva-x11-2 libva2 libvdpau1 libvorbis0a libvorbisenc2 - libvorbisfile3 libvpx5 libwavpack1 libwayland-client0 libwayland-cursor0 - libwayland-egl1 libwebp6 libwebpmux3 libx264-152 libx265-146 libxcb-render0 - libxcb-shm0 libxcursor1 libxkbcommon0 libxvidcore4 libzvbi-common libzvbi0 - openjfx ubuntu-mono -0 upgraded, 90 newly installed, 0 to remove and 2 not upgraded. -Need to get 50.6 MB of archives. -After this operation, 188 MB of additional disk space will be used. -Get:1 http://archive.ubuntu.com/ubuntu bionic/main amd64 fontconfig amd64 2.12.6-0ubuntu2 [169 kB] -Get:2 http://archive.ubuntu.com/ubuntu bionic/main amd64 libogg0 amd64 1.3.2-1 [17.2 kB] -Get:3 http://archive.ubuntu.com/ubuntu bionic/main amd64 hicolor-icon-theme all 0.17-2 [9976 B] -Get:4 http://archive.ubuntu.com/ubuntu bionic/main amd64 libjbig0 amd64 2.1-3.1build1 [26.7 kB] -Get:5 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libtiff5 amd64 4.0.9-5ubuntu0.3 [153 kB] -Get:6 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgdk-pixbuf2.0-common all 2.36.11-2 [4536 B] -Get:7 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgdk-pixbuf2.0-0 amd64 2.36.11-2 [165 kB] -Get:8 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 gtk-update-icon-cache amd64 3.22.30-1ubuntu4 [28.3 kB] -Get:9 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libxcb-render0 amd64 1.13-2~ubuntu18.04 [14.7 kB] -Get:10 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libxcb-shm0 amd64 1.13-2~ubuntu18.04 [5600 B] -Get:11 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libcairo2 amd64 1.15.10-2ubuntu0.1 [580 kB] -Get:12 http://archive.ubuntu.com/ubuntu bionic/main amd64 libcroco3 amd64 0.6.12-2 [81.3 kB] -Get:13 http://archive.ubuntu.com/ubuntu bionic/main amd64 libthai-data all 0.1.27-2 [133 kB] -Get:14 http://archive.ubuntu.com/ubuntu bionic/main amd64 libdatrie1 amd64 0.2.10-7 [17.8 kB] -Get:15 http://archive.ubuntu.com/ubuntu bionic/main amd64 libthai0 amd64 0.1.27-2 [18.0 kB] -Get:16 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libpango-1.0-0 amd64 1.40.14-1ubuntu0.1 [153 kB] -Get:17 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgraphite2-3 amd64 1.3.11-2 [78.7 kB] -Get:18 http://archive.ubuntu.com/ubuntu bionic/main amd64 libharfbuzz0b amd64 1.7.2-1ubuntu1 [232 kB] -Get:19 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libpangoft2-1.0-0 amd64 1.40.14-1ubuntu0.1 [33.2 kB] -Get:20 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libpangocairo-1.0-0 amd64 1.40.14-1ubuntu0.1 [20.8 kB] -Get:21 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 librsvg2-2 amd64 2.40.20-2ubuntu0.2 [98.6 kB] -Get:22 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 librsvg2-common amd64 2.40.20-2ubuntu0.2 [5064 B] -Get:23 http://archive.ubuntu.com/ubuntu bionic/main amd64 humanity-icon-theme all 0.6.15 [1250 kB] -Get:24 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 ubuntu-mono all 16.10+18.04.20181005-0ubuntu1 [149 kB] -Get:25 http://archive.ubuntu.com/ubuntu bionic/main amd64 adwaita-icon-theme all 3.28.0-1ubuntu1 [3306 kB] -Get:26 http://archive.ubuntu.com/ubuntu bionic/main amd64 libdconf1 amd64 0.26.0-2ubuntu3 [33.1 kB] -Get:27 http://archive.ubuntu.com/ubuntu bionic/main amd64 dconf-service amd64 0.26.0-2ubuntu3 [28.4 kB] -Get:28 http://archive.ubuntu.com/ubuntu bionic/main amd64 dconf-gsettings-backend amd64 0.26.0-2ubuntu3 [20.0 kB] -Get:29 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libproxy1v5 amd64 0.4.15-1ubuntu0.1 [48.3 kB] -Get:30 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 glib-networking-common all 2.56.0-1ubuntu0.1 [3476 B] -Get:31 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 glib-networking-services amd64 2.56.0-1ubuntu0.1 [8632 B] -Get:32 http://archive.ubuntu.com/ubuntu bionic/main amd64 gsettings-desktop-schemas all 3.28.0-1ubuntu1 [27.8 kB] -Get:33 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 glib-networking amd64 2.56.0-1ubuntu0.1 [56.7 kB] -Get:34 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libva2 amd64 2.1.0-3 [47.6 kB] -Get:35 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libva-drm2 amd64 2.1.0-3 [6880 B] -Get:36 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libva-x11-2 amd64 2.1.0-3 [11.5 kB] -Get:37 http://archive.ubuntu.com/ubuntu bionic/main amd64 libvdpau1 amd64 1.1.1-3ubuntu1 [25.5 kB] -Get:38 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libavutil55 amd64 7:3.4.8-0ubuntu0.2 [190 kB] -Get:39 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libcrystalhd3 amd64 1:0.0~git20110715.fdd2f19-12 [45.8 kB] -Get:40 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libgsm1 amd64 1.0.13-4build1 [22.4 kB] -Get:41 http://archive.ubuntu.com/ubuntu bionic/main amd64 libmp3lame0 amd64 3.100-2 [136 kB] -Get:42 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libopenjp2-7 amd64 2.3.0-2build0.18.04.1 [145 kB] -Get:43 http://archive.ubuntu.com/ubuntu bionic/main amd64 libopus0 amd64 1.1.2-1ubuntu1 [159 kB] -Get:44 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libshine3 amd64 3.1.1-1 [22.9 kB] -Get:45 http://archive.ubuntu.com/ubuntu bionic/main amd64 libsnappy1v5 amd64 1.1.7-1 [16.0 kB] -Get:46 http://archive.ubuntu.com/ubuntu bionic/main amd64 libspeex1 amd64 1.2~rc1.2-1ubuntu2 [52.1 kB] -Get:47 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libsoxr0 amd64 0.1.2-3 [65.9 kB] -Get:48 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libswresample2 amd64 7:3.4.8-0ubuntu0.2 [55.2 kB] -Get:49 http://archive.ubuntu.com/ubuntu bionic/main amd64 libtheora0 amd64 1.1.1+dfsg.1-14 [170 kB] -Get:50 http://archive.ubuntu.com/ubuntu bionic/main amd64 libtwolame0 amd64 0.3.13-3 [46.7 kB] -Get:51 http://archive.ubuntu.com/ubuntu bionic/main amd64 libvorbis0a amd64 1.3.5-4.2 [86.4 kB] -Get:52 http://archive.ubuntu.com/ubuntu bionic/main amd64 libvorbisenc2 amd64 1.3.5-4.2 [70.7 kB] -Get:53 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libvpx5 amd64 1.7.0-3ubuntu0.18.04.1 [796 kB] -Get:54 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libwavpack1 amd64 5.1.0-2ubuntu1.4 [76.6 kB] -Get:55 http://archive.ubuntu.com/ubuntu bionic/main amd64 libwebp6 amd64 0.6.1-2 [185 kB] -Get:56 http://archive.ubuntu.com/ubuntu bionic/main amd64 libwebpmux3 amd64 0.6.1-2 [19.6 kB] -Get:57 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libx264-152 amd64 2:0.152.2854+gite9a5903-2 [609 kB] -Get:58 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libx265-146 amd64 2.6-3 [1026 kB] -Get:59 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libxvidcore4 amd64 2:1.3.5-1 [200 kB] -Get:60 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libzvbi-common all 0.2.35-13 [32.1 kB] -Get:61 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libzvbi0 amd64 0.2.35-13 [235 kB] -Get:62 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libavcodec57 amd64 7:3.4.8-0ubuntu0.2 [4595 kB] -Get:63 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libbluray2 amd64 1:1.0.2-3 [141 kB] -Get:64 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libchromaprint1 amd64 1.4.3-1 [36.8 kB] -Get:65 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libgme0 amd64 0.6.2-1 [121 kB] -Get:66 http://archive.ubuntu.com/ubuntu bionic/main amd64 libmpg123-0 amd64 1.25.10-1 [125 kB] -Get:67 http://archive.ubuntu.com/ubuntu bionic/main amd64 libvorbisfile3 amd64 1.3.5-4.2 [16.0 kB] -Get:68 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libopenmpt0 amd64 0.3.6-1 [561 kB] -Get:69 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libssh-gcrypt-4 amd64 0.8.0~20170825.94fa1e38-1ubuntu0.7 [172 kB] -Get:70 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libavformat57 amd64 7:3.4.8-0ubuntu0.2 [953 kB] -Get:71 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libcairo-gobject2 amd64 1.15.10-2ubuntu0.1 [17.1 kB] -Get:72 http://archive.ubuntu.com/ubuntu bionic/main amd64 libcolord2 amd64 1.3.3-2build1 [107 kB] -Get:73 http://archive.ubuntu.com/ubuntu bionic/main amd64 libepoxy0 amd64 1.4.3-1 [181 kB] -Get:74 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libgtk-3-common all 3.22.30-1ubuntu4 [229 kB] -Get:75 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libjson-glib-1.0-common all 1.4.2-3ubuntu0.18.04.1 [3480 B] -Get:76 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libjson-glib-1.0-0 amd64 1.4.2-3ubuntu0.18.04.1 [58.4 kB] -Get:77 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libsoup2.4-1 amd64 2.62.1-1ubuntu0.4 [292 kB] -Get:78 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libsoup-gnome2.4-1 amd64 2.62.1-1ubuntu0.4 [5088 B] -Get:79 http://archive.ubuntu.com/ubuntu bionic/main amd64 librest-0.7-0 amd64 0.8.0-2 [31.8 kB] -Get:80 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libwayland-client0 amd64 1.16.0-1ubuntu1.1~18.04.3 [23.6 kB] -Get:81 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libwayland-cursor0 amd64 1.16.0-1ubuntu1.1~18.04.3 [10.1 kB] -Get:82 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libwayland-egl1 amd64 1.16.0-1ubuntu1.1~18.04.3 [5464 B] -Get:83 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxcursor1 amd64 1:1.1.15-1 [19.8 kB] -Get:84 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libxkbcommon0 amd64 0.8.2-1~ubuntu18.04.1 [97.8 kB] -Get:85 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libgtk-3-0 amd64 3.22.30-1ubuntu4 [2503 kB] -Get:86 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgtk2.0-common all 2.24.32-1ubuntu1 [125 kB] -Get:87 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgtk2.0-0 amd64 2.24.32-1ubuntu1 [1769 kB] -Get:88 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libopenjfx-jni amd64 11.0.2+1-1~18.04.2 [19.1 MB] -Get:89 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 libopenjfx-java all 11.0.2+1-1~18.04.2 [7702 kB] -Get:90 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 openjfx amd64 11.0.2+1-1~18.04.2 [9032 B] -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -debconf: unable to initialize frontend: Readline -debconf: (This frontend requires a controlling tty.) -debconf: falling back to frontend: Teletype -dpkg-preconfigure: unable to re-open stdin: -Fetched 50.6 MB in 2min 18s (367 kB/s) -Selecting previously unselected package fontconfig. -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 23466 files and directories currently installed.) -Preparing to unpack .../00-fontconfig_2.12.6-0ubuntu2_amd64.deb ... -Unpacking fontconfig (2.12.6-0ubuntu2) ... -Selecting previously unselected package libogg0:amd64. -Preparing to unpack .../01-libogg0_1.3.2-1_amd64.deb ... -Unpacking libogg0:amd64 (1.3.2-1) ... -Selecting previously unselected package hicolor-icon-theme. -Preparing to unpack .../02-hicolor-icon-theme_0.17-2_all.deb ... -Unpacking hicolor-icon-theme (0.17-2) ... -Selecting previously unselected package libjbig0:amd64. -Preparing to unpack .../03-libjbig0_2.1-3.1build1_amd64.deb ... -Unpacking libjbig0:amd64 (2.1-3.1build1) ... -Selecting previously unselected package libtiff5:amd64. -Preparing to unpack .../04-libtiff5_4.0.9-5ubuntu0.3_amd64.deb ... -Unpacking libtiff5:amd64 (4.0.9-5ubuntu0.3) ... -Selecting previously unselected package libgdk-pixbuf2.0-common. -Preparing to unpack .../05-libgdk-pixbuf2.0-common_2.36.11-2_all.deb ... -Unpacking libgdk-pixbuf2.0-common (2.36.11-2) ... -Selecting previously unselected package libgdk-pixbuf2.0-0:amd64. -Preparing to unpack .../06-libgdk-pixbuf2.0-0_2.36.11-2_amd64.deb ... -Unpacking libgdk-pixbuf2.0-0:amd64 (2.36.11-2) ... -Selecting previously unselected package gtk-update-icon-cache. -Preparing to unpack .../07-gtk-update-icon-cache_3.22.30-1ubuntu4_amd64.deb ... -No diversion 'diversion of /usr/sbin/update-icon-caches to /usr/sbin/update-icon-caches.gtk2 by libgtk-3-bin', none removed. -No diversion 'diversion of /usr/share/man/man8/update-icon-caches.8.gz to /usr/share/man/man8/update-icon-caches.gtk2.8.gz by libgtk-3-bin', none removed. -Unpacking gtk-update-icon-cache (3.22.30-1ubuntu4) ... -Selecting previously unselected package libxcb-render0:amd64. -Preparing to unpack .../08-libxcb-render0_1.13-2~ubuntu18.04_amd64.deb ... -Unpacking libxcb-render0:amd64 (1.13-2~ubuntu18.04) ... -Selecting previously unselected package libxcb-shm0:amd64. -Preparing to unpack .../09-libxcb-shm0_1.13-2~ubuntu18.04_amd64.deb ... -Unpacking libxcb-shm0:amd64 (1.13-2~ubuntu18.04) ... -Selecting previously unselected package libcairo2:amd64. -Preparing to unpack .../10-libcairo2_1.15.10-2ubuntu0.1_amd64.deb ... -Unpacking libcairo2:amd64 (1.15.10-2ubuntu0.1) ... -Selecting previously unselected package libcroco3:amd64. -Preparing to unpack .../11-libcroco3_0.6.12-2_amd64.deb ... -Unpacking libcroco3:amd64 (0.6.12-2) ... -Selecting previously unselected package libthai-data. -Preparing to unpack .../12-libthai-data_0.1.27-2_all.deb ... -Unpacking libthai-data (0.1.27-2) ... -Selecting previously unselected package libdatrie1:amd64. -Preparing to unpack .../13-libdatrie1_0.2.10-7_amd64.deb ... -Unpacking libdatrie1:amd64 (0.2.10-7) ... -Selecting previously unselected package libthai0:amd64. -Preparing to unpack .../14-libthai0_0.1.27-2_amd64.deb ... -Unpacking libthai0:amd64 (0.1.27-2) ... -Selecting previously unselected package libpango-1.0-0:amd64. -Preparing to unpack .../15-libpango-1.0-0_1.40.14-1ubuntu0.1_amd64.deb ... -Unpacking libpango-1.0-0:amd64 (1.40.14-1ubuntu0.1) ... -Selecting previously unselected package libgraphite2-3:amd64. -Preparing to unpack .../16-libgraphite2-3_1.3.11-2_amd64.deb ... -Unpacking libgraphite2-3:amd64 (1.3.11-2) ... -Selecting previously unselected package libharfbuzz0b:amd64. -Preparing to unpack .../17-libharfbuzz0b_1.7.2-1ubuntu1_amd64.deb ... -Unpacking libharfbuzz0b:amd64 (1.7.2-1ubuntu1) ... -Selecting previously unselected package libpangoft2-1.0-0:amd64. -Preparing to unpack .../18-libpangoft2-1.0-0_1.40.14-1ubuntu0.1_amd64.deb ... -Unpacking libpangoft2-1.0-0:amd64 (1.40.14-1ubuntu0.1) ... -Selecting previously unselected package libpangocairo-1.0-0:amd64. -Preparing to unpack .../19-libpangocairo-1.0-0_1.40.14-1ubuntu0.1_amd64.deb ... -Unpacking libpangocairo-1.0-0:amd64 (1.40.14-1ubuntu0.1) ... -Selecting previously unselected package librsvg2-2:amd64. -Preparing to unpack .../20-librsvg2-2_2.40.20-2ubuntu0.2_amd64.deb ... -Unpacking librsvg2-2:amd64 (2.40.20-2ubuntu0.2) ... -Selecting previously unselected package librsvg2-common:amd64. -Preparing to unpack .../21-librsvg2-common_2.40.20-2ubuntu0.2_amd64.deb ... -Unpacking librsvg2-common:amd64 (2.40.20-2ubuntu0.2) ... -Selecting previously unselected package humanity-icon-theme. -Preparing to unpack .../22-humanity-icon-theme_0.6.15_all.deb ... -Unpacking humanity-icon-theme (0.6.15) ... -Selecting previously unselected package ubuntu-mono. -Preparing to unpack .../23-ubuntu-mono_16.10+18.04.20181005-0ubuntu1_all.deb ... -Unpacking ubuntu-mono (16.10+18.04.20181005-0ubuntu1) ... -Selecting previously unselected package adwaita-icon-theme. -Preparing to unpack .../24-adwaita-icon-theme_3.28.0-1ubuntu1_all.deb ... -Unpacking adwaita-icon-theme (3.28.0-1ubuntu1) ... -Selecting previously unselected package libdconf1:amd64. -Preparing to unpack .../25-libdconf1_0.26.0-2ubuntu3_amd64.deb ... -Unpacking libdconf1:amd64 (0.26.0-2ubuntu3) ... -Selecting previously unselected package dconf-service. -Preparing to unpack .../26-dconf-service_0.26.0-2ubuntu3_amd64.deb ... -Unpacking dconf-service (0.26.0-2ubuntu3) ... -Selecting previously unselected package dconf-gsettings-backend:amd64. -Preparing to unpack .../27-dconf-gsettings-backend_0.26.0-2ubuntu3_amd64.deb ... -Unpacking dconf-gsettings-backend:amd64 (0.26.0-2ubuntu3) ... -Selecting previously unselected package libproxy1v5:amd64. -Preparing to unpack .../28-libproxy1v5_0.4.15-1ubuntu0.1_amd64.deb ... -Unpacking libproxy1v5:amd64 (0.4.15-1ubuntu0.1) ... -Selecting previously unselected package glib-networking-common. -Preparing to unpack .../29-glib-networking-common_2.56.0-1ubuntu0.1_all.deb ... -Unpacking glib-networking-common (2.56.0-1ubuntu0.1) ... -Selecting previously unselected package glib-networking-services. -Preparing to unpack .../30-glib-networking-services_2.56.0-1ubuntu0.1_amd64.deb ... -Unpacking glib-networking-services (2.56.0-1ubuntu0.1) ... -Selecting previously unselected package gsettings-desktop-schemas. -Preparing to unpack .../31-gsettings-desktop-schemas_3.28.0-1ubuntu1_all.deb ... -Unpacking gsettings-desktop-schemas (3.28.0-1ubuntu1) ... -Selecting previously unselected package glib-networking:amd64. -Preparing to unpack .../32-glib-networking_2.56.0-1ubuntu0.1_amd64.deb ... -Unpacking glib-networking:amd64 (2.56.0-1ubuntu0.1) ... -Selecting previously unselected package libva2:amd64. -Preparing to unpack .../33-libva2_2.1.0-3_amd64.deb ... -Unpacking libva2:amd64 (2.1.0-3) ... -Selecting previously unselected package libva-drm2:amd64. -Preparing to unpack .../34-libva-drm2_2.1.0-3_amd64.deb ... -Unpacking libva-drm2:amd64 (2.1.0-3) ... -Selecting previously unselected package libva-x11-2:amd64. -Preparing to unpack .../35-libva-x11-2_2.1.0-3_amd64.deb ... -Unpacking libva-x11-2:amd64 (2.1.0-3) ... -Selecting previously unselected package libvdpau1:amd64. -Preparing to unpack .../36-libvdpau1_1.1.1-3ubuntu1_amd64.deb ... -Unpacking libvdpau1:amd64 (1.1.1-3ubuntu1) ... -Selecting previously unselected package libavutil55:amd64. -Preparing to unpack .../37-libavutil55_7%3a3.4.8-0ubuntu0.2_amd64.deb ... -Unpacking libavutil55:amd64 (7:3.4.8-0ubuntu0.2) ... -Selecting previously unselected package libcrystalhd3:amd64. -Preparing to unpack .../38-libcrystalhd3_1%3a0.0~git20110715.fdd2f19-12_amd64.deb ... -Unpacking libcrystalhd3:amd64 (1:0.0~git20110715.fdd2f19-12) ... -Selecting previously unselected package libgsm1:amd64. -Preparing to unpack .../39-libgsm1_1.0.13-4build1_amd64.deb ... -Unpacking libgsm1:amd64 (1.0.13-4build1) ... -Selecting previously unselected package libmp3lame0:amd64. -Preparing to unpack .../40-libmp3lame0_3.100-2_amd64.deb ... -Unpacking libmp3lame0:amd64 (3.100-2) ... -Selecting previously unselected package libopenjp2-7:amd64. -Preparing to unpack .../41-libopenjp2-7_2.3.0-2build0.18.04.1_amd64.deb ... -Unpacking libopenjp2-7:amd64 (2.3.0-2build0.18.04.1) ... -Selecting previously unselected package libopus0:amd64. -Preparing to unpack .../42-libopus0_1.1.2-1ubuntu1_amd64.deb ... -Unpacking libopus0:amd64 (1.1.2-1ubuntu1) ... -Selecting previously unselected package libshine3:amd64. -Preparing to unpack .../43-libshine3_3.1.1-1_amd64.deb ... -Unpacking libshine3:amd64 (3.1.1-1) ... -Selecting previously unselected package libsnappy1v5:amd64. -Preparing to unpack .../44-libsnappy1v5_1.1.7-1_amd64.deb ... -Unpacking libsnappy1v5:amd64 (1.1.7-1) ... -Selecting previously unselected package libspeex1:amd64. -Preparing to unpack .../45-libspeex1_1.2~rc1.2-1ubuntu2_amd64.deb ... -Unpacking libspeex1:amd64 (1.2~rc1.2-1ubuntu2) ... -Selecting previously unselected package libsoxr0:amd64. -Preparing to unpack .../46-libsoxr0_0.1.2-3_amd64.deb ... -Unpacking libsoxr0:amd64 (0.1.2-3) ... -Selecting previously unselected package libswresample2:amd64. -Preparing to unpack .../47-libswresample2_7%3a3.4.8-0ubuntu0.2_amd64.deb ... -Unpacking libswresample2:amd64 (7:3.4.8-0ubuntu0.2) ... -Selecting previously unselected package libtheora0:amd64. -Preparing to unpack .../48-libtheora0_1.1.1+dfsg.1-14_amd64.deb ... -Unpacking libtheora0:amd64 (1.1.1+dfsg.1-14) ... -Selecting previously unselected package libtwolame0:amd64. -Preparing to unpack .../49-libtwolame0_0.3.13-3_amd64.deb ... -Unpacking libtwolame0:amd64 (0.3.13-3) ... -Selecting previously unselected package libvorbis0a:amd64. -Preparing to unpack .../50-libvorbis0a_1.3.5-4.2_amd64.deb ... -Unpacking libvorbis0a:amd64 (1.3.5-4.2) ... -Selecting previously unselected package libvorbisenc2:amd64. -Preparing to unpack .../51-libvorbisenc2_1.3.5-4.2_amd64.deb ... -Unpacking libvorbisenc2:amd64 (1.3.5-4.2) ... -Selecting previously unselected package libvpx5:amd64. -Preparing to unpack .../52-libvpx5_1.7.0-3ubuntu0.18.04.1_amd64.deb ... -Unpacking libvpx5:amd64 (1.7.0-3ubuntu0.18.04.1) ... -Selecting previously unselected package libwavpack1:amd64. -Preparing to unpack .../53-libwavpack1_5.1.0-2ubuntu1.4_amd64.deb ... -Unpacking libwavpack1:amd64 (5.1.0-2ubuntu1.4) ... -Selecting previously unselected package libwebp6:amd64. -Preparing to unpack .../54-libwebp6_0.6.1-2_amd64.deb ... -Unpacking libwebp6:amd64 (0.6.1-2) ... -Selecting previously unselected package libwebpmux3:amd64. -Preparing to unpack .../55-libwebpmux3_0.6.1-2_amd64.deb ... -Unpacking libwebpmux3:amd64 (0.6.1-2) ... -Selecting previously unselected package libx264-152:amd64. -Preparing to unpack .../56-libx264-152_2%3a0.152.2854+gite9a5903-2_amd64.deb ... -Unpacking libx264-152:amd64 (2:0.152.2854+gite9a5903-2) ... -Selecting previously unselected package libx265-146:amd64. -Preparing to unpack .../57-libx265-146_2.6-3_amd64.deb ... -Unpacking libx265-146:amd64 (2.6-3) ... -Selecting previously unselected package libxvidcore4:amd64. -Preparing to unpack .../58-libxvidcore4_2%3a1.3.5-1_amd64.deb ... -Unpacking libxvidcore4:amd64 (2:1.3.5-1) ... -Selecting previously unselected package libzvbi-common. -Preparing to unpack .../59-libzvbi-common_0.2.35-13_all.deb ... -Unpacking libzvbi-common (0.2.35-13) ... -Selecting previously unselected package libzvbi0:amd64. -Preparing to unpack .../60-libzvbi0_0.2.35-13_amd64.deb ... -Unpacking libzvbi0:amd64 (0.2.35-13) ... -Selecting previously unselected package libavcodec57:amd64. -Preparing to unpack .../61-libavcodec57_7%3a3.4.8-0ubuntu0.2_amd64.deb ... -Unpacking libavcodec57:amd64 (7:3.4.8-0ubuntu0.2) ... -Selecting previously unselected package libbluray2:amd64. -Preparing to unpack .../62-libbluray2_1%3a1.0.2-3_amd64.deb ... -Unpacking libbluray2:amd64 (1:1.0.2-3) ... -Selecting previously unselected package libchromaprint1:amd64. -Preparing to unpack .../63-libchromaprint1_1.4.3-1_amd64.deb ... -Unpacking libchromaprint1:amd64 (1.4.3-1) ... -Selecting previously unselected package libgme0:amd64. -Preparing to unpack .../64-libgme0_0.6.2-1_amd64.deb ... -Unpacking libgme0:amd64 (0.6.2-1) ... -Selecting previously unselected package libmpg123-0:amd64. -Preparing to unpack .../65-libmpg123-0_1.25.10-1_amd64.deb ... -Unpacking libmpg123-0:amd64 (1.25.10-1) ... -Selecting previously unselected package libvorbisfile3:amd64. -Preparing to unpack .../66-libvorbisfile3_1.3.5-4.2_amd64.deb ... -Unpacking libvorbisfile3:amd64 (1.3.5-4.2) ... -Selecting previously unselected package libopenmpt0:amd64. -Preparing to unpack .../67-libopenmpt0_0.3.6-1_amd64.deb ... -Unpacking libopenmpt0:amd64 (0.3.6-1) ... -Selecting previously unselected package libssh-gcrypt-4:amd64. -Preparing to unpack .../68-libssh-gcrypt-4_0.8.0~20170825.94fa1e38-1ubuntu0.7_amd64.deb ... -Unpacking libssh-gcrypt-4:amd64 (0.8.0~20170825.94fa1e38-1ubuntu0.7) ... -Selecting previously unselected package libavformat57:amd64. -Preparing to unpack .../69-libavformat57_7%3a3.4.8-0ubuntu0.2_amd64.deb ... -Unpacking libavformat57:amd64 (7:3.4.8-0ubuntu0.2) ... -Selecting previously unselected package libcairo-gobject2:amd64. -Preparing to unpack .../70-libcairo-gobject2_1.15.10-2ubuntu0.1_amd64.deb ... -Unpacking libcairo-gobject2:amd64 (1.15.10-2ubuntu0.1) ... -Selecting previously unselected package libcolord2:amd64. -Preparing to unpack .../71-libcolord2_1.3.3-2build1_amd64.deb ... -Unpacking libcolord2:amd64 (1.3.3-2build1) ... -Selecting previously unselected package libepoxy0:amd64. -Preparing to unpack .../72-libepoxy0_1.4.3-1_amd64.deb ... -Unpacking libepoxy0:amd64 (1.4.3-1) ... -Selecting previously unselected package libgtk-3-common. -Preparing to unpack .../73-libgtk-3-common_3.22.30-1ubuntu4_all.deb ... -Unpacking libgtk-3-common (3.22.30-1ubuntu4) ... -Selecting previously unselected package libjson-glib-1.0-common. -Preparing to unpack .../74-libjson-glib-1.0-common_1.4.2-3ubuntu0.18.04.1_all.deb ... -Unpacking libjson-glib-1.0-common (1.4.2-3ubuntu0.18.04.1) ... -Selecting previously unselected package libjson-glib-1.0-0:amd64. -Preparing to unpack .../75-libjson-glib-1.0-0_1.4.2-3ubuntu0.18.04.1_amd64.deb ... -Unpacking libjson-glib-1.0-0:amd64 (1.4.2-3ubuntu0.18.04.1) ... -Selecting previously unselected package libsoup2.4-1:amd64. -Preparing to unpack .../76-libsoup2.4-1_2.62.1-1ubuntu0.4_amd64.deb ... -Unpacking libsoup2.4-1:amd64 (2.62.1-1ubuntu0.4) ... -Selecting previously unselected package libsoup-gnome2.4-1:amd64. -Preparing to unpack .../77-libsoup-gnome2.4-1_2.62.1-1ubuntu0.4_amd64.deb ... -Unpacking libsoup-gnome2.4-1:amd64 (2.62.1-1ubuntu0.4) ... -Selecting previously unselected package librest-0.7-0:amd64. -Preparing to unpack .../78-librest-0.7-0_0.8.0-2_amd64.deb ... -Unpacking librest-0.7-0:amd64 (0.8.0-2) ... -Selecting previously unselected package libwayland-client0:amd64. -Preparing to unpack .../79-libwayland-client0_1.16.0-1ubuntu1.1~18.04.3_amd64.deb ... -Unpacking libwayland-client0:amd64 (1.16.0-1ubuntu1.1~18.04.3) ... -Selecting previously unselected package libwayland-cursor0:amd64. -Preparing to unpack .../80-libwayland-cursor0_1.16.0-1ubuntu1.1~18.04.3_amd64.deb ... -Unpacking libwayland-cursor0:amd64 (1.16.0-1ubuntu1.1~18.04.3) ... -Selecting previously unselected package libwayland-egl1:amd64. -Preparing to unpack .../81-libwayland-egl1_1.16.0-1ubuntu1.1~18.04.3_amd64.deb ... -Unpacking libwayland-egl1:amd64 (1.16.0-1ubuntu1.1~18.04.3) ... -Selecting previously unselected package libxcursor1:amd64. -Preparing to unpack .../82-libxcursor1_1%3a1.1.15-1_amd64.deb ... -Unpacking libxcursor1:amd64 (1:1.1.15-1) ... -Selecting previously unselected package libxkbcommon0:amd64. -Preparing to unpack .../83-libxkbcommon0_0.8.2-1~ubuntu18.04.1_amd64.deb ... -Unpacking libxkbcommon0:amd64 (0.8.2-1~ubuntu18.04.1) ... -Selecting previously unselected package libgtk-3-0:amd64. -Preparing to unpack .../84-libgtk-3-0_3.22.30-1ubuntu4_amd64.deb ... -Unpacking libgtk-3-0:amd64 (3.22.30-1ubuntu4) ... -Selecting previously unselected package libgtk2.0-common. -Preparing to unpack .../85-libgtk2.0-common_2.24.32-1ubuntu1_all.deb ... -Unpacking libgtk2.0-common (2.24.32-1ubuntu1) ... -Selecting previously unselected package libgtk2.0-0:amd64. -Preparing to unpack .../86-libgtk2.0-0_2.24.32-1ubuntu1_amd64.deb ... -Unpacking libgtk2.0-0:amd64 (2.24.32-1ubuntu1) ... -Selecting previously unselected package libopenjfx-jni. -Preparing to unpack .../87-libopenjfx-jni_11.0.2+1-1~18.04.2_amd64.deb ... -Unpacking libopenjfx-jni (11.0.2+1-1~18.04.2) ... -Selecting previously unselected package libopenjfx-java. -Preparing to unpack .../88-libopenjfx-java_11.0.2+1-1~18.04.2_all.deb ... -Unpacking libopenjfx-java (11.0.2+1-1~18.04.2) ... -Selecting previously unselected package openjfx. -Preparing to unpack .../89-openjfx_11.0.2+1-1~18.04.2_amd64.deb ... -Unpacking openjfx (11.0.2+1-1~18.04.2) ... -Setting up libtwolame0:amd64 (0.3.13-3) ... -Setting up libx264-152:amd64 (2:0.152.2854+gite9a5903-2) ... -Setting up libjson-glib-1.0-common (1.4.2-3ubuntu0.18.04.1) ... -Setting up libgtk2.0-common (2.24.32-1ubuntu1) ... -Setting up libxcb-render0:amd64 (1.13-2~ubuntu18.04) ... -Setting up libopenjp2-7:amd64 (2.3.0-2build0.18.04.1) ... -Setting up glib-networking-common (2.56.0-1ubuntu0.1) ... -Setting up libwavpack1:amd64 (5.1.0-2ubuntu1.4) ... -Setting up libjbig0:amd64 (2.1-3.1build1) ... -Setting up libsoxr0:amd64 (0.1.2-3) ... -Setting up libssh-gcrypt-4:amd64 (0.8.0~20170825.94fa1e38-1ubuntu0.7) ... -Setting up libwayland-client0:amd64 (1.16.0-1ubuntu1.1~18.04.3) ... -Setting up libproxy1v5:amd64 (0.4.15-1ubuntu0.1) ... -Setting up libbluray2:amd64 (1:1.0.2-3) ... -Setting up libvdpau1:amd64 (1.1.1-3ubuntu1) ... -Setting up libgdk-pixbuf2.0-common (2.36.11-2) ... -Setting up glib-networking-services (2.56.0-1ubuntu0.1) ... -Setting up libdatrie1:amd64 (0.2.10-7) ... -Setting up libtiff5:amd64 (4.0.9-5ubuntu0.3) ... -Setting up libshine3:amd64 (3.1.1-1) ... -Setting up libva2:amd64 (2.1.0-3) ... -Setting up libspeex1:amd64 (1.2~rc1.2-1ubuntu2) ... -Setting up libxvidcore4:amd64 (2:1.3.5-1) ... -Setting up libopus0:amd64 (1.1.2-1ubuntu1) ... -Setting up libx265-146:amd64 (2.6-3) ... -Setting up libjson-glib-1.0-0:amd64 (1.4.2-3ubuntu0.18.04.1) ... -Setting up libgraphite2-3:amd64 (1.3.11-2) ... -Setting up libcroco3:amd64 (0.6.12-2) ... -Setting up libogg0:amd64 (1.3.2-1) ... -Setting up libmp3lame0:amd64 (3.100-2) ... -Setting up libxcursor1:amd64 (1:1.1.15-1) ... -Setting up libcrystalhd3:amd64 (1:0.0~git20110715.fdd2f19-12) ... -Setting up libepoxy0:amd64 (1.4.3-1) ... -Setting up libsnappy1v5:amd64 (1.1.7-1) ... -Setting up libva-drm2:amd64 (2.1.0-3) ... -Setting up libdconf1:amd64 (0.26.0-2ubuntu3) ... -Setting up libzvbi-common (0.2.35-13) ... -Setting up libxcb-shm0:amd64 (1.13-2~ubuntu18.04) ... -Setting up libxkbcommon0:amd64 (0.8.2-1~ubuntu18.04.1) ... -Setting up libvpx5:amd64 (1.7.0-3ubuntu0.18.04.1) ... -Setting up libgme0:amd64 (0.6.2-1) ... -Setting up libcolord2:amd64 (1.3.3-2build1) ... -Setting up libthai-data (0.1.27-2) ... -Setting up libzvbi0:amd64 (0.2.35-13) ... -Setting up libva-x11-2:amd64 (2.1.0-3) ... -Setting up libvorbis0a:amd64 (1.3.5-4.2) ... -Setting up libmpg123-0:amd64 (1.25.10-1) ... -Setting up hicolor-icon-theme (0.17-2) ... -Setting up libwayland-cursor0:amd64 (1.16.0-1ubuntu1.1~18.04.3) ... -Setting up libgsm1:amd64 (1.0.13-4build1) ... -Setting up libwayland-egl1:amd64 (1.16.0-1ubuntu1.1~18.04.3) ... -Setting up fontconfig (2.12.6-0ubuntu2) ... -Regenerating fonts cache... done. -Setting up libwebp6:amd64 (0.6.1-2) ... -Setting up libvorbisfile3:amd64 (1.3.5-4.2) ... -Setting up libcairo2:amd64 (1.15.10-2ubuntu0.1) ... -Setting up libavutil55:amd64 (7:3.4.8-0ubuntu0.2) ... -Setting up dconf-service (0.26.0-2ubuntu3) ... -Setting up libopenmpt0:amd64 (0.3.6-1) ... -Setting up libgdk-pixbuf2.0-0:amd64 (2.36.11-2) ... -Setting up libcairo-gobject2:amd64 (1.15.10-2ubuntu0.1) ... -Setting up libharfbuzz0b:amd64 (1.7.2-1ubuntu1) ... -Setting up libthai0:amd64 (0.1.27-2) ... -Setting up libswresample2:amd64 (7:3.4.8-0ubuntu0.2) ... -Setting up gtk-update-icon-cache (3.22.30-1ubuntu4) ... -Setting up libwebpmux3:amd64 (0.6.1-2) ... -Setting up libpango-1.0-0:amd64 (1.40.14-1ubuntu0.1) ... -Setting up libvorbisenc2:amd64 (1.3.5-4.2) ... -Setting up libtheora0:amd64 (1.1.1+dfsg.1-14) ... -Setting up dconf-gsettings-backend:amd64 (0.26.0-2ubuntu3) ... -Setting up gsettings-desktop-schemas (3.28.0-1ubuntu1) ... -Setting up libgtk-3-common (3.22.30-1ubuntu4) ... -Setting up libpangoft2-1.0-0:amd64 (1.40.14-1ubuntu0.1) ... -Setting up libpangocairo-1.0-0:amd64 (1.40.14-1ubuntu0.1) ... -Setting up librsvg2-2:amd64 (2.40.20-2ubuntu0.2) ... -Setting up libavcodec57:amd64 (7:3.4.8-0ubuntu0.2) ... -Setting up librsvg2-common:amd64 (2.40.20-2ubuntu0.2) ... -Setting up libchromaprint1:amd64 (1.4.3-1) ... -Setting up libavformat57:amd64 (7:3.4.8-0ubuntu0.2) ... -Setting up adwaita-icon-theme (3.28.0-1ubuntu1) ... -update-alternatives: using /usr/share/icons/Adwaita/cursor.theme to provide /usr/share/icons/default/index.theme (x-cursor-theme) in auto mode -Setting up libgtk2.0-0:amd64 (2.24.32-1ubuntu1) ... -Setting up humanity-icon-theme (0.6.15) ... -Setting up ubuntu-mono (16.10+18.04.20181005-0ubuntu1) ... -Processing triggers for libglib2.0-0:amd64 (2.56.4-0ubuntu0.18.04.6) ... -Processing triggers for libc-bin (2.27-3ubuntu1.3) ... -Setting up glib-networking:amd64 (2.56.0-1ubuntu0.1) ... -Setting up libsoup2.4-1:amd64 (2.62.1-1ubuntu0.4) ... -Setting up libsoup-gnome2.4-1:amd64 (2.62.1-1ubuntu0.4) ... -Setting up librest-0.7-0:amd64 (0.8.0-2) ... -Setting up libgtk-3-0:amd64 (3.22.30-1ubuntu4) ... -Setting up libopenjfx-jni (11.0.2+1-1~18.04.2) ... -Setting up libopenjfx-java (11.0.2+1-1~18.04.2) ... -Setting up openjfx (11.0.2+1-1~18.04.2) ... -Processing triggers for libgdk-pixbuf2.0-0:amd64 (2.36.11-2) ... -Processing triggers for libc-bin (2.27-3ubuntu1.3) ... -Removing intermediate container 0551ffe5b17e - ---> 8d5398ec77a4 -Step 11/27 : RUN apt-get install -y build-essential - ---> Running in 535c07c03fb8 -Reading package lists... -Building dependency tree... -Reading state information... -The following additional packages will be installed: - dpkg-dev fakeroot g++ g++-7 libalgorithm-diff-perl libalgorithm-diff-xs-perl - libalgorithm-merge-perl libdpkg-perl libfakeroot libfile-fcntllock-perl - liblocale-gettext-perl libstdc++-7-dev make -Suggested packages: - debian-keyring g++-multilib g++-7-multilib gcc-7-doc libstdc++6-7-dbg bzr - libstdc++-7-doc make-doc -The following NEW packages will be installed: - build-essential dpkg-dev fakeroot g++ g++-7 libalgorithm-diff-perl - libalgorithm-diff-xs-perl libalgorithm-merge-perl libdpkg-perl libfakeroot - libfile-fcntllock-perl liblocale-gettext-perl libstdc++-7-dev make -0 upgraded, 14 newly installed, 0 to remove and 2 not upgraded. -Need to get 12.4 MB of archives. -After this operation, 47.6 MB of additional disk space will be used. -Get:1 http://archive.ubuntu.com/ubuntu bionic/main amd64 liblocale-gettext-perl amd64 1.07-3build2 [16.6 kB] -Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libstdc++-7-dev amd64 7.5.0-3ubuntu1~18.04 [1471 kB] -Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 g++-7 amd64 7.5.0-3ubuntu1~18.04 [9697 kB] -Get:4 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 g++ amd64 4:7.4.0-1ubuntu2.3 [1568 B] -Get:5 http://archive.ubuntu.com/ubuntu bionic/main amd64 make amd64 4.1-9.1ubuntu1 [154 kB] -Get:6 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libdpkg-perl all 1.19.0.5ubuntu2.3 [211 kB] -Get:7 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 dpkg-dev all 1.19.0.5ubuntu2.3 [607 kB] -Get:8 http://archive.ubuntu.com/ubuntu bionic/main amd64 build-essential amd64 12.4ubuntu1 [4758 B] -Get:9 http://archive.ubuntu.com/ubuntu bionic/main amd64 libfakeroot amd64 1.22-2ubuntu1 [25.9 kB] -Get:10 http://archive.ubuntu.com/ubuntu bionic/main amd64 fakeroot amd64 1.22-2ubuntu1 [62.3 kB] -Get:11 http://archive.ubuntu.com/ubuntu bionic/main amd64 libalgorithm-diff-perl all 1.19.03-1 [47.6 kB] -Get:12 http://archive.ubuntu.com/ubuntu bionic/main amd64 libalgorithm-diff-xs-perl amd64 0.04-5 [11.1 kB] -Get:13 http://archive.ubuntu.com/ubuntu bionic/main amd64 libalgorithm-merge-perl all 0.08-3 [12.0 kB] -Get:14 http://archive.ubuntu.com/ubuntu bionic/main amd64 libfile-fcntllock-perl amd64 0.22-3build2 [33.2 kB] -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -debconf: unable to initialize frontend: Readline -debconf: (This frontend requires a controlling tty.) -debconf: falling back to frontend: Teletype -dpkg-preconfigure: unable to re-open stdin: -Fetched 12.4 MB in 34s (368 kB/s) -Selecting previously unselected package liblocale-gettext-perl. -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 37182 files and directories currently installed.) -Preparing to unpack .../00-liblocale-gettext-perl_1.07-3build2_amd64.deb ... -Unpacking liblocale-gettext-perl (1.07-3build2) ... -Selecting previously unselected package libstdc++-7-dev:amd64. -Preparing to unpack .../01-libstdc++-7-dev_7.5.0-3ubuntu1~18.04_amd64.deb ... -Unpacking libstdc++-7-dev:amd64 (7.5.0-3ubuntu1~18.04) ... -Selecting previously unselected package g++-7. -Preparing to unpack .../02-g++-7_7.5.0-3ubuntu1~18.04_amd64.deb ... -Unpacking g++-7 (7.5.0-3ubuntu1~18.04) ... -Selecting previously unselected package g++. -Preparing to unpack .../03-g++_4%3a7.4.0-1ubuntu2.3_amd64.deb ... -Unpacking g++ (4:7.4.0-1ubuntu2.3) ... -Selecting previously unselected package make. -Preparing to unpack .../04-make_4.1-9.1ubuntu1_amd64.deb ... -Unpacking make (4.1-9.1ubuntu1) ... -Selecting previously unselected package libdpkg-perl. -Preparing to unpack .../05-libdpkg-perl_1.19.0.5ubuntu2.3_all.deb ... -Unpacking libdpkg-perl (1.19.0.5ubuntu2.3) ... -Selecting previously unselected package dpkg-dev. -Preparing to unpack .../06-dpkg-dev_1.19.0.5ubuntu2.3_all.deb ... -Unpacking dpkg-dev (1.19.0.5ubuntu2.3) ... -Selecting previously unselected package build-essential. -Preparing to unpack .../07-build-essential_12.4ubuntu1_amd64.deb ... -Unpacking build-essential (12.4ubuntu1) ... -Selecting previously unselected package libfakeroot:amd64. -Preparing to unpack .../08-libfakeroot_1.22-2ubuntu1_amd64.deb ... -Unpacking libfakeroot:amd64 (1.22-2ubuntu1) ... -Selecting previously unselected package fakeroot. -Preparing to unpack .../09-fakeroot_1.22-2ubuntu1_amd64.deb ... -Unpacking fakeroot (1.22-2ubuntu1) ... -Selecting previously unselected package libalgorithm-diff-perl. -Preparing to unpack .../10-libalgorithm-diff-perl_1.19.03-1_all.deb ... -Unpacking libalgorithm-diff-perl (1.19.03-1) ... -Selecting previously unselected package libalgorithm-diff-xs-perl. -Preparing to unpack .../11-libalgorithm-diff-xs-perl_0.04-5_amd64.deb ... -Unpacking libalgorithm-diff-xs-perl (0.04-5) ... -Selecting previously unselected package libalgorithm-merge-perl. -Preparing to unpack .../12-libalgorithm-merge-perl_0.08-3_all.deb ... -Unpacking libalgorithm-merge-perl (0.08-3) ... -Selecting previously unselected package libfile-fcntllock-perl. -Preparing to unpack .../13-libfile-fcntllock-perl_0.22-3build2_amd64.deb ... -Unpacking libfile-fcntllock-perl (0.22-3build2) ... -Setting up make (4.1-9.1ubuntu1) ... -Setting up libdpkg-perl (1.19.0.5ubuntu2.3) ... -Setting up libstdc++-7-dev:amd64 (7.5.0-3ubuntu1~18.04) ... -Setting up libfile-fcntllock-perl (0.22-3build2) ... -Setting up dpkg-dev (1.19.0.5ubuntu2.3) ... -Setting up libfakeroot:amd64 (1.22-2ubuntu1) ... -Setting up libalgorithm-diff-perl (1.19.03-1) ... -Setting up liblocale-gettext-perl (1.07-3build2) ... -Setting up g++-7 (7.5.0-3ubuntu1~18.04) ... -Setting up fakeroot (1.22-2ubuntu1) ... -update-alternatives: using /usr/bin/fakeroot-sysv to provide /usr/bin/fakeroot (fakeroot) in auto mode -update-alternatives: warning: skip creation of /usr/share/man/man1/fakeroot.1.gz because associated file /usr/share/man/man1/fakeroot-sysv.1.gz (of link group fakeroot) doesn't exist -update-alternatives: warning: skip creation of /usr/share/man/man1/faked.1.gz because associated file /usr/share/man/man1/faked-sysv.1.gz (of link group fakeroot) doesn't exist -update-alternatives: warning: skip creation of /usr/share/man/es/man1/fakeroot.1.gz because associated file /usr/share/man/es/man1/fakeroot-sysv.1.gz (of link group fakeroot) doesn't exist -update-alternatives: warning: skip creation of /usr/share/man/es/man1/faked.1.gz because associated file /usr/share/man/es/man1/faked-sysv.1.gz (of link group fakeroot) doesn't exist -update-alternatives: warning: skip creation of /usr/share/man/fr/man1/fakeroot.1.gz because associated file /usr/share/man/fr/man1/fakeroot-sysv.1.gz (of link group fakeroot) doesn't exist -update-alternatives: warning: skip creation of /usr/share/man/fr/man1/faked.1.gz because associated file /usr/share/man/fr/man1/faked-sysv.1.gz (of link group fakeroot) doesn't exist -update-alternatives: warning: skip creation of /usr/share/man/sv/man1/fakeroot.1.gz because associated file /usr/share/man/sv/man1/fakeroot-sysv.1.gz (of link group fakeroot) doesn't exist -update-alternatives: warning: skip creation of /usr/share/man/sv/man1/faked.1.gz because associated file /usr/share/man/sv/man1/faked-sysv.1.gz (of link group fakeroot) doesn't exist -Setting up libalgorithm-merge-perl (0.08-3) ... -Setting up libalgorithm-diff-xs-perl (0.04-5) ... -Setting up g++ (4:7.4.0-1ubuntu2.3) ... -update-alternatives: using /usr/bin/g++ to provide /usr/bin/c++ (c++) in auto mode -update-alternatives: warning: skip creation of /usr/share/man/man1/c++.1.gz because associated file /usr/share/man/man1/g++.1.gz (of link group c++) doesn't exist -Setting up build-essential (12.4ubuntu1) ... -Processing triggers for ccache (3.4.1-1) ... -Updating symlinks in /usr/lib/ccache ... -Processing triggers for libc-bin (2.27-3ubuntu1.3) ... -Removing intermediate container 535c07c03fb8 - ---> 3c47ff47877d -Step 12/27 : RUN apt-get update - ---> Running in 3683d70a49b8 -Hit:1 http://security.ubuntu.com/ubuntu bionic-security InRelease -Hit:2 http://archive.ubuntu.com/ubuntu bionic InRelease -Hit:3 http://archive.ubuntu.com/ubuntu bionic-updates InRelease -Hit:4 http://archive.ubuntu.com/ubuntu bionic-backports InRelease -Reading package lists... -Removing intermediate container 3683d70a49b8 - ---> dfad74d72940 -Step 13/27 : RUN apt-get install -y autoconf automake autotools-dev babeltrace bc curl device-tree-compiler expat flex gawk gperf g++ libexpat-dev libgmp-dev libmpc-dev libmpfr-dev libtool libusb-1.0-0-dev make patchutils pkg-config python3 texinfo zlib1g-dev rsync bison verilator - ---> Running in bbc5c768dce1 -Reading package lists... -Building dependency tree... -Reading state information... -flex is already the newest version (2.6.4-6). -flex set to manually installed. -make is already the newest version (4.1-9.1ubuntu1). -make set to manually installed. -curl is already the newest version (7.58.0-2ubuntu3.10). -g++ is already the newest version (4:7.4.0-1ubuntu2.3). -g++ set to manually installed. -The following additional packages will be installed: - libauthen-sasl-perl libbabeltrace1 libbison-dev libdata-dump-perl libdw1 - libencode-locale-perl libfile-listing-perl libfont-afm-perl libgmpxx4ldbl - libhtml-form-perl libhtml-format-perl libhtml-parser-perl - libhtml-tagset-perl libhtml-tree-perl libhttp-cookies-perl - libhttp-daemon-perl libhttp-date-perl libhttp-message-perl - libhttp-negotiate-perl libio-html-perl libio-socket-ssl-perl libltdl-dev - libltdl7 liblwp-mediatypes-perl liblwp-protocol-https-perl libmailtools-perl - libmpdec2 libnet-http-perl libnet-smtp-ssl-perl libnet-ssleay-perl libpopt0 - libpython3-stdlib libpython3.6-minimal libpython3.6-stdlib - libtext-unidecode-perl libtimedate-perl libtry-tiny-perl liburi-perl - libusb-1.0-0 libusb-1.0-doc libwww-perl libwww-robotrules-perl - libxml-libxml-perl libxml-namespacesupport-perl libxml-parser-perl - libxml-sax-base-perl libxml-sax-expat-perl libxml-sax-perl - perl-openssl-defaults python3-minimal python3.6 python3.6-minimal tex-common -Suggested packages: - autoconf-archive gnu-standards autoconf-doc gettext bison-doc gawk-doc - libdigest-hmac-perl libgssapi-perl gmp-doc libgmp10-doc libtool-doc - libcrypt-ssleay-perl libmpfr-doc gfortran | fortran95-compiler gcj-jdk - libauthen-ntlm-perl python3-doc python3-tk python3-venv python3.6-venv - python3.6-doc binfmt-support openssh-server debhelper texlive-base - texlive-latex-base texlive-generic-recommended texinfo-doc-nonfree - texlive-fonts-recommended gtkwave systemc -The following NEW packages will be installed: - autoconf automake autotools-dev babeltrace bc bison device-tree-compiler - expat gawk gperf libauthen-sasl-perl libbabeltrace1 libbison-dev - libdata-dump-perl libdw1 libencode-locale-perl libexpat1-dev - libfile-listing-perl libfont-afm-perl libgmp-dev libgmpxx4ldbl - libhtml-form-perl libhtml-format-perl libhtml-parser-perl - libhtml-tagset-perl libhtml-tree-perl libhttp-cookies-perl - libhttp-daemon-perl libhttp-date-perl libhttp-message-perl - libhttp-negotiate-perl libio-html-perl libio-socket-ssl-perl libltdl-dev - libltdl7 liblwp-mediatypes-perl liblwp-protocol-https-perl libmailtools-perl - libmpc-dev libmpdec2 libmpfr-dev libnet-http-perl libnet-smtp-ssl-perl - libnet-ssleay-perl libpopt0 libpython3-stdlib libpython3.6-minimal - libpython3.6-stdlib libtext-unidecode-perl libtimedate-perl libtool - libtry-tiny-perl liburi-perl libusb-1.0-0 libusb-1.0-0-dev libusb-1.0-doc - libwww-perl libwww-robotrules-perl libxml-libxml-perl - libxml-namespacesupport-perl libxml-parser-perl libxml-sax-base-perl - libxml-sax-expat-perl libxml-sax-perl patchutils perl-openssl-defaults - pkg-config python3 python3-minimal python3.6 python3.6-minimal rsync - tex-common texinfo verilator zlib1g-dev -0 upgraded, 76 newly installed, 0 to remove and 2 not upgraded. -Need to get 14.9 MB of archives. -After this operation, 70.1 MB of additional disk space will be used. -Get:1 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libpython3.6-minimal amd64 3.6.9-1~18.04ubuntu1.3 [533 kB] -Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 python3.6-minimal amd64 3.6.9-1~18.04ubuntu1.3 [1609 kB] -Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 python3-minimal amd64 3.6.7-1~18.04 [23.7 kB] -Get:4 http://archive.ubuntu.com/ubuntu bionic/main amd64 libmpdec2 amd64 2.4.2-1ubuntu1 [84.1 kB] -Get:5 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libpython3.6-stdlib amd64 3.6.9-1~18.04ubuntu1.3 [1713 kB] -Get:6 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 python3.6 amd64 3.6.9-1~18.04ubuntu1.3 [203 kB] -Get:7 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libpython3-stdlib amd64 3.6.7-1~18.04 [7240 B] -Get:8 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 python3 amd64 3.6.7-1~18.04 [47.2 kB] -Get:9 http://archive.ubuntu.com/ubuntu bionic/main amd64 gawk amd64 1:4.1.4+dfsg-1build1 [401 kB] -Get:10 http://archive.ubuntu.com/ubuntu bionic/main amd64 tex-common all 6.09 [33.0 kB] -Get:11 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpopt0 amd64 1.16-11 [26.2 kB] -Get:12 http://archive.ubuntu.com/ubuntu bionic/main amd64 libusb-1.0-0 amd64 2:1.0.21-2 [43.3 kB] -Get:13 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 rsync amd64 3.1.2-2.1ubuntu1.1 [334 kB] -Get:14 http://archive.ubuntu.com/ubuntu bionic/main amd64 autoconf all 2.69-11 [322 kB] -Get:15 http://archive.ubuntu.com/ubuntu bionic/main amd64 autotools-dev all 20180224.1 [39.6 kB] -Get:16 http://archive.ubuntu.com/ubuntu bionic/main amd64 automake all 1:1.15.1-3ubuntu2 [509 kB] -Get:17 http://archive.ubuntu.com/ubuntu bionic/main amd64 bc amd64 1.07.1-2 [86.2 kB] -Get:18 http://archive.ubuntu.com/ubuntu bionic/main amd64 libbison-dev amd64 2:3.0.4.dfsg-1build1 [339 kB] -Get:19 http://archive.ubuntu.com/ubuntu bionic/main amd64 bison amd64 2:3.0.4.dfsg-1build1 [266 kB] -Get:20 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 expat amd64 2.2.5-3ubuntu0.2 [15.0 kB] -Get:21 http://archive.ubuntu.com/ubuntu bionic/universe amd64 gperf amd64 3.1-1 [103 kB] -Get:22 http://archive.ubuntu.com/ubuntu bionic/main amd64 libdata-dump-perl all 1.23-1 [27.0 kB] -Get:23 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libdw1 amd64 0.170-0.4ubuntu0.1 [203 kB] -Get:24 http://archive.ubuntu.com/ubuntu bionic/main amd64 libencode-locale-perl all 1.05-1 [12.3 kB] -Get:25 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libexpat1-dev amd64 2.2.5-3ubuntu0.2 [122 kB] -Get:26 http://archive.ubuntu.com/ubuntu bionic/main amd64 libtimedate-perl all 2.3000-2 [37.5 kB] -Get:27 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhttp-date-perl all 6.02-1 [10.4 kB] -Get:28 http://archive.ubuntu.com/ubuntu bionic/main amd64 libfile-listing-perl all 6.04-1 [9774 B] -Get:29 http://archive.ubuntu.com/ubuntu bionic/main amd64 libfont-afm-perl all 1.20-2 [13.2 kB] -Get:30 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgmpxx4ldbl amd64 2:6.1.2+dfsg-2 [8964 B] -Get:31 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgmp-dev amd64 2:6.1.2+dfsg-2 [316 kB] -Get:32 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhtml-tagset-perl all 3.20-3 [12.1 kB] -Get:33 http://archive.ubuntu.com/ubuntu bionic/main amd64 liburi-perl all 1.73-1 [77.2 kB] -Get:34 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhtml-parser-perl amd64 3.72-3build1 [85.9 kB] -Get:35 http://archive.ubuntu.com/ubuntu bionic/main amd64 libio-html-perl all 1.001-1 [14.9 kB] -Get:36 http://archive.ubuntu.com/ubuntu bionic/main amd64 liblwp-mediatypes-perl all 6.02-1 [21.7 kB] -Get:37 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhttp-message-perl all 6.14-1 [72.1 kB] -Get:38 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhtml-form-perl all 6.03-1 [23.5 kB] -Get:39 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhtml-tree-perl all 5.07-1 [200 kB] -Get:40 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhtml-format-perl all 2.12-1 [41.3 kB] -Get:41 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhttp-cookies-perl all 6.04-1 [17.2 kB] -Get:42 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhttp-daemon-perl all 6.01-1 [17.0 kB] -Get:43 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhttp-negotiate-perl all 6.00-2 [13.4 kB] -Get:44 http://archive.ubuntu.com/ubuntu bionic/main amd64 perl-openssl-defaults amd64 3build1 [7012 B] -Get:45 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libnet-ssleay-perl amd64 1.84-1ubuntu0.2 [283 kB] -Get:46 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libio-socket-ssl-perl all 2.060-3~ubuntu18.04.1 [173 kB] -Get:47 http://archive.ubuntu.com/ubuntu bionic/main amd64 libltdl7 amd64 2.4.6-2 [38.8 kB] -Get:48 http://archive.ubuntu.com/ubuntu bionic/main amd64 libltdl-dev amd64 2.4.6-2 [162 kB] -Get:49 http://archive.ubuntu.com/ubuntu bionic/main amd64 libnet-http-perl all 6.17-1 [22.7 kB] -Get:50 http://archive.ubuntu.com/ubuntu bionic/main amd64 libtry-tiny-perl all 0.30-1 [20.5 kB] -Get:51 http://archive.ubuntu.com/ubuntu bionic/main amd64 libwww-robotrules-perl all 6.01-1 [14.1 kB] -Get:52 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libwww-perl all 6.31-1ubuntu0.1 [137 kB] -Get:53 http://archive.ubuntu.com/ubuntu bionic/main amd64 liblwp-protocol-https-perl all 6.07-2 [8284 B] -Get:54 http://archive.ubuntu.com/ubuntu bionic/main amd64 libnet-smtp-ssl-perl all 1.04-1 [5948 B] -Get:55 http://archive.ubuntu.com/ubuntu bionic/main amd64 libmailtools-perl all 2.18-1 [74.0 kB] -Get:56 http://archive.ubuntu.com/ubuntu bionic/main amd64 libmpfr-dev amd64 4.0.1-1 [249 kB] -Get:57 http://archive.ubuntu.com/ubuntu bionic/universe amd64 libtext-unidecode-perl all 1.30-1 [99.0 kB] -Get:58 http://archive.ubuntu.com/ubuntu bionic/main amd64 libtool all 2.4.6-2 [194 kB] -Get:59 http://archive.ubuntu.com/ubuntu bionic/main amd64 libusb-1.0-0-dev amd64 2:1.0.21-2 [60.5 kB] -Get:60 http://archive.ubuntu.com/ubuntu bionic/main amd64 libusb-1.0-doc all 2:1.0.21-2 [170 kB] -Get:61 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxml-namespacesupport-perl all 1.12-1 [13.2 kB] -Get:62 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxml-sax-base-perl all 1.09-1 [18.8 kB] -Get:63 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxml-sax-perl all 0.99+dfsg-2ubuntu1 [64.6 kB] -Get:64 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxml-libxml-perl amd64 2.0128+dfsg-5 [316 kB] -Get:65 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxml-parser-perl amd64 2.44-2build3 [199 kB] -Get:66 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxml-sax-expat-perl all 0.40-2 [11.5 kB] -Get:67 http://archive.ubuntu.com/ubuntu bionic/main amd64 patchutils amd64 0.3.4-2 [71.1 kB] -Get:68 http://archive.ubuntu.com/ubuntu bionic/main amd64 pkg-config amd64 0.29.1-0ubuntu2 [45.0 kB] -Get:69 http://archive.ubuntu.com/ubuntu bionic/universe amd64 texinfo amd64 6.5.0.dfsg.1-2 [752 kB] -Get:70 http://archive.ubuntu.com/ubuntu bionic/universe amd64 verilator amd64 3.916-1build1 [2878 kB] -Get:71 http://archive.ubuntu.com/ubuntu bionic/main amd64 zlib1g-dev amd64 1:1.2.11.dfsg-0ubuntu2 [176 kB] -Get:72 http://archive.ubuntu.com/ubuntu bionic/main amd64 libbabeltrace1 amd64 1.5.5-1 [154 kB] -Get:73 http://archive.ubuntu.com/ubuntu bionic/universe amd64 babeltrace amd64 1.5.5-1 [26.1 kB] -Get:74 http://archive.ubuntu.com/ubuntu bionic/main amd64 device-tree-compiler amd64 1.4.5-3 [239 kB] -Get:75 http://archive.ubuntu.com/ubuntu bionic/main amd64 libauthen-sasl-perl all 2.1600-1 [48.7 kB] -Get:76 http://archive.ubuntu.com/ubuntu bionic/main amd64 libmpc-dev amd64 1.1.0-1 [50.5 kB] -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -debconf: unable to initialize frontend: Readline -debconf: (This frontend requires a controlling tty.) -debconf: falling back to frontend: Teletype -dpkg-preconfigure: unable to re-open stdin: -Fetched 14.9 MB in 4s (3788 kB/s) -Selecting previously unselected package libpython3.6-minimal:amd64. -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 38497 files and directories currently installed.) -Preparing to unpack .../libpython3.6-minimal_3.6.9-1~18.04ubuntu1.3_amd64.deb ... -Unpacking libpython3.6-minimal:amd64 (3.6.9-1~18.04ubuntu1.3) ... -Selecting previously unselected package python3.6-minimal. -Preparing to unpack .../python3.6-minimal_3.6.9-1~18.04ubuntu1.3_amd64.deb ... -Unpacking python3.6-minimal (3.6.9-1~18.04ubuntu1.3) ... -Setting up libpython3.6-minimal:amd64 (3.6.9-1~18.04ubuntu1.3) ... -Setting up python3.6-minimal (3.6.9-1~18.04ubuntu1.3) ... -Selecting previously unselected package python3-minimal. -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 38735 files and directories currently installed.) -Preparing to unpack .../python3-minimal_3.6.7-1~18.04_amd64.deb ... -Unpacking python3-minimal (3.6.7-1~18.04) ... -Selecting previously unselected package libmpdec2:amd64. -Preparing to unpack .../libmpdec2_2.4.2-1ubuntu1_amd64.deb ... -Unpacking libmpdec2:amd64 (2.4.2-1ubuntu1) ... -Selecting previously unselected package libpython3.6-stdlib:amd64. -Preparing to unpack .../libpython3.6-stdlib_3.6.9-1~18.04ubuntu1.3_amd64.deb ... -Unpacking libpython3.6-stdlib:amd64 (3.6.9-1~18.04ubuntu1.3) ... -Selecting previously unselected package python3.6. -Preparing to unpack .../python3.6_3.6.9-1~18.04ubuntu1.3_amd64.deb ... -Unpacking python3.6 (3.6.9-1~18.04ubuntu1.3) ... -Selecting previously unselected package libpython3-stdlib:amd64. -Preparing to unpack .../libpython3-stdlib_3.6.7-1~18.04_amd64.deb ... -Unpacking libpython3-stdlib:amd64 (3.6.7-1~18.04) ... -Setting up python3-minimal (3.6.7-1~18.04) ... -Selecting previously unselected package python3. -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 39139 files and directories currently installed.) -Preparing to unpack .../00-python3_3.6.7-1~18.04_amd64.deb ... -Unpacking python3 (3.6.7-1~18.04) ... -Selecting previously unselected package gawk. -Preparing to unpack .../01-gawk_1%3a4.1.4+dfsg-1build1_amd64.deb ... -Unpacking gawk (1:4.1.4+dfsg-1build1) ... -Selecting previously unselected package tex-common. -Preparing to unpack .../02-tex-common_6.09_all.deb ... -Unpacking tex-common (6.09) ... -Selecting previously unselected package libpopt0:amd64. -Preparing to unpack .../03-libpopt0_1.16-11_amd64.deb ... -Unpacking libpopt0:amd64 (1.16-11) ... -Selecting previously unselected package libusb-1.0-0:amd64. -Preparing to unpack .../04-libusb-1.0-0_2%3a1.0.21-2_amd64.deb ... -Unpacking libusb-1.0-0:amd64 (2:1.0.21-2) ... -Selecting previously unselected package rsync. -Preparing to unpack .../05-rsync_3.1.2-2.1ubuntu1.1_amd64.deb ... -Unpacking rsync (3.1.2-2.1ubuntu1.1) ... -Selecting previously unselected package autoconf. -Preparing to unpack .../06-autoconf_2.69-11_all.deb ... -Unpacking autoconf (2.69-11) ... -Selecting previously unselected package autotools-dev. -Preparing to unpack .../07-autotools-dev_20180224.1_all.deb ... -Unpacking autotools-dev (20180224.1) ... -Selecting previously unselected package automake. -Preparing to unpack .../08-automake_1%3a1.15.1-3ubuntu2_all.deb ... -Unpacking automake (1:1.15.1-3ubuntu2) ... -Selecting previously unselected package bc. -Preparing to unpack .../09-bc_1.07.1-2_amd64.deb ... -Unpacking bc (1.07.1-2) ... -Selecting previously unselected package libbison-dev:amd64. -Preparing to unpack .../10-libbison-dev_2%3a3.0.4.dfsg-1build1_amd64.deb ... -Unpacking libbison-dev:amd64 (2:3.0.4.dfsg-1build1) ... -Selecting previously unselected package bison. -Preparing to unpack .../11-bison_2%3a3.0.4.dfsg-1build1_amd64.deb ... -Unpacking bison (2:3.0.4.dfsg-1build1) ... -Selecting previously unselected package expat. -Preparing to unpack .../12-expat_2.2.5-3ubuntu0.2_amd64.deb ... -Unpacking expat (2.2.5-3ubuntu0.2) ... -Selecting previously unselected package gperf. -Preparing to unpack .../13-gperf_3.1-1_amd64.deb ... -Unpacking gperf (3.1-1) ... -Selecting previously unselected package libdata-dump-perl. -Preparing to unpack .../14-libdata-dump-perl_1.23-1_all.deb ... -Unpacking libdata-dump-perl (1.23-1) ... -Selecting previously unselected package libdw1:amd64. -Preparing to unpack .../15-libdw1_0.170-0.4ubuntu0.1_amd64.deb ... -Unpacking libdw1:amd64 (0.170-0.4ubuntu0.1) ... -Selecting previously unselected package libencode-locale-perl. -Preparing to unpack .../16-libencode-locale-perl_1.05-1_all.deb ... -Unpacking libencode-locale-perl (1.05-1) ... -Selecting previously unselected package libexpat1-dev:amd64. -Preparing to unpack .../17-libexpat1-dev_2.2.5-3ubuntu0.2_amd64.deb ... -Unpacking libexpat1-dev:amd64 (2.2.5-3ubuntu0.2) ... -Selecting previously unselected package libtimedate-perl. -Preparing to unpack .../18-libtimedate-perl_2.3000-2_all.deb ... -Unpacking libtimedate-perl (2.3000-2) ... -Selecting previously unselected package libhttp-date-perl. -Preparing to unpack .../19-libhttp-date-perl_6.02-1_all.deb ... -Unpacking libhttp-date-perl (6.02-1) ... -Selecting previously unselected package libfile-listing-perl. -Preparing to unpack .../20-libfile-listing-perl_6.04-1_all.deb ... -Unpacking libfile-listing-perl (6.04-1) ... -Selecting previously unselected package libfont-afm-perl. -Preparing to unpack .../21-libfont-afm-perl_1.20-2_all.deb ... -Unpacking libfont-afm-perl (1.20-2) ... -Selecting previously unselected package libgmpxx4ldbl:amd64. -Preparing to unpack .../22-libgmpxx4ldbl_2%3a6.1.2+dfsg-2_amd64.deb ... -Unpacking libgmpxx4ldbl:amd64 (2:6.1.2+dfsg-2) ... -Selecting previously unselected package libgmp-dev:amd64. -Preparing to unpack .../23-libgmp-dev_2%3a6.1.2+dfsg-2_amd64.deb ... -Unpacking libgmp-dev:amd64 (2:6.1.2+dfsg-2) ... -Selecting previously unselected package libhtml-tagset-perl. -Preparing to unpack .../24-libhtml-tagset-perl_3.20-3_all.deb ... -Unpacking libhtml-tagset-perl (3.20-3) ... -Selecting previously unselected package liburi-perl. -Preparing to unpack .../25-liburi-perl_1.73-1_all.deb ... -Unpacking liburi-perl (1.73-1) ... -Selecting previously unselected package libhtml-parser-perl. -Preparing to unpack .../26-libhtml-parser-perl_3.72-3build1_amd64.deb ... -Unpacking libhtml-parser-perl (3.72-3build1) ... -Selecting previously unselected package libio-html-perl. -Preparing to unpack .../27-libio-html-perl_1.001-1_all.deb ... -Unpacking libio-html-perl (1.001-1) ... -Selecting previously unselected package liblwp-mediatypes-perl. -Preparing to unpack .../28-liblwp-mediatypes-perl_6.02-1_all.deb ... -Unpacking liblwp-mediatypes-perl (6.02-1) ... -Selecting previously unselected package libhttp-message-perl. -Preparing to unpack .../29-libhttp-message-perl_6.14-1_all.deb ... -Unpacking libhttp-message-perl (6.14-1) ... -Selecting previously unselected package libhtml-form-perl. -Preparing to unpack .../30-libhtml-form-perl_6.03-1_all.deb ... -Unpacking libhtml-form-perl (6.03-1) ... -Selecting previously unselected package libhtml-tree-perl. -Preparing to unpack .../31-libhtml-tree-perl_5.07-1_all.deb ... -Unpacking libhtml-tree-perl (5.07-1) ... -Selecting previously unselected package libhtml-format-perl. -Preparing to unpack .../32-libhtml-format-perl_2.12-1_all.deb ... -Unpacking libhtml-format-perl (2.12-1) ... -Selecting previously unselected package libhttp-cookies-perl. -Preparing to unpack .../33-libhttp-cookies-perl_6.04-1_all.deb ... -Unpacking libhttp-cookies-perl (6.04-1) ... -Selecting previously unselected package libhttp-daemon-perl. -Preparing to unpack .../34-libhttp-daemon-perl_6.01-1_all.deb ... -Unpacking libhttp-daemon-perl (6.01-1) ... -Selecting previously unselected package libhttp-negotiate-perl. -Preparing to unpack .../35-libhttp-negotiate-perl_6.00-2_all.deb ... -Unpacking libhttp-negotiate-perl (6.00-2) ... -Selecting previously unselected package perl-openssl-defaults:amd64. -Preparing to unpack .../36-perl-openssl-defaults_3build1_amd64.deb ... -Unpacking perl-openssl-defaults:amd64 (3build1) ... -Selecting previously unselected package libnet-ssleay-perl. -Preparing to unpack .../37-libnet-ssleay-perl_1.84-1ubuntu0.2_amd64.deb ... -Unpacking libnet-ssleay-perl (1.84-1ubuntu0.2) ... -Selecting previously unselected package libio-socket-ssl-perl. -Preparing to unpack .../38-libio-socket-ssl-perl_2.060-3~ubuntu18.04.1_all.deb ... -Unpacking libio-socket-ssl-perl (2.060-3~ubuntu18.04.1) ... -Selecting previously unselected package libltdl7:amd64. -Preparing to unpack .../39-libltdl7_2.4.6-2_amd64.deb ... -Unpacking libltdl7:amd64 (2.4.6-2) ... -Selecting previously unselected package libltdl-dev:amd64. -Preparing to unpack .../40-libltdl-dev_2.4.6-2_amd64.deb ... -Unpacking libltdl-dev:amd64 (2.4.6-2) ... -Selecting previously unselected package libnet-http-perl. -Preparing to unpack .../41-libnet-http-perl_6.17-1_all.deb ... -Unpacking libnet-http-perl (6.17-1) ... -Selecting previously unselected package libtry-tiny-perl. -Preparing to unpack .../42-libtry-tiny-perl_0.30-1_all.deb ... -Unpacking libtry-tiny-perl (0.30-1) ... -Selecting previously unselected package libwww-robotrules-perl. -Preparing to unpack .../43-libwww-robotrules-perl_6.01-1_all.deb ... -Unpacking libwww-robotrules-perl (6.01-1) ... -Selecting previously unselected package libwww-perl. -Preparing to unpack .../44-libwww-perl_6.31-1ubuntu0.1_all.deb ... -Unpacking libwww-perl (6.31-1ubuntu0.1) ... -Selecting previously unselected package liblwp-protocol-https-perl. -Preparing to unpack .../45-liblwp-protocol-https-perl_6.07-2_all.deb ... -Unpacking liblwp-protocol-https-perl (6.07-2) ... -Selecting previously unselected package libnet-smtp-ssl-perl. -Preparing to unpack .../46-libnet-smtp-ssl-perl_1.04-1_all.deb ... -Unpacking libnet-smtp-ssl-perl (1.04-1) ... -Selecting previously unselected package libmailtools-perl. -Preparing to unpack .../47-libmailtools-perl_2.18-1_all.deb ... -Unpacking libmailtools-perl (2.18-1) ... -Selecting previously unselected package libmpfr-dev:amd64. -Preparing to unpack .../48-libmpfr-dev_4.0.1-1_amd64.deb ... -Unpacking libmpfr-dev:amd64 (4.0.1-1) ... -Selecting previously unselected package libtext-unidecode-perl. -Preparing to unpack .../49-libtext-unidecode-perl_1.30-1_all.deb ... -Unpacking libtext-unidecode-perl (1.30-1) ... -Selecting previously unselected package libtool. -Preparing to unpack .../50-libtool_2.4.6-2_all.deb ... -Unpacking libtool (2.4.6-2) ... -Selecting previously unselected package libusb-1.0-0-dev:amd64. -Preparing to unpack .../51-libusb-1.0-0-dev_2%3a1.0.21-2_amd64.deb ... -Unpacking libusb-1.0-0-dev:amd64 (2:1.0.21-2) ... -Selecting previously unselected package libusb-1.0-doc. -Preparing to unpack .../52-libusb-1.0-doc_2%3a1.0.21-2_all.deb ... -Unpacking libusb-1.0-doc (2:1.0.21-2) ... -Selecting previously unselected package libxml-namespacesupport-perl. -Preparing to unpack .../53-libxml-namespacesupport-perl_1.12-1_all.deb ... -Unpacking libxml-namespacesupport-perl (1.12-1) ... -Selecting previously unselected package libxml-sax-base-perl. -Preparing to unpack .../54-libxml-sax-base-perl_1.09-1_all.deb ... -Unpacking libxml-sax-base-perl (1.09-1) ... -Selecting previously unselected package libxml-sax-perl. -Preparing to unpack .../55-libxml-sax-perl_0.99+dfsg-2ubuntu1_all.deb ... -Unpacking libxml-sax-perl (0.99+dfsg-2ubuntu1) ... -Selecting previously unselected package libxml-libxml-perl. -Preparing to unpack .../56-libxml-libxml-perl_2.0128+dfsg-5_amd64.deb ... -Unpacking libxml-libxml-perl (2.0128+dfsg-5) ... -Selecting previously unselected package libxml-parser-perl. -Preparing to unpack .../57-libxml-parser-perl_2.44-2build3_amd64.deb ... -Unpacking libxml-parser-perl (2.44-2build3) ... -Selecting previously unselected package libxml-sax-expat-perl. -Preparing to unpack .../58-libxml-sax-expat-perl_0.40-2_all.deb ... -Unpacking libxml-sax-expat-perl (0.40-2) ... -Selecting previously unselected package patchutils. -Preparing to unpack .../59-patchutils_0.3.4-2_amd64.deb ... -Unpacking patchutils (0.3.4-2) ... -Selecting previously unselected package pkg-config. -Preparing to unpack .../60-pkg-config_0.29.1-0ubuntu2_amd64.deb ... -Unpacking pkg-config (0.29.1-0ubuntu2) ... -Selecting previously unselected package texinfo. -Preparing to unpack .../61-texinfo_6.5.0.dfsg.1-2_amd64.deb ... -Unpacking texinfo (6.5.0.dfsg.1-2) ... -Selecting previously unselected package verilator. -Preparing to unpack .../62-verilator_3.916-1build1_amd64.deb ... -Unpacking verilator (3.916-1build1) ... -Selecting previously unselected package zlib1g-dev:amd64. -Preparing to unpack .../63-zlib1g-dev_1%3a1.2.11.dfsg-0ubuntu2_amd64.deb ... -Unpacking zlib1g-dev:amd64 (1:1.2.11.dfsg-0ubuntu2) ... -Selecting previously unselected package libbabeltrace1:amd64. -Preparing to unpack .../64-libbabeltrace1_1.5.5-1_amd64.deb ... -Unpacking libbabeltrace1:amd64 (1.5.5-1) ... -Selecting previously unselected package babeltrace. -Preparing to unpack .../65-babeltrace_1.5.5-1_amd64.deb ... -Unpacking babeltrace (1.5.5-1) ... -Selecting previously unselected package device-tree-compiler. -Preparing to unpack .../66-device-tree-compiler_1.4.5-3_amd64.deb ... -Unpacking device-tree-compiler (1.4.5-3) ... -Selecting previously unselected package libauthen-sasl-perl. -Preparing to unpack .../67-libauthen-sasl-perl_2.1600-1_all.deb ... -Unpacking libauthen-sasl-perl (2.1600-1) ... -Selecting previously unselected package libmpc-dev:amd64. -Preparing to unpack .../68-libmpc-dev_1.1.0-1_amd64.deb ... -Unpacking libmpc-dev:amd64 (1.1.0-1) ... -Setting up libhtml-tagset-perl (3.20-3) ... -Setting up libpopt0:amd64 (1.16-11) ... -Setting up libtry-tiny-perl (0.30-1) ... -Setting up libfont-afm-perl (1.20-2) ... -Setting up tex-common (6.09) ... -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -update-language: texlive-base not installed and configured, doing nothing! -Setting up libxml-namespacesupport-perl (1.12-1) ... -Setting up libencode-locale-perl (1.05-1) ... -Setting up libdw1:amd64 (0.170-0.4ubuntu0.1) ... -Setting up libusb-1.0-doc (2:1.0.21-2) ... -Setting up libtimedate-perl (2.3000-2) ... -Setting up perl-openssl-defaults:amd64 (3build1) ... -Setting up expat (2.2.5-3ubuntu0.2) ... -Setting up libio-html-perl (1.001-1) ... -Setting up libtext-unidecode-perl (1.30-1) ... -Setting up autoconf (2.69-11) ... -Setting up gperf (3.1-1) ... -Setting up gawk (1:4.1.4+dfsg-1build1) ... -Setting up rsync (3.1.2-2.1ubuntu1.1) ... -invoke-rc.d: could not determine current runlevel -invoke-rc.d: policy-rc.d denied execution of restart. -Setting up libxml-sax-base-perl (1.09-1) ... -Setting up pkg-config (0.29.1-0ubuntu2) ... -Setting up libusb-1.0-0:amd64 (2:1.0.21-2) ... -Setting up liblwp-mediatypes-perl (6.02-1) ... -Setting up patchutils (0.3.4-2) ... -Setting up autotools-dev (20180224.1) ... -Setting up liburi-perl (1.73-1) ... -Setting up libdata-dump-perl (1.23-1) ... -Setting up libusb-1.0-0-dev:amd64 (2:1.0.21-2) ... -Setting up libltdl7:amd64 (2.4.6-2) ... -Setting up libhtml-parser-perl (3.72-3build1) ... -Setting up automake (1:1.15.1-3ubuntu2) ... -update-alternatives: using /usr/bin/automake-1.15 to provide /usr/bin/automake (automake) in auto mode -update-alternatives: warning: skip creation of /usr/share/man/man1/automake.1.gz because associated file /usr/share/man/man1/automake-1.15.1.gz (of link group automake) doesn't exist -update-alternatives: warning: skip creation of /usr/share/man/man1/aclocal.1.gz because associated file /usr/share/man/man1/aclocal-1.15.1.gz (of link group automake) doesn't exist -Setting up libbison-dev:amd64 (2:3.0.4.dfsg-1build1) ... -Setting up libexpat1-dev:amd64 (2.2.5-3ubuntu0.2) ... -Setting up libnet-http-perl (6.17-1) ... -Setting up device-tree-compiler (1.4.5-3) ... -Setting up bison (2:3.0.4.dfsg-1build1) ... -update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode -update-alternatives: warning: skip creation of /usr/share/man/man1/yacc.1.gz because associated file /usr/share/man/man1/bison.yacc.1.gz (of link group yacc) doesn't exist -Setting up verilator (3.916-1build1) ... -Setting up libgmpxx4ldbl:amd64 (2:6.1.2+dfsg-2) ... -Setting up bc (1.07.1-2) ... -Setting up libwww-robotrules-perl (6.01-1) ... -Setting up libauthen-sasl-perl (2.1600-1) ... -Setting up libbabeltrace1:amd64 (1.5.5-1) ... -Setting up libmpdec2:amd64 (2.4.2-1ubuntu1) ... -Setting up zlib1g-dev:amd64 (1:1.2.11.dfsg-0ubuntu2) ... -Setting up libxml-sax-perl (0.99+dfsg-2ubuntu1) ... -update-perl-sax-parsers: Registering Perl SAX parser XML::SAX::PurePerl with priority 10... -update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline - -Creating config file /etc/perl/XML/SAX/ParserDetails.ini with new version -Setting up babeltrace (1.5.5-1) ... -Setting up libtool (2.4.6-2) ... -Setting up libgmp-dev:amd64 (2:6.1.2+dfsg-2) ... -Setting up libpython3.6-stdlib:amd64 (3.6.9-1~18.04ubuntu1.3) ... -Setting up libhttp-date-perl (6.02-1) ... -Setting up python3.6 (3.6.9-1~18.04ubuntu1.3) ... -Setting up libltdl-dev:amd64 (2.4.6-2) ... -Setting up libnet-ssleay-perl (1.84-1ubuntu0.2) ... -Setting up libio-socket-ssl-perl (2.060-3~ubuntu18.04.1) ... -Setting up libhtml-tree-perl (5.07-1) ... -Setting up libfile-listing-perl (6.04-1) ... -Setting up libhttp-message-perl (6.14-1) ... -Setting up libxml-libxml-perl (2.0128+dfsg-5) ... -update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX::Parser with priority 50... -update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX with priority 50... -update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -Replacing config file /etc/perl/XML/SAX/ParserDetails.ini with new version -Setting up libhttp-negotiate-perl (6.00-2) ... -Setting up libmpfr-dev:amd64 (4.0.1-1) ... -Setting up libpython3-stdlib:amd64 (3.6.7-1~18.04) ... -Setting up libnet-smtp-ssl-perl (1.04-1) ... -Setting up libhtml-format-perl (2.12-1) ... -Setting up python3 (3.6.7-1~18.04) ... -running python rtupdate hooks for python3.6... -running python post-rtupdate hooks for python3.6... -Setting up libhttp-cookies-perl (6.04-1) ... -Setting up libhttp-daemon-perl (6.01-1) ... -Setting up libhtml-form-perl (6.03-1) ... -Setting up texinfo (6.5.0.dfsg.1-2) ... -Setting up libmpc-dev:amd64 (1.1.0-1) ... -Setting up libmailtools-perl (2.18-1) ... -Setting up liblwp-protocol-https-perl (6.07-2) ... -Setting up libwww-perl (6.31-1ubuntu0.1) ... -Setting up libxml-parser-perl (2.44-2build3) ... -Setting up libxml-sax-expat-perl (0.40-2) ... -update-perl-sax-parsers: Registering Perl SAX parser XML::SAX::Expat with priority 50... -update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -Replacing config file /etc/perl/XML/SAX/ParserDetails.ini with new version -Processing triggers for mime-support (3.60ubuntu1) ... -Processing triggers for libc-bin (2.27-3ubuntu1.3) ... -Removing intermediate container bbc5c768dce1 - ---> c11c5bcdd295 -Step 14/27 : RUN apt-get install -y libfdt-dev libglib2.0-dev libpixman-1-dev - ---> Running in 849f268bb9a0 -Reading package lists... -Building dependency tree... -Reading state information... -The following additional packages will be installed: - libfdt1 libglib2.0-bin libglib2.0-dev-bin libpcre16-3 libpcre3-dev - libpcre32-3 libpcrecpp0v5 python3-distutils python3-lib2to3 -Suggested packages: - libglib2.0-doc -The following NEW packages will be installed: - libfdt-dev libfdt1 libglib2.0-bin libglib2.0-dev libglib2.0-dev-bin - libpcre16-3 libpcre3-dev libpcre32-3 libpcrecpp0v5 libpixman-1-dev - python3-distutils python3-lib2to3 -0 upgraded, 12 newly installed, 0 to remove and 2 not upgraded. -Need to get 2900 kB of archives. -After this operation, 17.9 MB of additional disk space will be used. -Get:1 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libglib2.0-bin amd64 2.56.4-0ubuntu0.18.04.6 [68.8 kB] -Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 python3-lib2to3 all 3.6.9-1~18.04 [77.4 kB] -Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 python3-distutils all 3.6.9-1~18.04 [144 kB] -Get:4 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libglib2.0-dev-bin amd64 2.56.4-0ubuntu0.18.04.6 [102 kB] -Get:5 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpcre16-3 amd64 2:8.39-9 [147 kB] -Get:6 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpcre32-3 amd64 2:8.39-9 [138 kB] -Get:7 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpcrecpp0v5 amd64 2:8.39-9 [15.3 kB] -Get:8 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpcre3-dev amd64 2:8.39-9 [537 kB] -Get:9 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libglib2.0-dev amd64 2.56.4-0ubuntu0.18.04.6 [1385 kB] -Get:10 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpixman-1-dev amd64 0.34.0-2 [244 kB] -Get:11 http://archive.ubuntu.com/ubuntu bionic/main amd64 libfdt1 amd64 1.4.5-3 [15.7 kB] -Get:12 http://archive.ubuntu.com/ubuntu bionic/main amd64 libfdt-dev amd64 1.4.5-3 [25.7 kB] -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -debconf: unable to initialize frontend: Readline -debconf: (This frontend requires a controlling tty.) -debconf: falling back to frontend: Teletype -dpkg-preconfigure: unable to re-open stdin: -Fetched 2900 kB in 2s (1458 kB/s) -Selecting previously unselected package libglib2.0-bin. -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 41615 files and directories currently installed.) -Preparing to unpack .../00-libglib2.0-bin_2.56.4-0ubuntu0.18.04.6_amd64.deb ... -Unpacking libglib2.0-bin (2.56.4-0ubuntu0.18.04.6) ... -Selecting previously unselected package python3-lib2to3. -Preparing to unpack .../01-python3-lib2to3_3.6.9-1~18.04_all.deb ... -Unpacking python3-lib2to3 (3.6.9-1~18.04) ... -Selecting previously unselected package python3-distutils. -Preparing to unpack .../02-python3-distutils_3.6.9-1~18.04_all.deb ... -Unpacking python3-distutils (3.6.9-1~18.04) ... -Selecting previously unselected package libglib2.0-dev-bin. -Preparing to unpack .../03-libglib2.0-dev-bin_2.56.4-0ubuntu0.18.04.6_amd64.deb ... -Unpacking libglib2.0-dev-bin (2.56.4-0ubuntu0.18.04.6) ... -Selecting previously unselected package libpcre16-3:amd64. -Preparing to unpack .../04-libpcre16-3_2%3a8.39-9_amd64.deb ... -Unpacking libpcre16-3:amd64 (2:8.39-9) ... -Selecting previously unselected package libpcre32-3:amd64. -Preparing to unpack .../05-libpcre32-3_2%3a8.39-9_amd64.deb ... -Unpacking libpcre32-3:amd64 (2:8.39-9) ... -Selecting previously unselected package libpcrecpp0v5:amd64. -Preparing to unpack .../06-libpcrecpp0v5_2%3a8.39-9_amd64.deb ... -Unpacking libpcrecpp0v5:amd64 (2:8.39-9) ... -Selecting previously unselected package libpcre3-dev:amd64. -Preparing to unpack .../07-libpcre3-dev_2%3a8.39-9_amd64.deb ... -Unpacking libpcre3-dev:amd64 (2:8.39-9) ... -Selecting previously unselected package libglib2.0-dev:amd64. -Preparing to unpack .../08-libglib2.0-dev_2.56.4-0ubuntu0.18.04.6_amd64.deb ... -Unpacking libglib2.0-dev:amd64 (2.56.4-0ubuntu0.18.04.6) ... -Selecting previously unselected package libpixman-1-dev:amd64. -Preparing to unpack .../09-libpixman-1-dev_0.34.0-2_amd64.deb ... -Unpacking libpixman-1-dev:amd64 (0.34.0-2) ... -Selecting previously unselected package libfdt1:amd64. -Preparing to unpack .../10-libfdt1_1.4.5-3_amd64.deb ... -Unpacking libfdt1:amd64 (1.4.5-3) ... -Selecting previously unselected package libfdt-dev. -Preparing to unpack .../11-libfdt-dev_1.4.5-3_amd64.deb ... -Unpacking libfdt-dev (1.4.5-3) ... -Setting up libpixman-1-dev:amd64 (0.34.0-2) ... -Setting up libpcrecpp0v5:amd64 (2:8.39-9) ... -Setting up libpcre32-3:amd64 (2:8.39-9) ... -Setting up libpcre16-3:amd64 (2:8.39-9) ... -Setting up python3-lib2to3 (3.6.9-1~18.04) ... -Setting up libglib2.0-bin (2.56.4-0ubuntu0.18.04.6) ... -Setting up python3-distutils (3.6.9-1~18.04) ... -Setting up libfdt1:amd64 (1.4.5-3) ... -Setting up libfdt-dev (1.4.5-3) ... -Setting up libpcre3-dev:amd64 (2:8.39-9) ... -Setting up libglib2.0-dev-bin (2.56.4-0ubuntu0.18.04.6) ... -Setting up libglib2.0-dev:amd64 (2.56.4-0ubuntu0.18.04.6) ... -Processing triggers for libglib2.0-0:amd64 (2.56.4-0ubuntu0.18.04.6) ... -Processing triggers for libc-bin (2.27-3ubuntu1.3) ... -Removing intermediate container 849f268bb9a0 - ---> b82bb1821ec1 -Step 15/27 : ENV HOME="/home/riscvuser" - ---> Running in 51d644716666 -Removing intermediate container 51d644716666 - ---> 6dbafe7c9b1a -Step 16/27 : ENV RISCV="$HOME/riscv-tools-install" - ---> Running in 04f659aa25cf -Removing intermediate container 04f659aa25cf - ---> f114f73f056c -Step 17/27 : ENV LD_LIBRARY_PATH="$RISCV/lib" - ---> Running in 26b6a9e09e56 -Removing intermediate container 26b6a9e09e56 - ---> f1bac7e9c06a -Step 18/27 : ENV PATH="$RISCV/bin:$PATH" - ---> Running in e10160a9cbdd -Removing intermediate container e10160a9cbdd - ---> 4a4a90ab60b9 -Step 19/27 : WORKDIR $HOME - ---> Running in c5e059418854 -Removing intermediate container c5e059418854 - ---> 24be9d5f80f9 -Step 20/27 : USER riscvuser - ---> Running in 64c454299c8e -Removing intermediate container 64c454299c8e - ---> 4d9fa1962719 -Step 21/27 : RUN git clone https://github.com/schwarz-em/chipyard.git && cd chipyard && export MAKEFLAGS=-"j $(nproc)" && ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null - ---> Running in 4b740b9b351c -Cloning into 'chipyard'... -^C -]0;ellas@ella-pc:~/ella-chipyard/chipyard[ellas@ella-pc chipyard]$ exit -exit - -Script done on 2020-12-01 13:45:16-08:00 [COMMAND_EXIT_CODE="130"] diff --git a/completed.txt b/completed.txt deleted file mode 100644 index f026eb0ae4..0000000000 --- a/completed.txt +++ /dev/null @@ -1,4486 +0,0 @@ -Script started on 2020-12-01 15:40:51-08:00 [TERM="xterm-256color" TTY="/dev/pts/5" COLUMNS="77" LINES="39"] -]0;ellas@ella-pc:~/ella-chipyard/chipyard[ellas@ella-pc chipyard]$ docker build - < Dockerfile-ella -Sending build context to Docker daemon 11.78kB -Step 1/28 : FROM ubuntu:18.04 - ---> 2c047404e52d -Step 2/28 : MAINTAINER jacobgadikian@gmail.com - ---> Using cache - ---> 0fc71a7a7123 -Step 3/28 : RUN apt-get update && apt-get upgrade -y && mkdir -p /usr/share/man/man1 && apt-get install -y bzip2 ca-certificates curl git gnupg gzip libfl2 libfl-dev locales mercurial python-minimal python-pexpect-doc netcat net-tools openssh-client parallel sudo tar unzip wget xvfb xxd zip ccache libgoogle-perftools-dev numactl zlib1g jq openjdk-11-jdk maven ant gradle - ---> Using cache - ---> 87156762f3a0 -Step 4/28 : RUN apt-get install -y apt-utils - ---> Using cache - ---> 8f6afbee470e -Step 5/28 : RUN ln -sf /usr/share/zoneinfo/Etc/UTC /etc/localtime - ---> Using cache - ---> 25ab089d0a01 -Step 6/28 : RUN locale-gen C.UTF-8 || true - ---> Using cache - ---> 4cbabe2d62ca -Step 7/28 : ENV LANG=C.UTF-8 - ---> Using cache - ---> df0c6a2ad869 -Step 8/28 : RUN groupadd --gid 3434 riscvuser && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep - ---> Using cache - ---> 6c7c8dfcb6b1 -Step 9/28 : RUN apt-get update - ---> Using cache - ---> a4eb4561d4f1 -Step 10/28 : RUN apt-get install -y --no-install-recommends openjfx - ---> Using cache - ---> 2118063a9af5 -Step 11/28 : RUN apt-get install -y build-essential - ---> Using cache - ---> 91c539c2fc7a -Step 12/28 : RUN apt-get update - ---> Using cache - ---> 7c07f89c49c4 -Step 13/28 : RUN apt-get install -y autoconf automake autotools-dev babeltrace bc curl device-tree-compiler expat flex gawk gperf g++ libexpat-dev libgmp-dev libmpc-dev libmpfr-dev libtool libusb-1.0-0-dev make patchutils pkg-config python3 texinfo zlib1g-dev rsync bison verilator - ---> Using cache - ---> 74a7e0c43523 -Step 14/28 : RUN apt-get install -y libfdt-dev libglib2.0-dev libpixman-1-dev - ---> Using cache - ---> e846afdecd93 -Step 15/28 : ENV HOME="/home/riscvuser" - ---> Using cache - ---> 04252ae68643 -Step 16/28 : ENV RISCV="$HOME/riscv-tools-install" - ---> Using cache - ---> 060a560cef38 -Step 17/28 : ENV LD_LIBRARY_PATH="$RISCV/lib" - ---> Using cache - ---> 0cc082b7cff3 -Step 18/28 : ENV PATH="$RISCV/bin:$PATH" - ---> Using cache - ---> d38f378a063e -Step 19/28 : WORKDIR $HOME - ---> Using cache - ---> e78fdc1428da -Step 20/28 : USER riscvuser - ---> Using cache - ---> 4ef013acb4c5 -Step 21/28 : RUN git clone https://github.com/schwarz-em/chipyard.git && cd chipyard && export MAKEFLAGS=-"j $(nproc)" && ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null - ---> Using cache - ---> b4a55c772a76 -Step 22/28 : RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install keyboard-configuration && sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup - ---> Using cache - ---> 53ff15f9846a -Step 23/28 : RUN cd chipyard && ./scripts/ubuntu-req.sh 1>/dev/null - ---> Using cache - ---> 3b68215fe6b1 -Step 24/28 : RUN mvn -version && ant -version && gradle -version && sbt sbtVersion && verilator --version - ---> Using cache - ---> fd74a2659db6 -Step 25/28 : RUN cd chipyard && export MAKEFLAGS=-"j $(nproc)" && \./scripts/build-toolchains.sh riscv-tools 1>/dev/null - ---> Running in 7972893ac3e9 -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain'... -Submodule 'qemu' (https://git.qemu.org/git/qemu.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/qemu' -Submodule 'riscv-binutils' (https://github.com/riscv/riscv-binutils-gdb.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-binutils' -Submodule 'riscv-dejagnu' (https://github.com/riscv/riscv-dejagnu.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-dejagnu' -Submodule 'riscv-gcc' (https://github.com/riscv/riscv-gcc.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gcc' -Submodule 'riscv-gdb' (https://github.com/riscv/riscv-binutils-gdb.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gdb' -Submodule 'riscv-glibc' (https://github.com/riscv/riscv-glibc.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-glibc' -Submodule 'riscv-newlib' (https://github.com/riscv/riscv-newlib.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-newlib' -Skipping submodule '../toolchains/riscv-tools/riscv-gnu-toolchain/' -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-binutils'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-dejagnu'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gcc'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gdb'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-glibc'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-newlib'... -configure: WARNING: neither ld nor gold are enabled -*** This configuration is not supported in the following subdirectories: - binutils gas gprof - (Any other directories should still work fine.) -*** This configuration is not supported in the following subdirectories: - readline libdecnumber sim gdb - (Any other directories should still work fine.) -configure: WARNING: decimal float is not supported for this target, ignored -ar: `u' modifier ignored since `D' is the default (see `U') -ar: `u' modifier ignored since `D' is the default (see `U') -ar: `u' modifier ignored since `D' is the default (see `U') -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/sysinfo.y: warning: 1 shift/reduce conflict [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/defparse.y: warning: 27 shift/reduce conflicts [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/rcparse.y: warning: 58 shift/reduce conflicts [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/rcparse.y: warning: 10 reduce/reduce conflicts [-Wconflicts-rr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/mcparse.y: warning: 1 shift/reduce conflict [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/doc/binutils.texi:819: warning: @ref node name should not contain `.' -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/doc/binutils.texi:1239: warning: @xref node name should not contain `.' -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/ld/deffilep.y: warning: 76 shift/reduce conflicts [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/ld/ldlex.l:386: warning, rule cannot be matched -ld.pod around line 568: Expected '=item *' -POD document had syntax errors at /usr/bin/pod2man line 71. -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/ld/ld.texi:8376: warning: @pxref node name should not contain `.' -/bin/sh: 1: test: false: unexpected operator -*** This configuration is not supported in the following subdirectories: - zlib target-libquadmath target-libitm target-libsanitizer target-libvtv gnattools gotools target-libada target-libhsail-rt target-libstdc++-v3 target-libphobos target-zlib target-libbacktrace target-libgfortran target-libgo target-libffi target-libobjc target-libgomp target-liboffloadmic target-libatomic target-libssp - (Any other directories should still work fine.) -configure: WARNING: python is missing or unusable; some features may be unavailable. -configure: WARNING: libipt is missing or unusable; some features may be unavailable. -objcopy: /tmp/ls267633: debuglink section already exists -configure: WARNING: decimal float is not supported for this target, ignored -ar: `u' modifier ignored since `D' is the default (see `U') -ar: `u' modifier ignored since `D' is the default (see `U') -configure: WARNING: babeltrace is missing or unusable; GDB is unable to read CTF data. -../../../../riscv-gcc/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: -../../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] - 0, message); - ^ -../../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] - virtual_location, 0, message); - ^ -libtool: install: warning: remember to run `libtool --finish /home/riscvuser/chipyard/riscv-tools-install/libexec/gcc/riscv64-unknown-linux-gnu/9.2.0' -../../../../riscv-gcc/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: -../../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../../riscv-gcc/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: -../../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -../../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -ar: `u' modifier ignored since `D' is the default (see `U') -../../../riscv-gcc/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: -../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] - 0, message); - ^ -../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] - virtual_location, 0, message); - ^ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-profile.c: In function ‘profile_pc_init’: -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-profile.c:566:8: warning: left shift count >= width of type [-Wshift-count-overflow] - ((1 << sizeof (sim_cia) * (8 - 1)) - ^~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-profile.c:585:21: warning: left shift count >= width of type [-Wshift-count-overflow] - bucket_size = ((1 << ((sizeof (sim_cia) * 8) - 1)) - ^~ -../../../riscv-gcc/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: -../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../riscv-gcc/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: -../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c: In function ‘map_to_str’: -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:359:18: warning: ‘%ld’ directive writing between 1 and 10 bytes into a region of size 9 [-Wformat-overflow=] - sprintf (str, "(%ld)", (long) map); - ^~~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:359:16: note: directive argument in the range [4, 4294967295] - sprintf (str, "(%ld)", (long) map); - ^~~~~~~ -In file included from /usr/include/stdio.h:862:0, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-basics.h:37, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/sim-main.h:24, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:20: -/usr/include/x86_64-linux-gnu/bits/stdio2.h:33:10: note: ‘__builtin___sprintf_chk’ output between 4 and 13 bytes into a destination of size 10 - return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, - ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - __bos (__s), __fmt, __va_arg_pack ()); - ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c: In function ‘access_to_str’: -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:389:18: warning: ‘%ld’ directive writing between 2 and 10 bytes into a region of size 9 [-Wformat-overflow=] - sprintf (str, "(%ld)", (long) access); - ^~~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:389:16: note: directive argument in the range [16, 4294967295] - sprintf (str, "(%ld)", (long) access); - ^~~~~~~ -In file included from /usr/include/stdio.h:862:0, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-basics.h:37, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/sim-main.h:24, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:20: -/usr/include/x86_64-linux-gnu/bits/stdio2.h:33:10: note: ‘__builtin___sprintf_chk’ output between 5 and 13 bytes into a destination of size 10 - return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, - ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - __bos (__s), __fmt, __va_arg_pack ()); - ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -ar: `u' modifier ignored since `D' is the default (see `U') -configure: WARNING: decimal float is not supported for this target, ignored -configure: WARNING: fixed-point is not supported for this target, ignored -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/c-exp.y: warning: 42 shift/reduce conflicts [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/c-exp.y: warning: 53 reduce/reduce conflicts [-Wconflicts-rr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/m2-exp.y: warning: 34 shift/reduce conflicts [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/m2-exp.y:301.25-44: warning: rule useless in parser due to conflicts [-Wother] - { start_arglist(); } - ^^^^^^^^^^^^^^^^^^^^ -Links are now set up to build a cross-compiler - from x86_64-pc-linux-gnu to riscv64-unknown-linux-gnu. -../../../riscv-gcc/gcc/genhooks.c: In function ‘void emit_documentation(const char*)’: -../../../riscv-gcc/gcc/genhooks.c:131:45: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - while (fscanf (f, "%*[^@]"), buf[0] = '\0', - ^ -../../../riscv-gcc/gcc/genhooks.c:140:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%999s", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/genhooks.c:193:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%5[^ \n]", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/genhooks.c:199:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%999s", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ - "GTY"/{EOID} { return GTY_TOKEN; } - ^~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here - "^" | - ^~~~ -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ - - ^ -../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here - size_t len; - ^ ~~ -GIMPLE decision tree has 2874 leafs, maximum depth 12 and a total number of 11721 nodes -removed 1855 duplicate tails -GENERIC decision tree has 2760 leafs, maximum depth 12 and a total number of 11180 nodes -removed 1757 duplicate tails -../../../riscv-gcc/gcc/config/riscv/riscv.md:2281:1: warning: operand 0 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2303:1: warning: operand 1 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2326:1: warning: operand 0 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2349:1: warning: operand 1 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2415:1: warning: source missing a mode? -Statistics for recog: - Number of decisions: 1699 - longest path: 32 (code: 188) - longest backtrack: 13 (code: 336) -Statistics for split_insns: - Number of decisions: 209 - longest path: 30 (code: 40) - longest backtrack: 3 (code: 40) -Statistics for peephole2_insns: - Number of decisions: 22 - longest path: 22 (code: 1) - longest backtrack: 0 (code: 1) -Shared 1212 out of 3026 states by creating 356 new states, saving 856 -../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘int convert_arguments(location_t, vec, tree, vec*, vec*, tree, tree)’: -../../../riscv-gcc/gcc/c/c-typeck.c:3601:28: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_func_diag); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘tree_node* build_unary_op(location_t, tree_code, tree, bool)’: -../../../riscv-gcc/gcc/c/c-typeck.c:4339:42: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (location, invalid_op_diag); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘void warning_init(location_t, int, const char*)’: -../../../riscv-gcc/gcc/c/c-typeck.c:6400:43: warning: format not a string literal and no format arguments [-Wformat-security] - warned = warning_at (exploc, opt, gmsgid); - ^ -../../../riscv-gcc/gcc/c/c-convert.c: In function ‘tree_node* convert(tree, tree)’: -../../../riscv-gcc/gcc/c/c-convert.c:81:31: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_conv_diag); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘tree_node* build_binary_op(location_t, tree_code, tree, tree, bool)’: -../../../riscv-gcc/gcc/c/c-typeck.c:11480:42: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (location, invalid_op_diag); - ^ -../../../riscv-gcc/gcc/c-family/c-common.c: In function ‘void c_parse_error(const char*, cpp_ttype, tree, unsigned char, rich_location*)’: -../../../riscv-gcc/gcc/c-family/c-common.c:6144:30: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (richloc, gmsgid); - ^ -../../../riscv-gcc/gcc/c-family/c-common.c:6148:33: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (richloc, message); - ^ -In file included from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:26:0: -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/inferior.h: In function ‘void handle_vfork_child_exec_or_exit(int)’: -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/inferior.h:606:26: warning: ‘*((void*)(& maybe_restore_inferior)+40).scoped_restore_current_inferior::m_saved_inf’ may be used uninitialized in this function [-Wmaybe-uninitialized] - { set_current_inferior (m_saved_inf); } - ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:931:6: note: ‘*((void*)(& maybe_restore_inferior)+40).scoped_restore_current_inferior::m_saved_inf’ was declared here - maybe_restore_inferior; - ^~~~~~~~~~~~~~~~~~~~~~ -In file included from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/inferior.h:49:0, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:26: -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/progspace.h:314:31: warning: ‘*((void*)(& maybe_restore_inferior)+32).scoped_restore_current_program_space::m_saved_pspace’ may be used uninitialized in this function [-Wmaybe-uninitialized] - { set_current_program_space (m_saved_pspace); } - ~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:931:6: note: ‘*((void*)(& maybe_restore_inferior)+32).scoped_restore_current_program_space::m_saved_pspace’ was declared here - maybe_restore_inferior; - ^~~~~~~~~~~~~~~~~~~~~~ -In file included from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/exceptions.h:23:0, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/utils.h:24, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/defs.h:670, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/record-btrace.c:22: -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/ui-out.h: In function ‘void btrace_insn_history(ui_out*, const btrace_thread_info*, const btrace_insn_iterator*, const btrace_insn_iterator*, gdb_disassembly_flags)’: -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/ui-out.h:214:18: warning: ‘asm_list.ui_out_emit_type<(ui_out_type)1>::m_uiout’ may be used uninitialized in this function [-Wmaybe-uninitialized] - m_uiout->end (Type); - ~~~~~~~~~~~~~^~~~~~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/record-btrace.c:786:35: note: ‘asm_list.ui_out_emit_type<(ui_out_type)1>::m_uiout’ was declared here - gdb::optional asm_list; - ^~~~~~~~ -../../../riscv-gcc/gcc/fold-const.c: In function ‘void fold_overflow_warning(const char*, warn_strict_overflow_code)’: -../../../riscv-gcc/gcc/fold-const.c:303:42: warning: format not a string literal and no format arguments [-Wformat-security] - warning (OPT_Wstrict_overflow, gmsgid); - ^ -../../../riscv-gcc/gcc/gimple-fold.c: In function ‘bool gimple_fold_builtin_strncpy(gimple_stmt_iterator*, tree, tree, tree)’: -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, fndecl, slen); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, fndecl); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c: In function ‘bool gimple_fold_builtin_strncat(gimple_stmt_iterator*)’: -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, fndecl, len, dstsize); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, fndecl, len)) - ^ -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c: In function ‘void find_explicit_erroneous_behavior()’: -../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c:554:35: warning: format not a string literal and no format arguments [-Wformat-security] - OPT_Wreturn_local_addr, msg)) - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In function ‘bool {anonymous}::format_directive(const {anonymous}::sprintf_dom_walker::call_info&, {anonymous}::format_result*, const {anonymous}::directive&, vr_values*)’: -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: unknown conversion type character ‘G’ in format [-Wformat=] - target_to_host (hostdir, sizeof hostdir, dir.beg)); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: field precision specifier ‘.*’ expects argument of type ‘int’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In member function ‘bool {anonymous}::sprintf_dom_walker::handle_gimple_call(gimple_stmt_iterator*)’: -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] - info.callstmt); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: unknown conversion type character ‘G’ in format [-Wformat=] - info.callstmt); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘bool {anonymous}::maybe_diag_overlap(location_t, gimple*, {anonymous}::builtin_access&)’: -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[0], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 10 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[0], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%s’ expects argument of type ‘char*’, but argument 10 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, sizrange[0], sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘bool {anonymous}::maybe_diag_access_bounds(location_t, gimple*, tree, int, const {anonymous}::builtin_memref&, bool)’: -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] - maxobjsize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] - maxobjsize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], rangestr[1], ref.base, type)) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], rangestr[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], rangestr[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ref.base, TREE_TYPE (ref.base))) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘long unsigned int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘long unsigned int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ref.base, TREE_TYPE (ref.base))) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], ref.basesize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], ref.base, type); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%E’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ref.refoff.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%E’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘int check_bounds_or_overlap(gimple*, tree, tree, tree, tree, bool, bool)’: -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/hsa-gen.c: In member function ‘void omp_simple_builtin::generate(gimple*, hsa_bb*)’: -../../../riscv-gcc/gcc/hsa-gen.c:88:32: warning: format not a string literal and no format arguments [-Wformat-security] - inform (location, message); \ - ^ -../../../riscv-gcc/gcc/hsa-gen.c:4548:2: note: in expansion of macro ‘HSA_SORRY_AT’ - HSA_SORRY_AT (gimple_location (stmt), m_warning_message); - ^~~~~~~~~~~~ -../../../riscv-gcc/gcc/hsa-gen.c:4555:68: warning: format not a string literal and no format arguments [-Wformat-security] - warning_at (gimple_location (stmt), OPT_Whsa, m_warning_message); - ^ -../../../riscv-gcc/gcc/ipa-devirt.c: In function ‘void warn_odr(tree, tree, tree, tree, bool, bool*, const char*)’: -../../../riscv-gcc/gcc/ipa-devirt.c:1050:47: warning: format not a string literal and no format arguments [-Wformat-security] - inform (DECL_SOURCE_LOCATION (decl2), reason); - ^ -../../../riscv-gcc/gcc/reload1.c: In function ‘void elimination_costs_in_insn(rtx_insn*)’: -../../../riscv-gcc/gcc/reload1.c:3754:28: warning: ‘orig_dup[0]’ may be used uninitialized in this function [-Wmaybe-uninitialized] - *recog_data.dup_loc[i] = orig_dup[i]; - ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ -../../../riscv-gcc/gcc/tree-ssa-ccp.c: In member function ‘virtual unsigned int {anonymous}::pass_post_ipa_warn::execute(function*)’: -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: unknown conversion type character ‘G’ in format [-Wformat=] - "expected", stmt, i + 1)) - ^ -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function ‘bool maybe_diag_stxncpy_trunc(gimple_stmt_iterator, tree, tree)’: -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func, cnt); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func, cnt, lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func, cnt, lenrange[1].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[1].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: unknown conversion type character ‘G’ in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func, cnt); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function ‘void handle_builtin_stxncpy(built_in_function, gimple_stmt_iterator*)’: -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func)) - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/collect2.c: In function ‘void scan_prog_file(const char*, scanpass, scanfilter)’: -../../../riscv-gcc/gcc/collect2.c:2463:37: warning: format not a string literal and no format arguments [-Wformat-security] - fatal_error (input_location, errmsg); - ^ -../../../riscv-gcc/gcc/collect-utils.c: In function ‘pex_obj* collect_execute(const char*, char**, const char*, const char*, int, bool)’: -../../../riscv-gcc/gcc/collect-utils.c:195:37: warning: format not a string literal and no format arguments [-Wformat-security] - fatal_error (input_location, errmsg); - ^ -../../../riscv-gcc/gcc/lto-wrapper.c: In function ‘bool find_and_merge_options(int, off_t, const char*, cl_decoded_option**, unsigned int*, const char*)’: -../../../riscv-gcc/gcc/lto-wrapper.c:1005:8: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - read (fd, data, length); - ~~~~~^~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ - "GTY"/{EOID} { return GTY_TOKEN; } - ^~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here - "^" | - ^~~~ -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ - - ^ -../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here - size_t len; - ^ ~~ -../../../riscv-gcc/gcc/gcc.c: In function ‘long unsigned int get_random_number()’: -../../../riscv-gcc/gcc/gcc.c:9639:12: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - read (fd, &ret, sizeof (HOST_WIDE_INT)); - ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c: In function ‘void do_report_bug(const char**, int, char**, char**)’: -../../../riscv-gcc/gcc/gcc.c:6999:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - write (fd, "\n//", 3); - ~~~~~~^~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7002:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - write (fd, " ", 1); - ~~~~~~^~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7003:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - write (fd, new_argv[i], strlen (new_argv[i])); - ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7005:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - write (fd, "\n\n", 2); - ~~~~~~^~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/lto/lto.c: In function ‘void lto_resolution_read(splay_tree, FILE*, lto_file*)’: -../../../riscv-gcc/gcc/lto/lto.c:1918:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (resolution, " "); /* Read white space. */ - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/lto/lto.c:1920:9: warning: ignoring return value of ‘size_t fread(void*, size_t, size_t, FILE*)’, declared with attribute warn_unused_result [-Wunused-result] - fread (obj_name, sizeof (char), name_len, resolution); - ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/lto/lto.c:1940:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (resolution, "%u", &num_symbols); - ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -Applying io_quotes_use to drm/exynos_drm.h -Applying io_quotes_use to drm/nouveau_drm.h -Applying io_quotes_use to drm/radeon_drm.h -Applying io_quotes_use to drm/drm.h -Applying io_quotes_use to drm/etnaviv_drm.h -Applying io_quotes_use to drm/v3d_drm.h -Applying io_quotes_use to drm/savage_drm.h -Applying io_quotes_use to drm/vc4_drm.h -Applying io_quotes_use to drm/mga_drm.h -Applying io_quotes_use to drm/omap_drm.h -Applying io_quotes_use to drm/i915_drm.h -Applying io_quotes_use to drm/r128_drm.h -Applying io_quotes_use to drm/msm_drm.h -Applying io_quotes_use to drm/amdgpu_drm.h -Applying io_quotes_use to drm/via_drm.h -Applying io_quotes_use to drm/sis_drm.h -Applying io_quotes_use to drm/vgem_drm.h -Applying io_quotes_use to drm/i810_drm.h -Applying io_quotes_use to drm/tegra_drm.h -Applying io_quotes_use to linux/fd.h -Applying io_quotes_use to linux/usb/tmc.h -Applying io_quotes_use to linux/nbd.h -Applying io_quotes_use to linux/ppdev.h -Applying io_quotes_def to linux/ppp-comp.h -Applying io_quotes_use to linux/fuse.h -Applying io_quotes_use to linux/ipmi_bmc.h -Applying io_quotes_use to linux/lightnvm.h -Applying io_quotes_use to linux/gpio.h -Applying io_quotes_use to linux/spi/spidev.h -Applying io_quotes_use to linux/mmtimer.h -Applying io_quotes_use to linux/btrfs.h -Applying io_quotes_use to linux/bt-bmc.h -Applying io_quotes_use to linux/nsfs.h -Applying io_quotes_def to linux/version.h -Applying io_quotes_use to linux/seccomp.h --fself-test: 46308 pass(es) in 0.358501 seconds -Applying io_quotes_use to linux/mmc/ioctl.h -Applying io_quotes_use to linux/phantom.h -Applying io_quotes_use to linux/vm_sockets.h -Applying io_quotes_use to linux/omapfb.h -Applying io_quotes_def to linux/soundcard.h -Applying io_quotes_use to linux/vtpm_proxy.h -Applying io_quotes_use to linux/synclink.h -Applying io_quotes_use to linux/dn.h -Applying io_quotes_use to linux/atmbr2684.h -Applying io_quotes_use to linux/rpmsg.h -Applying io_quotes_use to linux/rio_cm_cdev.h -Applying io_quotes_use to linux/cciss_ioctl.h -Applying io_quotes_use to linux/sync_file.h -Applying io_quotes_use to linux/tee.h -Applying io_quotes_use to linux/i2o-dev.h -Applying io_quotes_use to linux/rfkill.h -Applying io_quotes_use to linux/watchdog.h -Applying io_quotes_use to linux/cm4000_cs.h -Applying io_quotes_use to linux/genwqe/genwqe_card.h -Applying io_quotes_use to linux/vfio.h -Applying io_quotes_use to linux/gigaset_dev.h -Applying io_quotes_use to linux/if_pppox.h -Applying io_quotes_use to linux/blkzoned.h -Applying io_quotes_use to linux/ndctl.h -Applying io_quotes_use to linux/agpgart.h -Applying io_quotes_use to linux/blkpg.h -Applying io_quotes_use to linux/fpga-dfl.h -Applying io_quotes_use to linux/psp-sev.h -Applying io_quotes_use to linux/wmi.h -Applying io_quotes_use to linux/fs.h -Applying io_quotes_use to linux/ptp_clock.h -Applying io_quotes_use to linux/reiserfs_fs.h -Applying io_quotes_use to linux/dma-buf.h -Applying io_quotes_use to linux/raid/md_u.h -Applying io_quotes_use to linux/random.h -Applying io_quotes_use to linux/auto_fs.h -Applying io_quotes_use to linux/uinput.h -Applying io_quotes_use to linux/suspend_ioctls.h -Applying io_quotes_use to linux/vboxguest.h -Applying io_quotes_use to linux/ipmi.h -Applying io_quotes_use to linux/dm-ioctl.h -Applying io_quotes_use to linux/aspeed-lpc-ctrl.h -Applying io_quotes_use to linux/hsi/cs-protocol.h -Applying io_quotes_use to linux/hsi/hsi_char.h -Applying io_quotes_use to linux/raw.h -Applying io_quotes_use to linux/vhost.h -Applying io_quotes_use to linux/userfaultfd.h -Applying io_quotes_def to linux/pci_regs.h -Applying io_quotes_use to linux/pktcdvd.h -Applying io_quotes_use to rdma/rdma_user_ioctl.h -Applying io_quotes_use to video/sisfb.h -Applying io_quotes_use to sound/emu10k1.h -Applying io_quotes_use to sound/compress_offload.h -Applying io_quotes_use to sound/asequencer.h -Applying io_quotes_use to sound/asound.h -Applying io_quotes_use to scsi/cxlflash_ioctl.h -Applying io_quotes_use to mtd/ubi-user.h -Applying io_quotes_use to misc/cxl.h -Applying io_quotes_use to misc/ocxl.h -configure: WARNING: decimal float is not supported for this target, ignored -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -configure: WARNING: gcc emits a warning for alias between functions of incompatible types -configure: WARNING: Multi-arch is disabled. -configure: WARNING: gcc emits a warning for alias between functions of incompatible types -configure: WARNING: Multi-arch is disabled. -configure: WARNING: minimum kernel version reset to 4.15.0 -configure: WARNING: minimum kernel version reset to 4.15.0 -*** errlist.c count 134 inflated to GLIBC_2.12 count 135 (old errno.h?) -*** This configuration is not supported in the following subdirectories: - zlib target-libquadmath target-libitm target-libsanitizer target-libvtv gnattools gotools target-libada target-libhsail-rt target-libphobos target-zlib target-libgo target-libffi target-libobjc target-liboffloadmic target-libssp - (Any other directories should still work fine.) -configure: WARNING: decimal float is not supported for this target, ignored -objcopy: /tmp/ls375352: debuglink section already exists -ar: `u' modifier ignored since `D' is the default (see `U') -../../../../riscv-gcc/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: -../../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] - 0, message); - ^ -../../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] - virtual_location, 0, message); - ^ -../../../../riscv-gcc/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: -../../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../../riscv-gcc/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: -../../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -../../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -libtool: install: warning: remember to run `libtool --finish /home/riscvuser/chipyard/riscv-tools-install/libexec/gcc/riscv64-unknown-linux-gnu/9.2.0' -../../../riscv-gcc/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: -../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] - 0, message); - ^ -../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] - virtual_location, 0, message); - ^ -ar: `u' modifier ignored since `D' is the default (see `U') -../../../riscv-gcc/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: -../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../riscv-gcc/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: -../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -ar: `u' modifier ignored since `D' is the default (see `U') -configure: WARNING: decimal float is not supported for this target, ignored -configure: WARNING: fixed-point is not supported for this target, ignored -Links are now set up to build a cross-compiler - from x86_64-pc-linux-gnu to riscv64-unknown-linux-gnu. -../../../riscv-gcc/gcc/genhooks.c: In function ‘void emit_documentation(const char*)’: -../../../riscv-gcc/gcc/genhooks.c:131:45: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - while (fscanf (f, "%*[^@]"), buf[0] = '\0', - ^ -../../../riscv-gcc/gcc/genhooks.c:140:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%999s", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/genhooks.c:193:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%5[^ \n]", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/genhooks.c:199:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%999s", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ - "GTY"/{EOID} { return GTY_TOKEN; } - ^~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here - "^" | - ^~~~ -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ - - ^ -../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here - size_t len; - ^ ~~ -../../../riscv-gcc/gcc/fortran/gfortran.texi:2769: warning: @ref node name should not contain `:' -GIMPLE decision tree has 2874 leafs, maximum depth 12 and a total number of 11721 nodes -removed 1855 duplicate tails -GENERIC decision tree has 2760 leafs, maximum depth 12 and a total number of 11180 nodes -removed 1757 duplicate tails -../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘int convert_arguments(location_t, vec, tree, vec*, vec*, tree, tree)’: -../../../riscv-gcc/gcc/c/c-typeck.c:3601:28: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_func_diag); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘tree_node* build_unary_op(location_t, tree_code, tree, bool)’: -../../../riscv-gcc/gcc/c/c-typeck.c:4339:42: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (location, invalid_op_diag); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘void warning_init(location_t, int, const char*)’: -../../../riscv-gcc/gcc/c/c-typeck.c:6400:43: warning: format not a string literal and no format arguments [-Wformat-security] - warned = warning_at (exploc, opt, gmsgid); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘tree_node* build_binary_op(location_t, tree_code, tree, tree, bool)’: -../../../riscv-gcc/gcc/c/c-typeck.c:11480:42: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (location, invalid_op_diag); - ^ -../../../riscv-gcc/gcc/c/c-convert.c: In function ‘tree_node* convert(tree, tree)’: -../../../riscv-gcc/gcc/c/c-convert.c:81:31: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_conv_diag); - ^ -../../../riscv-gcc/gcc/c-family/c-common.c: In function ‘void c_parse_error(const char*, cpp_ttype, tree, unsigned char, rich_location*)’: -../../../riscv-gcc/gcc/c-family/c-common.c:6144:30: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (richloc, gmsgid); - ^ -../../../riscv-gcc/gcc/c-family/c-common.c:6148:33: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (richloc, message); - ^ -../../../riscv-gcc/gcc/fold-const.c: In function ‘void fold_overflow_warning(const char*, warn_strict_overflow_code)’: -../../../riscv-gcc/gcc/fold-const.c:303:42: warning: format not a string literal and no format arguments [-Wformat-security] - warning (OPT_Wstrict_overflow, gmsgid); - ^ -../../../riscv-gcc/gcc/gimple-fold.c: In function ‘bool gimple_fold_builtin_strncpy(gimple_stmt_iterator*, tree, tree, tree)’: -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, fndecl, slen); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, fndecl); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c: In function ‘bool gimple_fold_builtin_strncat(gimple_stmt_iterator*)’: -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, fndecl, len, dstsize); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, fndecl, len)) - ^ -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c: In function ‘void find_explicit_erroneous_behavior()’: -../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c:554:35: warning: format not a string literal and no format arguments [-Wformat-security] - OPT_Wreturn_local_addr, msg)) - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In function ‘bool {anonymous}::format_directive(const {anonymous}::sprintf_dom_walker::call_info&, {anonymous}::format_result*, const {anonymous}::directive&, vr_values*)’: -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: unknown conversion type character ‘G’ in format [-Wformat=] - target_to_host (hostdir, sizeof hostdir, dir.beg)); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: field precision specifier ‘.*’ expects argument of type ‘int’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In member function ‘bool {anonymous}::sprintf_dom_walker::handle_gimple_call(gimple_stmt_iterator*)’: -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] - info.callstmt); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: unknown conversion type character ‘G’ in format [-Wformat=] - info.callstmt); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘bool {anonymous}::maybe_diag_overlap(location_t, gimple*, {anonymous}::builtin_access&)’: -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[0], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 10 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[0], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%s’ expects argument of type ‘char*’, but argument 10 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, sizrange[0], sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘bool {anonymous}::maybe_diag_access_bounds(location_t, gimple*, tree, int, const {anonymous}::builtin_memref&, bool)’: -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] - maxobjsize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] - maxobjsize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], rangestr[1], ref.base, type)) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], rangestr[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], rangestr[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ref.base, TREE_TYPE (ref.base))) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘long unsigned int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘long unsigned int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ref.base, TREE_TYPE (ref.base))) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], ref.basesize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], ref.base, type); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%E’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ref.refoff.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%E’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘int check_bounds_or_overlap(gimple*, tree, tree, tree, tree, bool, bool)’: -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/hsa-gen.c: In member function ‘void omp_simple_builtin::generate(gimple*, hsa_bb*)’: -../../../riscv-gcc/gcc/hsa-gen.c:88:32: warning: format not a string literal and no format arguments [-Wformat-security] - inform (location, message); \ - ^ -../../../riscv-gcc/gcc/hsa-gen.c:4548:2: note: in expansion of macro ‘HSA_SORRY_AT’ - HSA_SORRY_AT (gimple_location (stmt), m_warning_message); - ^~~~~~~~~~~~ -../../../riscv-gcc/gcc/hsa-gen.c:4555:68: warning: format not a string literal and no format arguments [-Wformat-security] - warning_at (gimple_location (stmt), OPT_Whsa, m_warning_message); - ^ -../../../riscv-gcc/gcc/ipa-devirt.c: In function ‘void warn_odr(tree, tree, tree, tree, bool, bool*, const char*)’: -../../../riscv-gcc/gcc/ipa-devirt.c:1050:47: warning: format not a string literal and no format arguments [-Wformat-security] - inform (DECL_SOURCE_LOCATION (decl2), reason); - ^ -../../../riscv-gcc/gcc/reload1.c: In function ‘void elimination_costs_in_insn(rtx_insn*)’: -../../../riscv-gcc/gcc/reload1.c:3754:28: warning: ‘orig_dup[0]’ may be used uninitialized in this function [-Wmaybe-uninitialized] - *recog_data.dup_loc[i] = orig_dup[i]; - ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ -../../../riscv-gcc/gcc/tree-ssa-ccp.c: In member function ‘virtual unsigned int {anonymous}::pass_post_ipa_warn::execute(function*)’: -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: unknown conversion type character ‘G’ in format [-Wformat=] - "expected", stmt, i + 1)) - ^ -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function ‘bool maybe_diag_stxncpy_trunc(gimple_stmt_iterator, tree, tree)’: -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func, cnt); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func, cnt, lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func, cnt, lenrange[1].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[1].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: unknown conversion type character ‘G’ in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func, cnt); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function ‘void handle_builtin_stxncpy(built_in_function, gimple_stmt_iterator*)’: -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func)) - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘void print_conversion_rejection(location_t, conversion_info*, tree)’: -../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: unknown conversion type character ‘H’ in format [-Wformat=] - from, info->to_type); - ^ -../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: unknown conversion type character ‘H’ in format [-Wformat=] - from, info->to_type); - ^ -../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: unknown conversion type character ‘H’ in format [-Wformat=] - info->n_arg + 1, from, info->to_type); - ^ -../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘z_candidate* build_user_type_conversion_1(tree, tree, int, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: unknown conversion type character ‘H’ in format [-Wformat=] - fromtype, totype); - ^ -../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* build_converted_constant_expr_internal(tree, tree, int, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: unknown conversion type character ‘H’ in format [-Wformat=] - type, next_conversion (c)->type); - ^ -../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "converted constant expression", t, type); - ^ -../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] - TREE_TYPE (expr), type); - ^ -../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* build_conditional_expr_1(const op_location_t&, tree, tree, tree, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "involves truncation", arg2_type, vtype); - ^ -../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "involves truncation", arg3_type, vtype); - ^ -../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* convert_like_real(conversion*, tree, tree, int, bool, bool, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: unknown conversion type character ‘H’ in format [-Wformat=] - totype, TREE_TYPE (expr)); - ^ -../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: unknown conversion type character ‘H’ in format [-Wformat=] - totype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: unknown conversion type character ‘H’ in format [-Wformat=] - TREE_TYPE (expr), totype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "lvalue of type %qI", totype, extype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "type %qH to an rvalue of type %qI", totype, extype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "discards qualifiers", totype, extype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* convert_arg_to_ellipsis(tree, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: unknown conversion type character ‘H’ in format [-Wformat=] - arg_type, double_type_node); - ^ -../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘void complain_about_bad_argument(location_t, tree, tree, tree, int)’: -../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: unknown conversion type character ‘H’ in format [-Wformat=] - from_type, to_type); - ^ -../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘int joust(z_candidate*, z_candidate*, bool, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: unknown conversion type character ‘H’ in format [-Wformat=] - source, w->second_conv->type)) - ^ -../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* perform_implicit_conversion_flags(tree, tree, tsubst_flags_t, int)’: -../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: unknown conversion type character ‘H’ in format [-Wformat=] - expr, TREE_TYPE (expr), type); - ^ -../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* initialize_reference(tree, tree, int, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: unknown conversion type character ‘H’ in format [-Wformat=] - type, TREE_TYPE (expr)); - ^ -../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: unknown conversion type character ‘H’ in format [-Wformat=] - TREE_TYPE (expr)); - ^ -../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c: In function ‘tree_node* cp_convert_to_pointer(tree, tree, bool, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: unknown conversion type character ‘H’ in format [-Wformat=] - intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] - expr, intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] - expr, intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: unknown conversion type character ‘H’ in format [-Wformat=] - expr, intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: unknown conversion type character ‘H’ in format [-Wformat=] - error_at (loc, "invalid conversion from %qH to %qI", intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: unknown conversion type character ‘H’ in format [-Wformat=] - expr, intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c: In function ‘tree_node* convert_to_reference(tree, tree, int, int, tree, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: unknown conversion type character ‘H’ in format [-Wformat=] - ttr, reftype); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: unknown conversion type character ‘H’ in format [-Wformat=] - error_at (loc, "cannot convert type %qH to type %qI", intype, reftype); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c: In function ‘tree_node* ocp_convert(tree, tree, int, int, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/cvt.c:707:26: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_conv_diag); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] - TREE_TYPE (expr), type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/pt.c: In function ‘tree_node* tsubst_copy_and_build(tree, tree, tsubst_flags_t, tree, bool, bool)’: -../../../riscv-gcc/gcc/cp/pt.c:18292:20: warning: format not a string literal and no format arguments [-Wformat-security] - error (error_msg); - ^ -../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* cp_build_binary_op(const op_location_t&, tree_code, tree, tree, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/typeck.c:4480:24: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_op_diag); - ^ -../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* cp_build_unary_op(tree_code, tree, bool, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/typeck.c:6232:24: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_op_diag); - ^ -../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* convert_member_func_to_ptr(tree, tree, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "converting from %qH to %qI", intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* build_reinterpret_cast_1(tree, tree, bool, bool*, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: unknown conversion type character ‘H’ in format [-Wformat=] - intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: unknown conversion type character ‘H’ in format [-Wformat=] - " from %qH to %qI", intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: unknown conversion type character ‘H’ in format [-Wformat=] - " from %qH to %qI", intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "increases required alignment of target type", intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* build_const_cast_1(tree, tree, tsubst_flags_t, bool*)’: -../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: unknown conversion type character ‘H’ in format [-Wformat=] - src_type, dst_type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* convert_for_assignment(tree, tree, impl_conv_rhs, tree, int, tsubst_flags_t, int)’: -../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck2.c: In function ‘bool check_narrowing(tree, tree, tsubst_flags_t, bool)’: -../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: unknown conversion type character ‘H’ in format [-Wformat=] - init, ftype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] - init, ftype, type) - ^ -../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: unknown conversion type character ‘H’ in format [-Wformat=] - init, ftype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/fortran/trans-intrinsic.c: In function ‘void gfc_conv_intrinsic_findloc(gfc_se*, gfc_expr*)’: -../../../riscv-gcc/gcc/fortran/trans-intrinsic.c:5615:7: warning: ‘forward_branch’ may be used uninitialized in this function [-Wmaybe-uninitialized] - tmp = build3_v (COND_EXPR, backse.expr, forward_branch, back_branch); -../../../riscv-gcc/gcc/collect2.c: In function ‘void scan_prog_file(const char*, scanpass, scanfilter)’: -../../../riscv-gcc/gcc/collect2.c:2463:37: warning: format not a string literal and no format arguments [-Wformat-security] - fatal_error (input_location, errmsg); - ^ -../../../riscv-gcc/gcc/collect-utils.c: In function ‘pex_obj* collect_execute(const char*, char**, const char*, const char*, int, bool)’: -../../../riscv-gcc/gcc/collect-utils.c:195:37: warning: format not a string literal and no format arguments [-Wformat-security] - fatal_error (input_location, errmsg); - ^ -../../../riscv-gcc/gcc/lto-wrapper.c: In function ‘bool find_and_merge_options(int, off_t, const char*, cl_decoded_option**, unsigned int*, const char*)’: -../../../riscv-gcc/gcc/lto-wrapper.c:1005:8: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - read (fd, data, length); - ~~~~~^~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ - "GTY"/{EOID} { return GTY_TOKEN; } - ^~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here - "^" | - ^~~~ -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ - - ^ -../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here - size_t len; - ^ ~~ -../../../riscv-gcc/gcc/gcc.c: In function ‘long unsigned int get_random_number()’: -../../../riscv-gcc/gcc/gcc.c:9639:12: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - read (fd, &ret, sizeof (HOST_WIDE_INT)); - ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c: In function ‘void do_report_bug(const char**, int, char**, char**)’: -../../../riscv-gcc/gcc/gcc.c:6999:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - write (fd, "\n//", 3); - ~~~~~~^~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7002:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - write (fd, " ", 1); - ~~~~~~^~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7003:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - write (fd, new_argv[i], strlen (new_argv[i])); - ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7005:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - write (fd, "\n\n", 2); - ~~~~~~^~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/lto/lto.c: In function ‘void lto_resolution_read(splay_tree, FILE*, lto_file*)’: -../../../riscv-gcc/gcc/lto/lto.c:1918:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (resolution, " "); /* Read white space. */ - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/lto/lto.c:1920:9: warning: ignoring return value of ‘size_t fread(void*, size_t, size_t, FILE*)’, declared with attribute warn_unused_result [-Wunused-result] - fread (obj_name, sizeof (char), name_len, resolution); - ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/lto/lto.c:1940:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (resolution, "%u", &num_symbols); - ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/config/riscv/riscv.md:2281:1: warning: operand 0 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2303:1: warning: operand 1 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2326:1: warning: operand 0 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2349:1: warning: operand 1 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2415:1: warning: source missing a mode? -Statistics for recog: - Number of decisions: 1699 - longest path: 32 (code: 188) - longest backtrack: 13 (code: 336) -Statistics for split_insns: - Number of decisions: 209 - longest path: 30 (code: 40) - longest backtrack: 3 (code: 40) -Statistics for peephole2_insns: - Number of decisions: 22 - longest path: 22 (code: 1) - longest backtrack: 0 (code: 1) -Shared 1212 out of 3026 states by creating 356 new states, saving 856 -Applying io_quotes_use to drm/exynos_drm.h -Applying io_quotes_use to drm/nouveau_drm.h -Applying io_quotes_use to drm/radeon_drm.h -Applying io_quotes_use to drm/drm.h -Applying io_quotes_use to drm/etnaviv_drm.h -Applying io_quotes_use to drm/v3d_drm.h -Applying io_quotes_use to drm/savage_drm.h -Applying io_quotes_use to drm/vc4_drm.h -Applying io_quotes_use to drm/mga_drm.h -Applying io_quotes_use to drm/omap_drm.h -Applying io_quotes_use to drm/i915_drm.h -Applying io_quotes_use to drm/r128_drm.h -Applying io_quotes_use to drm/msm_drm.h -Applying io_quotes_use to drm/amdgpu_drm.h -Applying io_quotes_use to drm/via_drm.h -Applying io_quotes_use to drm/sis_drm.h -Applying io_quotes_use to drm/vgem_drm.h -Applying io_quotes_use to drm/i810_drm.h -Applying io_quotes_use to drm/tegra_drm.h -Applying hpux8_bogus_inlines to math.h -Applying io_quotes_use to linux/fd.h -Applying io_quotes_use to linux/usb/tmc.h -Applying io_quotes_use to linux/nbd.h -Applying io_quotes_use to linux/ppdev.h -Applying io_quotes_def to linux/ppp-comp.h -Applying io_quotes_use to linux/fuse.h -Applying io_quotes_use to linux/ipmi_bmc.h -Applying io_quotes_use to linux/lightnvm.h -Applying io_quotes_use to linux/gpio.h -Applying io_quotes_use to linux/spi/spidev.h -Applying io_quotes_use to linux/mmtimer.h -Applying io_quotes_use to linux/btrfs.h --fself-test: 46338 pass(es) in 0.468013 seconds -Applying io_quotes_use to linux/bt-bmc.h -Applying io_quotes_use to linux/nsfs.h -Applying io_quotes_def to linux/version.h -Applying io_quotes_use to linux/seccomp.h -Applying io_quotes_use to linux/mmc/ioctl.h -Applying io_quotes_use to linux/phantom.h --fself-test: 46308 pass(es) in 0.474821 seconds -Applying io_quotes_use to linux/vm_sockets.h -Applying io_quotes_use to linux/omapfb.h -Applying io_quotes_def to linux/soundcard.h -Applying io_quotes_use to linux/vtpm_proxy.h -Applying io_quotes_use to linux/synclink.h -Applying io_quotes_use to linux/dn.h -Applying io_quotes_use to linux/atmbr2684.h -Applying io_quotes_use to linux/rpmsg.h -Applying io_quotes_use to linux/rio_cm_cdev.h -Applying io_quotes_use to linux/cciss_ioctl.h -Applying io_quotes_use to linux/sync_file.h -Applying io_quotes_use to linux/tee.h -Applying io_quotes_use to linux/i2o-dev.h -Applying io_quotes_use to linux/rfkill.h -Applying io_quotes_use to linux/watchdog.h -Applying io_quotes_use to linux/cm4000_cs.h -Applying io_quotes_use to linux/genwqe/genwqe_card.h -Applying io_quotes_use to linux/vfio.h -Applying io_quotes_use to linux/gigaset_dev.h -Applying io_quotes_use to linux/if_pppox.h -Applying io_quotes_use to linux/blkzoned.h -Applying io_quotes_use to linux/ndctl.h -Applying io_quotes_use to linux/agpgart.h -Applying io_quotes_use to linux/blkpg.h -Applying io_quotes_use to linux/fpga-dfl.h -Applying io_quotes_use to linux/psp-sev.h -Applying io_quotes_use to linux/wmi.h -Applying io_quotes_use to linux/fs.h -Applying io_quotes_use to linux/ptp_clock.h -Applying io_quotes_use to linux/reiserfs_fs.h -Applying io_quotes_use to linux/dma-buf.h -Applying io_quotes_use to linux/raid/md_u.h -Applying io_quotes_use to linux/random.h -Applying io_quotes_use to linux/auto_fs.h -Applying io_quotes_use to linux/uinput.h -Applying io_quotes_use to linux/suspend_ioctls.h -Applying io_quotes_use to linux/vboxguest.h -Applying io_quotes_use to linux/ipmi.h -Applying io_quotes_use to linux/dm-ioctl.h -Applying io_quotes_use to linux/aspeed-lpc-ctrl.h -Applying io_quotes_use to linux/hsi/cs-protocol.h -Applying io_quotes_use to linux/hsi/hsi_char.h -Applying io_quotes_use to linux/raw.h -Applying io_quotes_use to linux/vhost.h -Applying io_quotes_use to linux/userfaultfd.h -Applying io_quotes_def to linux/pci_regs.h -Applying io_quotes_use to linux/pktcdvd.h -Applying io_quotes_use to rdma/rdma_user_ioctl.h -Applying sun_malloc to malloc.h -Applying pthread_incomplete_struct_argument to pthread.h -Applying io_quotes_use to video/sisfb.h -Applying sysv68_string to string.h -Applying io_quotes_use to sound/emu10k1.h -Applying io_quotes_use to sound/compress_offload.h -Applying io_quotes_use to sound/asequencer.h -Applying io_quotes_use to sound/asound.h -Applying glibc_stdint to stdint.h -Applying io_quotes_use to sys/mount.h -Applying io_quotes_use to sys/raw.h -Applying io_quotes_use to scsi/cxlflash_ioctl.h -Applying io_quotes_use to mtd/ubi-user.h -Applying io_quotes_use to misc/cxl.h -Applying io_quotes_use to misc/ocxl.h -configure: WARNING: decimal float is not supported for this target, ignored -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -In file included from ../../../../riscv-gcc/libgcc/unwind-dw2.c:411: -./md-unwind-support.h: In function 'riscv_fallback_frame_state': -./md-unwind-support.h:67:6: warning: assignment to 'struct sigcontext *' from incompatible pointer type 'mcontext_t *' {aka 'struct mcontext_t *'} [-Wincompatible-pointer-types] - 67 | sc = &rt_->uc.uc_mcontext; - | ^ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c: In function '__sync_nand_and_fetch_1': -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:63:14: note: '__sync_fetch_and_nand' changed semantics in GCC 4.4 - 63 | type o = __sync_fetch_and_ ## opname ## _ ## size (p, v); \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -In file included from ../../../../riscv-gcc/libgcc/unwind-dw2.c:411: -./md-unwind-support.h: In function 'riscv_fallback_frame_state': -./md-unwind-support.h:67:6: warning: assignment to 'struct sigcontext *' from incompatible pointer type 'mcontext_t *' {aka 'struct mcontext_t *'} [-Wincompatible-pointer-types] - 67 | sc = &rt_->uc.uc_mcontext; - | ^ -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -configure: WARNING: No native atomic operations are provided for this platform. -configure: WARNING: They will be faked using a mutex. -configure: WARNING: Performance of certain classes will degrade as a result. -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c: In function 'date_and_time': -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:33: warning: '%04d' directive output may be truncated writing between 4 and 11 bytes into a region of size 9 [-Wformat-truncation=] - 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", - | ^~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:32: note: directive argument in the range [-2147481748, 2147483647] - 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", - | ^~~~~~~~~~~~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:32: note: directive argument in the range [-2147483647, 2147483647] -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:2: note: 'snprintf' output between 9 and 34 bytes into a destination of size 9 - 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - 166 | values[0], values[1], values[2]); - | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:33: warning: '%+03d' directive output may be truncated writing between 3 and 9 bytes into a region of size 6 [-Wformat-truncation=] - 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", - | ^~~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:32: note: directive argument in the range [-35791394, 35791394] - 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", - | ^~~~~~~~~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:32: note: directive argument in the range [0, 59] -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:2: note: 'snprintf' output between 6 and 12 bytes into a destination of size 6 - 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - 173 | values[3] / 60, abs (values[3] % 60)); - | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: .libs/fpu.o: in function `.L11': -fpu.c:(.text._gfortrani_set_fpu_trap_exceptions+0x86): warning: fedisableexcept is not implemented and will always fail -/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: fpu.c:(.text._gfortrani_set_fpu_trap_exceptions+0x74): warning: feenableexcept is not implemented and will always fail -/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: .libs/fpu.o: in function `_gfortrani_get_fpu_trap_exceptions': -fpu.c:(.text._gfortrani_get_fpu_trap_exceptions+0x4): warning: fegetexcept is not implemented and will always fail -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-isa-sim'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-pk'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-tests'... -Submodule 'env' (https://github.com/riscv/riscv-test-env.git) registered for path 'toolchains/riscv-tools/riscv-tests/env' -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-tests/env'... -Cloning into '/home/riscvuser/chipyard/toolchains/libgloss'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu'... -Submodule 'capstone' (https://git.qemu.org/git/capstone.git) registered for path 'toolchains/qemu/capstone' -Submodule 'dtc' (https://git.qemu.org/git/dtc.git) registered for path 'toolchains/qemu/dtc' -Submodule 'roms/QemuMacDrivers' (https://git.qemu.org/git/QemuMacDrivers.git) registered for path 'toolchains/qemu/roms/QemuMacDrivers' -Submodule 'roms/SLOF' (https://git.qemu.org/git/SLOF.git) registered for path 'toolchains/qemu/roms/SLOF' -Submodule 'roms/edk2' (https://git.qemu.org/git/edk2.git) registered for path 'toolchains/qemu/roms/edk2' -Submodule 'roms/ipxe' (https://git.qemu.org/git/ipxe.git) registered for path 'toolchains/qemu/roms/ipxe' -Submodule 'roms/openbios' (https://git.qemu.org/git/openbios.git) registered for path 'toolchains/qemu/roms/openbios' -Submodule 'roms/openhackware' (https://git.qemu.org/git/openhackware.git) registered for path 'toolchains/qemu/roms/openhackware' -Submodule 'roms/opensbi' (https://git.qemu.org/git/opensbi.git) registered for path 'toolchains/qemu/roms/opensbi' -Submodule 'roms/qemu-palcode' (https://git.qemu.org/git/qemu-palcode.git) registered for path 'toolchains/qemu/roms/qemu-palcode' -Submodule 'roms/seabios' (https://git.qemu.org/git/seabios.git/) registered for path 'toolchains/qemu/roms/seabios' -Submodule 'roms/seabios-hppa' (https://git.qemu.org/git/seabios-hppa.git) registered for path 'toolchains/qemu/roms/seabios-hppa' -Submodule 'roms/sgabios' (https://git.qemu.org/git/sgabios.git) registered for path 'toolchains/qemu/roms/sgabios' -Submodule 'roms/skiboot' (https://git.qemu.org/git/skiboot.git) registered for path 'toolchains/qemu/roms/skiboot' -Submodule 'roms/u-boot' (https://git.qemu.org/git/u-boot.git) registered for path 'toolchains/qemu/roms/u-boot' -Submodule 'roms/u-boot-sam460ex' (https://git.qemu.org/git/u-boot-sam460ex.git) registered for path 'toolchains/qemu/roms/u-boot-sam460ex' -Submodule 'slirp' (https://git.qemu.org/git/libslirp.git) registered for path 'toolchains/qemu/slirp' -Submodule 'tests/fp/berkeley-softfloat-3' (https://git.qemu.org/git/berkeley-softfloat-3.git) registered for path 'toolchains/qemu/tests/fp/berkeley-softfloat-3' -Submodule 'tests/fp/berkeley-testfloat-3' (https://git.qemu.org/git/berkeley-testfloat-3.git) registered for path 'toolchains/qemu/tests/fp/berkeley-testfloat-3' -Submodule 'ui/keycodemapdb' (https://git.qemu.org/git/keycodemapdb.git) registered for path 'toolchains/qemu/ui/keycodemapdb' -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/capstone'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/dtc'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/QemuMacDrivers'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/SLOF'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/ipxe'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/openbios'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/openhackware'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/opensbi'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/qemu-palcode'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/seabios'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/seabios-hppa'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/sgabios'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/skiboot'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/u-boot'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/u-boot-sam460ex'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/slirp'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/tests/fp/berkeley-softfloat-3'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/tests/fp/berkeley-testfloat-3'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/ui/keycodemapdb'... -Submodule 'SoftFloat' (https://github.com/ucb-bar/berkeley-softfloat-3.git) registered for path 'toolchains/qemu/roms/edk2/ArmPkg/Library/ArmSoftFloatLib/berkeley-softfloat-3' -Submodule 'CryptoPkg/Library/OpensslLib/openssl' (https://github.com/openssl/openssl) registered for path 'toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl' -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/ArmPkg/Library/ArmSoftFloatLib/berkeley-softfloat-3'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl'... -Submodule 'boringssl' (https://boringssl.googlesource.com/boringssl) registered for path 'toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/boringssl' -Submodule 'krb5' (https://github.com/krb5/krb5) registered for path 'toolchai warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -configure: WARNING: gcc emits a warning for alias between functions of incompatible types -configure: WARNING: Multi-arch is disabled. -configure: WARNING: gcc emits a warning for alias between functions of incompatible types -configure: WARNING: Multi-arch is disabled. -configure: WARNING: minimum kernel version reset to 4.15.0 -configure: WARNING: minimum kernel version reset to 4.15.0 -*** errlist.c count 134 inflated to GLIBC_2.12 count 135 (old errno.h?) -*** This configuration is not supported in the following subdirectories: - zlib target-libquadmath target-libitm target-libsanitizer target-libvtv gnattools gotools target-libada target-libhsail-rt target-libphobos target-zlib target-libgo target-libffi target-libobjc target-liboffloadmic target-libssp - (Any other directories should still work fine.) -objcopy: /tmp/ls373943: debuglink section already exists -configure: WARNING: decimal float is not supported for this target, ignored -ar: `u' modifier ignored since `D' is the default (see `U') -../../../../riscv-gcc/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: -../../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] - 0, message); - ^ -../../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] - virtual_location, 0, message); - ^ -../../../../riscv-gcc/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: -../../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../../riscv-gcc/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: -../../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -../../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -libtool: install: warning: remember to run `libtool --finish /home/riscvuser/chipyard/riscv-tools-install/libexec/gcc/riscv64-unknown-linux-gnu/9.2.0' -ar: `u' modifier ignored since `D' is the default (see `U') -../../../riscv-gcc/libcpp/expr.c: In function ‘unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)’: -../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] - 0, message); - ^ -../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] - virtual_location, 0, message); - ^ -../../../riscv-gcc/libcpp/macro.c: In member function ‘vaopt_state::update_type vaopt_state::update(const cpp_token*)’: -../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../riscv-gcc/libcpp/macro.c: In function ‘cpp_macro* create_iso_definition(cpp_reader*)’: -../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -ar: `u' modifier ignored since `D' is the default (see `U') -configure: WARNING: decimal float is not supported for this target, ignored -configure: WARNING: fixed-point is not supported for this target, ignored -Links are now set up to build a cross-compiler - from x86_64-pc-linux-gnu to riscv64-unknown-linux-gnu. -../../../riscv-gcc/gcc/genhooks.c: In function ‘void emit_documentation(const char*)’: -../../../riscv-gcc/gcc/genhooks.c:131:45: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - while (fscanf (f, "%*[^@]"), buf[0] = '\0', - ^ -../../../riscv-gcc/gcc/genhooks.c:140:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%999s", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/genhooks.c:193:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%5[^ \n]", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/genhooks.c:199:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%999s", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/fortran/gfortran.texi:2769: warning: @ref node name should not contain `:' -../../../riscv-gcc/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ - "GTY"/{EOID} { return GTY_TOKEN; } - ^~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here - "^" | - ^~~~ -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ - - ^ -../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here - size_t len; - ^ ~~ -GIMPLE decision tree has 2874 leafs, maximum depth 12 and a total number of 11721 nodes -removed 1855 duplicate tails -GENERIC decision tree has 2760 leafs, maximum depth 12 and a total number of 11180 nodes -removed 1757 duplicate tails -../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘int convert_arguments(location_t, vec, tree, vec*, vec*, tree, tree)’: -../../../riscv-gcc/gcc/c/c-typeck.c:3601:28: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_func_diag); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘tree_node* build_unary_op(location_t, tree_code, tree, bool)’: -../../../riscv-gcc/gcc/c/c-typeck.c:4339:42: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (location, invalid_op_diag); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘void warning_init(location_t, int, const char*)’: -../../../riscv-gcc/gcc/c/c-typeck.c:6400:43: warning: format not a string literal and no format arguments [-Wformat-security] - warned = warning_at (exploc, opt, gmsgid); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function ‘tree_node* build_binary_op(location_t, tree_code, tree, tree, bool)’: -../../../riscv-gcc/gcc/c/c-typeck.c:11480:42: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (location, invalid_op_diag); - ^ -../../../riscv-gcc/gcc/c/c-convert.c: In function ‘tree_node* convert(tree, tree)’: -../../../riscv-gcc/gcc/c/c-convert.c:81:31: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_conv_diag); - ^ -../../../riscv-gcc/gcc/c-family/c-common.c: In function ‘void c_parse_error(const char*, cpp_ttype, tree, unsigned char, rich_location*)’: -../../../riscv-gcc/gcc/c-family/c-common.c:6144:30: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (richloc, gmsgid); - ^ -../../../riscv-gcc/gcc/c-family/c-common.c:6148:33: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (richloc, message); - ^ -../../../riscv-gcc/gcc/fold-const.c: In function ‘void fold_overflow_warning(const char*, warn_strict_overflow_code)’: -../../../riscv-gcc/gcc/fold-const.c:303:42: warning: format not a string literal and no format arguments [-Wformat-security] - warning (OPT_Wstrict_overflow, gmsgid); - ^ -../../../riscv-gcc/gcc/gimple-fold.c: In function ‘bool gimple_fold_builtin_strncpy(gimple_stmt_iterator*, tree, tree, tree)’: -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, fndecl, slen); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, fndecl); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c: In function ‘bool gimple_fold_builtin_strncat(gimple_stmt_iterator*)’: -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, fndecl, len, dstsize); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, fndecl, len)) - ^ -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c: In function ‘void find_explicit_erroneous_behavior()’: -../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c:554:35: warning: format not a string literal and no format arguments [-Wformat-security] - OPT_Wreturn_local_addr, msg)) - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In function ‘bool {anonymous}::format_directive(const {anonymous}::sprintf_dom_walker::call_info&, {anonymous}::format_result*, const {anonymous}::directive&, vr_values*)’: -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: unknown conversion type character ‘G’ in format [-Wformat=] - target_to_host (hostdir, sizeof hostdir, dir.beg)); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: field precision specifier ‘.*’ expects argument of type ‘int’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In member function ‘bool {anonymous}::sprintf_dom_walker::handle_gimple_call(gimple_stmt_iterator*)’: -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] - info.callstmt); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: unknown conversion type character ‘G’ in format [-Wformat=] - info.callstmt); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘bool {anonymous}::maybe_diag_overlap(location_t, gimple*, {anonymous}::builtin_access&)’: -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[0], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 7 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 10 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[0], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format ‘%s’ expects argument of type ‘char*’, but argument 10 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 6 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, sizrange[0], sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character ‘G’ in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 9 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 11 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format ‘%s’ expects argument of type ‘char*’, but argument 12 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 7 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 8 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 10 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format ‘%s’ expects argument of type ‘char*’, but argument 11 has type ‘long int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘bool {anonymous}::maybe_diag_access_bounds(location_t, gimple*, tree, int, const {anonymous}::builtin_memref&, bool)’: -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] - maxobjsize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: unknown conversion type character ‘G’ in format [-Wformat=] - maxobjsize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], rangestr[1], ref.base, type)) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], rangestr[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], rangestr[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ref.base, TREE_TYPE (ref.base))) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘long unsigned int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 7 has type ‘long unsigned int’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ref.base, TREE_TYPE (ref.base))) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], ref.basesize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func, rangestr[0], ref.base, type); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format ‘%E’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: unknown conversion type character ‘G’ in format [-Wformat=] - ref.refoff.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%s’ expects argument of type ‘char*’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%E’ expects argument of type ‘tree_node*’, but argument 6 has type ‘char*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 9 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function ‘int check_bounds_or_overlap(gimple*, tree, tree, tree, tree, bool, bool)’: -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: unknown conversion type character ‘G’ in format [-Wformat=] - call, func); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/hsa-gen.c: In member function ‘void omp_simple_builtin::generate(gimple*, hsa_bb*)’: -../../../riscv-gcc/gcc/hsa-gen.c:88:32: warning: format not a string literal and no format arguments [-Wformat-security] - inform (location, message); \ - ^ -../../../riscv-gcc/gcc/hsa-gen.c:4548:2: note: in expansion of macro ‘HSA_SORRY_AT’ - HSA_SORRY_AT (gimple_location (stmt), m_warning_message); - ^~~~~~~~~~~~ -../../../riscv-gcc/gcc/hsa-gen.c:4555:68: warning: format not a string literal and no format arguments [-Wformat-security] - warning_at (gimple_location (stmt), OPT_Whsa, m_warning_message); - ^ -../../../riscv-gcc/gcc/ipa-devirt.c: In function ‘void warn_odr(tree, tree, tree, tree, bool, bool*, const char*)’: -../../../riscv-gcc/gcc/ipa-devirt.c:1050:47: warning: format not a string literal and no format arguments [-Wformat-security] - inform (DECL_SOURCE_LOCATION (decl2), reason); - ^ -../../../riscv-gcc/gcc/reload1.c: In function ‘void elimination_costs_in_insn(rtx_insn*)’: -../../../riscv-gcc/gcc/reload1.c:3754:28: warning: ‘orig_dup[0]’ may be used uninitialized in this function [-Wmaybe-uninitialized] - *recog_data.dup_loc[i] = orig_dup[i]; - ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ -../../../riscv-gcc/gcc/tree-ssa-ccp.c: In member function ‘virtual unsigned int {anonymous}::pass_post_ipa_warn::execute(function*)’: -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: unknown conversion type character ‘G’ in format [-Wformat=] - "expected", stmt, i + 1)) - ^ -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function ‘bool maybe_diag_stxncpy_trunc(gimple_stmt_iterator, tree, tree)’: -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func, cnt); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func, cnt, lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func, cnt, lenrange[1].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character ‘G’ in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 6 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 8 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: unknown conversion type character ‘G’ in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[1].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: unknown conversion type character ‘G’ in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format ‘%wu’ expects argument of type ‘long unsigned int’, but argument 5 has type ‘tree {aka tree_node*}’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func, cnt); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function ‘void handle_builtin_stxncpy(built_in_function, gimple_stmt_iterator*)’: -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func)) - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: unknown conversion type character ‘G’ in format [-Wformat=] - stmt, func); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: format ‘%D’ expects argument of type ‘tree_node*’, but argument 4 has type ‘gimple*’ [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘void print_conversion_rejection(location_t, conversion_info*, tree)’: -../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: unknown conversion type character ‘H’ in format [-Wformat=] - from, info->to_type); - ^ -../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: unknown conversion type character ‘H’ in format [-Wformat=] - from, info->to_type); - ^ -../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: unknown conversion type character ‘H’ in format [-Wformat=] - info->n_arg + 1, from, info->to_type); - ^ -../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘z_candidate* build_user_type_conversion_1(tree, tree, int, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: unknown conversion type character ‘H’ in format [-Wformat=] - fromtype, totype); - ^ -../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* build_converted_constant_expr_internal(tree, tree, int, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: unknown conversion type character ‘H’ in format [-Wformat=] - type, next_conversion (c)->type); - ^ -../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "converted constant expression", t, type); - ^ -../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] - TREE_TYPE (expr), type); - ^ -../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* build_conditional_expr_1(const op_location_t&, tree, tree, tree, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "involves truncation", arg2_type, vtype); - ^ -../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "involves truncation", arg3_type, vtype); - ^ -../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* convert_like_real(conversion*, tree, tree, int, bool, bool, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: unknown conversion type character ‘H’ in format [-Wformat=] - totype, TREE_TYPE (expr)); - ^ -../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: unknown conversion type character ‘H’ in format [-Wformat=] - totype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: unknown conversion type character ‘H’ in format [-Wformat=] - TREE_TYPE (expr), totype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "lvalue of type %qI", totype, extype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "type %qH to an rvalue of type %qI", totype, extype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "discards qualifiers", totype, extype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* convert_arg_to_ellipsis(tree, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: unknown conversion type character ‘H’ in format [-Wformat=] - arg_type, double_type_node); - ^ -../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘void complain_about_bad_argument(location_t, tree, tree, tree, int)’: -../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: unknown conversion type character ‘H’ in format [-Wformat=] - from_type, to_type); - ^ -../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘int joust(z_candidate*, z_candidate*, bool, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: unknown conversion type character ‘H’ in format [-Wformat=] - source, w->second_conv->type)) - ^ -../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* perform_implicit_conversion_flags(tree, tree, tsubst_flags_t, int)’: -../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: unknown conversion type character ‘H’ in format [-Wformat=] - expr, TREE_TYPE (expr), type); - ^ -../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function ‘tree_node* initialize_reference(tree, tree, int, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: unknown conversion type character ‘H’ in format [-Wformat=] - type, TREE_TYPE (expr)); - ^ -../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: unknown conversion type character ‘H’ in format [-Wformat=] - TREE_TYPE (expr)); - ^ -../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c: In function ‘tree_node* cp_convert_to_pointer(tree, tree, bool, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: unknown conversion type character ‘H’ in format [-Wformat=] - intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] - expr, intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] - expr, intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: unknown conversion type character ‘H’ in format [-Wformat=] - expr, intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: unknown conversion type character ‘H’ in format [-Wformat=] - error_at (loc, "invalid conversion from %qH to %qI", intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: unknown conversion type character ‘H’ in format [-Wformat=] - expr, intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c: In function ‘tree_node* convert_to_reference(tree, tree, int, int, tree, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: unknown conversion type character ‘H’ in format [-Wformat=] - ttr, reftype); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: unknown conversion type character ‘H’ in format [-Wformat=] - error_at (loc, "cannot convert type %qH to type %qI", intype, reftype); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c: In function ‘tree_node* ocp_convert(tree, tree, int, int, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/cvt.c:707:26: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_conv_diag); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] - TREE_TYPE (expr), type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/pt.c: In function ‘tree_node* tsubst_copy_and_build(tree, tree, tsubst_flags_t, tree, bool, bool)’: -../../../riscv-gcc/gcc/cp/pt.c:18292:20: warning: format not a string literal and no format arguments [-Wformat-security] - error (error_msg); - ^ -../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* cp_build_binary_op(const op_location_t&, tree_code, tree, tree, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/typeck.c:4480:24: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_op_diag); - ^ -../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* cp_build_unary_op(tree_code, tree, bool, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/typeck.c:6232:24: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_op_diag); - ^ -../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* convert_member_func_to_ptr(tree, tree, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "converting from %qH to %qI", intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* build_reinterpret_cast_1(tree, tree, bool, bool*, tsubst_flags_t)’: -../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: unknown conversion type character ‘H’ in format [-Wformat=] - intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: unknown conversion type character ‘H’ in format [-Wformat=] - " from %qH to %qI", intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: unknown conversion type character ‘H’ in format [-Wformat=] - " from %qH to %qI", intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: unknown conversion type character ‘H’ in format [-Wformat=] - "increases required alignment of target type", intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* build_const_cast_1(tree, tree, tsubst_flags_t, bool*)’: -../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: unknown conversion type character ‘H’ in format [-Wformat=] - src_type, dst_type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c: In function ‘tree_node* convert_for_assignment(tree, tree, impl_conv_rhs, tree, int, tsubst_flags_t, int)’: -../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: unknown conversion type character ‘H’ in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck2.c: In function ‘bool check_narrowing(tree, tree, tsubst_flags_t, bool)’: -../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: unknown conversion type character ‘H’ in format [-Wformat=] - init, ftype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: unknown conversion type character ‘H’ in format [-Wformat=] - init, ftype, type) - ^ -../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: unknown conversion type character ‘H’ in format [-Wformat=] - init, ftype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: unknown conversion type character ‘I’ in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/fortran/trans-intrinsic.c: In function ‘void gfc_conv_intrinsic_findloc(gfc_se*, gfc_expr*)’: -../../../riscv-gcc/gcc/fortran/trans-intrinsic.c:5615:7: warning: ‘forward_branch’ may be used uninitialized in this function [-Wmaybe-uninitialized] - tmp = build3_v (COND_EXPR, backse.expr, forward_branch, back_branch); -../../../riscv-gcc/gcc/collect2.c: In function ‘void scan_prog_file(const char*, scanpass, scanfilter)’: -../../../riscv-gcc/gcc/collect2.c:2463:37: warning: format not a string literal and no format arguments [-Wformat-security] - fatal_error (input_location, errmsg); - ^ -../../../riscv-gcc/gcc/collect-utils.c: In function ‘pex_obj* collect_execute(const char*, char**, const char*, const char*, int, bool)’: -../../../riscv-gcc/gcc/collect-utils.c:195:37: warning: format not a string literal and no format arguments [-Wformat-security] - fatal_error (input_location, errmsg); - ^ -../../../riscv-gcc/gcc/lto-wrapper.c: In function ‘bool find_and_merge_options(int, off_t, const char*, cl_decoded_option**, unsigned int*, const char*)’: -../../../riscv-gcc/gcc/lto-wrapper.c:1005:8: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - read (fd, data, length); - ~~~~~^~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ - "GTY"/{EOID} { return GTY_TOKEN; } - ^~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here - "^" | - ^~~~ -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ - - ^ -../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here - size_t len; - ^ ~~ -../../../riscv-gcc/gcc/gcc.c: In function ‘long unsigned int get_random_number()’: -../../../riscv-gcc/gcc/gcc.c:9639:12: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - read (fd, &ret, sizeof (HOST_WIDE_INT)); - ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c: In function ‘void do_report_bug(const char**, int, char**, char**)’: -../../../riscv-gcc/gcc/gcc.c:6999:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - write (fd, "\n//", 3); - ~~~~~~^~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7002:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - write (fd, " ", 1); - ~~~~~~^~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7003:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - write (fd, new_argv[i], strlen (new_argv[i])); - ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7005:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’, declared with attribute warn_unused_result [-Wunused-result] - write (fd, "\n\n", 2); - ~~~~~~^~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/lto/lto.c: In function ‘void lto_resolution_read(splay_tree, FILE*, lto_file*)’: -../../../riscv-gcc/gcc/lto/lto.c:1918:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (resolution, " "); /* Read white space. */ - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/lto/lto.c:1920:9: warning: ignoring return value of ‘size_t fread(void*, size_t, size_t, FILE*)’, declared with attribute warn_unused_result [-Wunused-result] - fread (obj_name, sizeof (char), name_len, resolution); - ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/lto/lto.c:1940:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’, declared with attribute warn_unused_result [-Wunused-result] - fscanf (resolution, "%u", &num_symbols); - ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/config/riscv/riscv.md:2281:1: warning: operand 0 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2303:1: warning: operand 1 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2326:1: warning: operand 0 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2349:1: warning: operand 1 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2415:1: warning: source missing a mode? -Statistics for recog: - Number of decisions: 1699 - longest path: 32 (code: 188) - longest backtrack: 13 (code: 336) -Statistics for split_insns: - Number of decisions: 209 - longest path: 30 (code: 40) - longest backtrack: 3 (code: 40) -Statistics for peephole2_insns: - Number of decisions: 22 - longest path: 22 (code: 1) - longest backtrack: 0 (code: 1) -Shared 1212 out of 3026 states by creating 356 new states, saving 856 --fself-test: 46338 pass(es) in 0.621204 seconds --fself-test: 46308 pass(es) in 0.606442 seconds -Applying io_quotes_use to drm/exynos_drm.h -Applying io_quotes_use to drm/nouveau_drm.h -Applying io_quotes_use to drm/radeon_drm.h -Applying io_quotes_use to drm/drm.h -Applying io_quotes_use to drm/etnaviv_drm.h -Applying io_quotes_use to drm/v3d_drm.h -Applying io_quotes_use to drm/savage_drm.h -Applying io_quotes_use to drm/vc4_drm.h -Applying io_quotes_use to drm/mga_drm.h -Applying io_quotes_use to drm/omap_drm.h -Applying io_quotes_use to drm/i915_drm.h -Applying io_quotes_use to drm/r128_drm.h -Applying io_quotes_use to drm/msm_drm.h -Applying io_quotes_use to drm/amdgpu_drm.h -Applying io_quotes_use to drm/via_drm.h -Applying io_quotes_use to drm/sis_drm.h -Applying io_quotes_use to drm/vgem_drm.h -Applying io_quotes_use to drm/i810_drm.h -Applying io_quotes_use to drm/tegra_drm.h -Applying hpux8_bogus_inlines to math.h -Applying io_quotes_use to linux/fd.h -Applying io_quotes_use to linux/usb/tmc.h -Applying io_quotes_use to linux/nbd.h -Applying io_quotes_use to linux/ppdev.h -Applying io_quotes_def to linux/ppp-comp.h -Applying io_quotes_use to linux/fuse.h -Applying io_quotes_use to linux/ipmi_bmc.h -Applying io_quotes_use to linux/lightnvm.h -Applying io_quotes_use to linux/gpio.h -Applying io_quotes_use to linux/spi/spidev.h -Applying io_quotes_use to linux/mmtimer.h -Applying io_quotes_use to linux/btrfs.h -Applying io_quotes_use to linux/bt-bmc.h -Applying io_quotes_use to linux/nsfs.h -Applying io_quotes_def to linux/version.h -Applying io_quotes_use to linux/seccomp.h -Applying io_quotes_use to linux/mmc/ioctl.h -Applying io_quotes_use to linux/phantom.h -Applying io_quotes_use to linux/vm_sockets.h -Applying io_quotes_use to linux/omapfb.h -Applying io_quotes_def to linux/soundcard.h -Applying io_quotes_use to linux/vtpm_proxy.h -Applying io_quotes_use to linux/synclink.h -Applying io_quotes_use to linux/dn.h -Applying io_quotes_use to linux/atmbr2684.h -Applying io_quotes_use to linux/rpmsg.h -Applying io_quotes_use to linux/rio_cm_cdev.h -Applying io_quotes_use to linux/cciss_ioctl.h -Applying io_quotes_use to linux/sync_file.h -Applying io_quotes_use to linux/tee.h -Applying io_quotes_use to linux/i2o-dev.h -Applying io_quotes_use to linux/rfkill.h -Applying io_quotes_use to linux/watchdog.h -Applying io_quotes_use to linux/cm4000_cs.h -Applying io_quotes_use to linux/genwqe/genwqe_card.h -Applying io_quotes_use to linux/vfio.h -Applying io_quotes_use to linux/gigaset_dev.h -Applying io_quotes_use to linux/if_pppox.h -Applying io_quotes_use to linux/blkzoned.h -Applying io_quotes_use to linux/ndctl.h -Applying io_quotes_use to linux/agpgart.h -Applying io_quotes_use to linux/blkpg.h -Applying io_quotes_use to linux/fpga-dfl.h -Applying io_quotes_use to linux/psp-sev.h -Applying io_quotes_use to linux/wmi.h -Applying io_quotes_use to linux/fs.h -Applying io_quotes_use to linux/ptp_clock.h -Applying io_quotes_use to linux/reiserfs_fs.h -Applying io_quotes_use to linux/dma-buf.h -Applying io_quotes_use to linux/raid/md_u.h -Applying io_quotes_use to linux/random.h -Applying io_quotes_use to linux/auto_fs.h -Applying io_quotes_use to linux/uinput.h -Applying io_quotes_use to linux/suspend_ioctls.h -Applying io_quotes_use to linux/vboxguest.h -Applying io_quotes_use to linux/ipmi.h -Applying io_quotes_use to linux/dm-ioctl.h -Applying io_quotes_use to linux/aspeed-lpc-ctrl.h -Applying io_quotes_use to linux/hsi/cs-protocol.h -Applying io_quotes_use to linux/hsi/hsi_char.h -Applying io_quotes_use to linux/raw.h -Applying io_quotes_use to linux/vhost.h -Applying io_quotes_use to linux/userfaultfd.h -Applying io_quotes_def to linux/pci_regs.h -Applying io_quotes_use to linux/pktcdvd.h -Applying io_quotes_use to rdma/rdma_user_ioctl.h -Applying sun_malloc to malloc.h -Applying pthread_incomplete_struct_argument to pthread.h -Applying io_quotes_use to video/sisfb.h -Applying sysv68_string to string.h -Applying io_quotes_use to sound/emu10k1.h -Applying io_quotes_use to sound/compress_offload.h -Applying io_quotes_use to sound/asequencer.h -Applying io_quotes_use to sound/asound.h -Applying glibc_stdint to stdint.h -Applying io_quotes_use to sys/mount.h -Applying io_quotes_use to sys/raw.h -Applying io_quotes_use to scsi/cxlflash_ioctl.h -Applying io_quotes_use to mtd/ubi-user.h -Applying io_quotes_use to misc/cxl.h -Applying io_quotes_use to misc/ocxl.h -configure: WARNING: decimal float is not supported for this target, ignored -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -In file included from ../../../../riscv-gcc/libgcc/unwind-dw2.c:411: -./md-unwind-support.h: In function 'riscv_fallback_frame_state': -./md-unwind-support.h:67:6: warning: assignment to 'struct sigcontext *' from incompatible pointer type 'mcontext_t *' {aka 'struct mcontext_t *'} [-Wincompatible-pointer-types] - 67 | sc = &rt_->uc.uc_mcontext; - | ^ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c: In function '__sync_nand_and_fetch_1': -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:63:14: note: '__sync_fetch_and_nand' changed semantics in GCC 4.4 - 63 | type o = __sync_fetch_and_ ## opname ## _ ## size (p, v); \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -In file included from ../../../../riscv-gcc/libgcc/unwind-dw2.c:411: -./md-unwind-support.h: In function 'riscv_fallback_frame_state': -./md-unwind-support.h:67:6: warning: assignment to 'struct sigcontext *' from incompatible pointer type 'mcontext_t *' {aka 'struct mcontext_t *'} [-Wincompatible-pointer-types] - 67 | sc = &rt_->uc.uc_mcontext; - | ^ -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -configure: WARNING: No native atomic operations are provided for this platform. -configure: WARNING: They will be faked using a mutex. -configure: WARNING: Performance of certain classes will degrade as a result. -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c: In function 'date_and_time': -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:33: warning: '%04d' directive output may be truncated writing between 4 and 11 bytes into a region of size 9 [-Wformat-truncation=] - 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", - | ^~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:32: note: directive argument in the range [-2147481748, 2147483647] - 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", - | ^~~~~~~~~~~~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:32: note: directive argument in the range [-2147483647, 2147483647] -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:2: note: 'snprintf' output between 9 and 34 bytes into a destination of size 9 - 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - 166 | values[0], values[1], values[2]); - | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:33: warning: '%+03d' directive output may be truncated writing between 3 and 9 bytes into a region of size 6 [-Wformat-truncation=] - 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", - | ^~~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:32: note: directive argument in the range [-35791394, 35791394] - 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", - | ^~~~~~~~~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:32: note: directive argument in the range [0, 59] -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:2: note: 'snprintf' output between 6 and 12 bytes into a destination of size 6 - 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - 173 | values[3] / 60, abs (values[3] % 60)); - | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: .libs/fpu.o: in function `.L11': -fpu.c:(.text._gfortrani_set_fpu_trap_exceptions+0x86): warning: fedisableexcept is not implemented and will always fail -/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: fpu.c:(.text._gfortrani_set_fpu_trap_exceptions+0x74): warning: feenableexcept is not implemented and will always fail -/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: .libs/fpu.o: in function `_gfortrani_get_fpu_trap_exceptions': -fpu.c:(.text._gfortrani_get_fpu_trap_exceptions+0x4): warning: fegetexcept is not implemented and will always fail -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-isa-sim'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-pk'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-tests'... -Submodule 'env' (https://github.com/riscv/riscv-test-env.git) registered for path 'toolchains/riscv-tools/riscv-tests/env' -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-tests/env'... -Cloning into '/home/riscvuser/chipyard/toolchains/libgloss'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu'... -Submodule 'capstone' (https://git.qemu.org/git/capstone.git) registered for path 'toolchains/qemu/capstone' -Submodule 'dtc' (https://git.qemu.org/git/dtc.git) registered for path 'toolchains/qemu/dtc' -Submodule 'roms/QemuMacDrivers' (https://git.qemu.org/git/QemuMacDrivers.git) registered for path 'toolchains/qemu/roms/QemuMacDrivers' -Submodule 'roms/SLOF' (https://git.qemu.org/git/SLOF.git) registered for path 'toolchains/qemu/roms/SLOF' -Submodule 'roms/edk2' (https://git.qemu.org/git/edk2.git) registered for path 'toolchains/qemu/roms/edk2' -Submodule 'roms/ipxe' (https://git.qemu.org/git/ipxe.git) registered for path 'toolchains/qemu/roms/ipxe' -Submodule 'roms/openbios' (https://git.qemu.org/git/openbios.git) registered for path 'toolchains/qemu/roms/openbios' -Submodule 'roms/openhackware' (https://git.qemu.org/git/openhackware.git) registered for path 'toolchains/qemu/roms/openhackware' -Submodule 'roms/opensbi' (https://git.qemu.org/git/opensbi.git) registered for path 'toolchains/qemu/roms/opensbi' -Submodule 'roms/qemu-palcode' (https://git.qemu.org/git/qemu-palcode.git) registered for path 'toolchains/qemu/roms/qemu-palcode' -Submodule 'roms/seabios' (https://git.qemu.org/git/seabios.git/) registered for path 'toolchains/qemu/roms/seabios' -Submodule 'roms/seabios-hppa' (https://git.qemu.org/git/seabios-hppa.git) registered for path 'toolchains/qemu/roms/seabios-hppa' -Submodule 'roms/sgabios' (https://git.qemu.org/git/sgabios.git) registered for path 'toolchains/qemu/roms/sgabios' -Submodule 'roms/skiboot' (https://git.qemu.org/git/skiboot.git) registered for path 'toolchains/qemu/roms/skiboot' -Submodule 'roms/u-boot' (https://git.qemu.org/git/u-boot.git) registered for path 'toolchains/qemu/roms/u-boot' -Submodule 'roms/u-boot-sam460ex' (https://git.qemu.org/git/u-boot-sam460ex.git) registered for path 'toolchains/qemu/roms/u-boot-sam460ex' -Submodule 'slirp' (https://git.qemu.org/git/libslirp.git) registered for path 'toolchains/qemu/slirp' -Submodule 'tests/fp/berkeley-softfloat-3' (https://git.qemu.org/git/berkeley-softfloat-3.git) registered for path 'toolchains/qemu/tests/fp/berkeley-softfloat-3' -Submodule 'tests/fp/berkeley-testfloat-3' (https://git.qemu.org/git/berkeley-testfloat-3.git) registered for path 'toolchains/qemu/tests/fp/berkeley-testfloat-3' -Submodule 'ui/keycodemapdb' (https://git.qemu.org/git/keycodemapdb.git) registered for path 'toolchains/qemu/ui/keycodemapdb' -Cloning into '/home/riscvuser/chipya \ No newline at end of file diff --git a/entrypoint.sh b/entrypoint.sh deleted file mode 100644 index e044310f8d..0000000000 --- a/entrypoint.sh +++ /dev/null @@ -1,4 +0,0 @@ -# adapted from https://stackoverflow.com/questions/55921914/how-to-source-a-script-with-environment-variables-in-a-docker-build-process -#!/bin/sh -. ./env.sh -exec "$@" From fe1f7feba70bc03205cd6b494f38c29dd5d356a9 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Sat, 5 Dec 2020 19:04:13 -0800 Subject: [PATCH 007/225] changed permissions of entrypoint.sh --- scripts/entrypoint.sh | 0 1 file changed, 0 insertions(+), 0 deletions(-) mode change 100644 => 100755 scripts/entrypoint.sh diff --git a/scripts/entrypoint.sh b/scripts/entrypoint.sh old mode 100644 new mode 100755 From 7439191a697e828515b87c726fe5f8cc70c8c868 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Tue, 8 Dec 2020 01:52:47 -0800 Subject: [PATCH 008/225] removed some redundancies from dockerfile --- Dockerfile | 13 +++---------- 1 file changed, 3 insertions(+), 10 deletions(-) diff --git a/Dockerfile b/Dockerfile index 6edd0635ec..e4b216558b 100644 --- a/Dockerfile +++ b/Dockerfile @@ -145,7 +145,7 @@ RUN apt-get update RUN apt-get install -y --no-install-recommends openjfx # Add build-essential -RUN apt-get install -y build-essential +#RUN apt-get install -y build-essential # Add RISCV toolchain necessary dependencies RUN apt-get update @@ -156,9 +156,7 @@ RUN apt-get install -y \ babeltrace \ bc \ curl \ - device-tree-compiler \ expat \ - flex \ gawk \ gperf \ g++ \ @@ -175,7 +173,6 @@ RUN apt-get install -y \ texinfo \ zlib1g-dev \ rsync \ - bison \ verilator @@ -251,7 +248,8 @@ RUN mvn -version \ # Install riscv-tools RUN cd chipyard && \ - export MAKEFLAGS=-"j $(nproc)" && \./scripts/build-toolchains.sh riscv-tools 1>/dev/null + export MAKEFLAGS=-"j $(nproc)" && \ + ./scripts/build-toolchains.sh riscv-tools 1>/dev/null # Install esp-tools RUN cd chipyard && \ @@ -260,11 +258,6 @@ RUN cd chipyard && \ #ENTRYPOINT ["sh", "-c", "-l", "cd chipyard && . ./env.sh && #\"$@\"", "-s"] -#WORKDIR $HOME/chipyard -#COPY entrypoint.sh /home/riscvuser/chipyard/entrypoint.sh -RUN sudo chown riscvuser /home/riscvuser/chipyard/scripts/entrypoint.sh -RUN chmod +x /home/riscvuser/chipyard/scripts/entrypoint.sh -#WORKDIR $HOME ENTRYPOINT ["/home/riscvuser/chipyard/scripts/entrypoint.sh"] #env_file: ./env.sh From 39572823ce8b4a043d83c208db9b5aa883df9e0e Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Sun, 20 Dec 2020 23:22:47 -0800 Subject: [PATCH 009/225] Cleaned up dockerfile --- Dockerfile | 216 +---------------------------------------------------- 1 file changed, 3 insertions(+), 213 deletions(-) diff --git a/Dockerfile b/Dockerfile index e4b216558b..3c38101e83 100644 --- a/Dockerfile +++ b/Dockerfile @@ -11,195 +11,17 @@ RUN apt-get update && \ apt-get upgrade -y && \ mkdir -p /usr/share/man/man1 && \ apt-get install -y \ - bzip2 \ - ca-certificates \ curl \ git \ - gnupg \ - gzip \ - libfl2 \ - libfl-dev \ - locales \ - mercurial \ - python-minimal \ - python-pexpect-doc \ - netcat \ - net-tools \ - openssh-client \ - parallel \ sudo \ tar \ - unzip \ - wget \ - xvfb \ - xxd \ - zip \ - ccache \ - libgoogle-perftools-dev \ - numactl \ - zlib1g \ - jq \ - openjdk-11-jdk \ - maven \ - ant \ - gradle - -#ADDED -RUN apt-get install -y apt-utils - -# Set timezone to UTC by default -RUN ln -sf /usr/share/zoneinfo/Etc/UTC /etc/localtime - -# Use unicode -RUN locale-gen C.UTF-8 || true -ENV LANG=C.UTF-8 - -# install jq -# RUN JQ_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/jq-latest" \ -# && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/jq $JQ_URL \ -# && chmod +x /usr/bin/jq \ -# && jq --version - -# Install Docker - -# Docker.com returns the URL of the latest binary when you hit a directory listing -# We curl this URL and `grep` the version out. -# The output looks like this: - -#> # To install, run the following commands as root: -#> curl -fsSLO https://download.docker.com/linux/static/stable/x86_64/docker-17.05.0-ce.tgz && tar --strip-components=1 -xvzf docker-17.05.0-ce.tgz -C /usr/local/bin -#> -#> # Then start docker in daemon mode: -#> /usr/local/bin/dockerd - -# RUN set -ex \ -# && export DOCKER_VERSION=$(curl --silent --fail --retry 3 https://download.docker.com/linux/static/stable/x86_64/ | grep -o -e 'docker-[.0-9]*-ce\.tgz' | sort -r | head -n 1) \ -# && DOCKER_URL="https://download.docker.com/linux/static/stable/x86_64/${DOCKER_VERSION}" \ -# && echo Docker URL: $DOCKER_URL \ -# && curl --silent --show-error --location --fail --retry 3 --output /tmp/docker.tgz "${DOCKER_URL}" \ -# && ls -lha /tmp/docker.tgz \ -# && tar -xz -C /tmp -f /tmp/docker.tgz \ -# && mv /tmp/docker/* /usr/bin \ -# && rm -rf /tmp/docker /tmp/docker.tgz \ -# && which docker \ -# && (docker version || true) - -# docker compose -# RUN COMPOSE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/docker-compose-latest" \ -# && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/docker-compose $COMPOSE_URL \ -# && chmod +x /usr/bin/docker-compose \ -# && docker-compose version - -# install dockerize -# RUN DOCKERIZE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/dockerize-latest.tar.gz" \ -# && curl --silent --show-error --location --fail --retry 3 --output /tmp/dockerize-linux-amd64.tar.gz $DOCKERIZE_URL \ -# && tar -C /usr/local/bin -xzvf /tmp/dockerize-linux-amd64.tar.gz \ -# && rm -rf /tmp/dockerize-linux-amd64.tar.gz \ -# && dockerize --version + apt-utils RUN groupadd --gid 3434 riscvuser \ && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep -# BEGIN IMAGE CUSTOMIZATIONS - -# cacerts from OpenJDK 9-slim to workaround http://bugs.java.com/view_bug.do?bug_id=8189357 -# AND https://github.com/docker-library/openjdk/issues/145 -# -# Created by running: -# docker run --rm openjdk:9-slim cat /etc/ssl/certs/java/cacerts | # aws s3 cp - s3://circle-downloads/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts --acl public-read -# RUN if java -fullversion 2>&1 | grep -q '"9.'; then curl --silent --show-error --location --fail --retry 3 --output /etc/ssl/certs/java/cacerts https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts; fi - -# Install Maven Version: 3.6.3 -# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-maven.tar.gz https://www.apache.org/dist/maven/maven-3/3.6.3/binaries/apache-maven-3.6.3-bin.tar.gz \ -# && tar xf /tmp/apache-maven.tar.gz -C /opt/ \ -# && rm /tmp/apache-maven.tar.gz \ -# && ln -s /opt/apache-maven-* /opt/apache-maven \ -# && /opt/apache-maven/bin/mvn -version - -# Install Ant Version: 1.10.5 -# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-ant.tar.gz https://archive.apache.org/dist/ant/binaries/apache-ant-1.10.5-bin.tar.gz \ -# && tar xf /tmp/apache-ant.tar.gz -C /opt/ \ -# && ln -s /opt/apache-ant-* /opt/apache-ant \ -# && rm -rf /tmp/apache-ant.tar.gz \ -# && /opt/apache-ant/bin/ant -version - -# ENV ANT_HOME=/opt/apache-ant - -# Install Gradle Version: 5.0 -# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/gradle.zip https://services.gradle.org/distributions/gradle-5.0-bin.zip \ -# && unzip -d /opt /tmp/gradle.zip \ -# && rm /tmp/gradle.zip \ -# && ln -s /opt/gradle-* /opt/gradle \ -# && /opt/gradle/bin/gradle -version - -# Install sbt from https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz -#RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/sbt.tgz https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz \ -# && tar -xzf /tmp/sbt.tgz -C /opt/ \ -# && rm /tmp/sbt.tgz \ -# && /opt/sbt/bin/sbt sbtVersion - -# Install openjfx -RUN apt-get update -RUN apt-get install -y --no-install-recommends openjfx - -# Add build-essential -#RUN apt-get install -y build-essential - -# Add RISCV toolchain necessary dependencies -RUN apt-get update -RUN apt-get install -y \ - autoconf \ - automake \ - autotools-dev \ - babeltrace \ - bc \ - curl \ - expat \ - gawk \ - gperf \ - g++ \ - libexpat-dev \ - libgmp-dev \ - libmpc-dev \ - libmpfr-dev \ - libtool \ - libusb-1.0-0-dev \ - make \ - patchutils \ - pkg-config \ - python3 \ - texinfo \ - zlib1g-dev \ - rsync \ - verilator - - -# Use specific bison version to bypass Verilator 4.034 issues -# TODO: When Verilator is bumped, use apt to get newest bison -# RUN wget https://ftp.gnu.org/gnu/bison/bison-3.5.4.tar.gz \ -# && tar -xvf bison-3.5.4.tar.gz \ -# && cd bison-3.5.4 \ -# && ./configure && make && make install - -# Check bison version is 3.5.4 -# RUN bison --version - -# Add minimal QEMU dependencies -RUN apt-get install -y \ - libfdt-dev \ - libglib2.0-dev \ - libpixman-1-dev - -# Install verilator -# RUN git clone http://git.veripool.org/git/verilator \ -# && cd verilator \ -# && git pull \ -# && git checkout v4.034 \ -# && autoconf && ./configure && make && make install - - # Add HOME environment variable ENV HOME="/home/riscvuser" @@ -211,64 +33,32 @@ ENV PATH="$RISCV/bin:$PATH" WORKDIR $HOME USER riscvuser -# remove extra folders -# RUN rm -rf project/ - # Install Chipyard RUN git clone https://github.com/ucb-bar/chipyard.git && \ cd chipyard && \ export MAKEFLAGS=-"j $(nproc)" && \ ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null - -#RUN ls $HOME/chipyard/toolchains/riscv-tools - -#RUN cd chipyard && \ -# printf '\n\n\n31\n1\n20\n' | sudo apt-get install -y #python3-pip python3.6-dev rsync libguestfs-tools expat ctags && #\ - -#RUN sudo apt install debconf-utils && \ -# dpkg-reconfigure keyboard-configuration && \ -# debconf-get-selections | grep keyboard-configuration > #selections.conf && \ -# debconf-set-selections < selections.conf && \ -# dpkg-reconfigure keyboard-configuration -f noninteractive # Stopping docker keyboard-config from disrupting ubuntu-req.sh -RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install keyboard-configuration && \ +RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install -y keyboard-configuration && \ sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup # Install dependencies from ubuntu-req.sh RUN cd chipyard && \ ./scripts/ubuntu-req.sh 1>/dev/null - -# smoke test with path -RUN mvn -version \ - && ant -version \ - && gradle -version \ - && sbt sbtVersion \ - && verilator --version # Install riscv-tools RUN cd chipyard && \ export MAKEFLAGS=-"j $(nproc)" && \ ./scripts/build-toolchains.sh riscv-tools 1>/dev/null - + # Install esp-tools RUN cd chipyard && \ export MAKEFLAGS=-"j $(nproc)" && \ ./scripts/build-toolchains.sh esp-tools 1>/dev/null - -#ENTRYPOINT ["sh", "-c", "-l", "cd chipyard && . ./env.sh && #\"$@\"", "-s"] ENTRYPOINT ["/home/riscvuser/chipyard/scripts/entrypoint.sh"] -#env_file: ./env.sh - -#SHELL ["/bin/sh", "-c"] - -#RUN cd chipyard && \ - #git submodule update --init --recursive /home/#riscvuser/chipyard/toolchains/riscv-tools/riscv-#isa-sim -# git submodule update --init --recursive /home/riscvuser/#chipyard/toolchains/riscv-tools/riscv-gnu-toolchain - - # END IMAGE CUSTOMIZATIONS CMD ["/bin/sh"] From ef84927f3a219a1624f58854b6568297cbd6b00a Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Tue, 22 Dec 2020 19:56:35 -0800 Subject: [PATCH 010/225] Removed environment variables from dockerfile --- Dockerfile | 29 +++++++++-------------------- 1 file changed, 9 insertions(+), 20 deletions(-) diff --git a/Dockerfile b/Dockerfile index 3c38101e83..8d95af552b 100644 --- a/Dockerfile +++ b/Dockerfile @@ -9,43 +9,32 @@ MAINTAINER jacobgadikian@gmail.com # https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=863199 RUN apt-get update && \ apt-get upgrade -y && \ - mkdir -p /usr/share/man/man1 && \ apt-get install -y \ curl \ git \ - sudo \ - tar \ - apt-utils + sudo + +# Stopping docker keyboard-config from disrupting ubuntu-req.sh +RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install -y keyboard-configuration && \ + sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup RUN groupadd --gid 3434 riscvuser \ && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep -# Add HOME environment variable -ENV HOME="/home/riscvuser" - -# Update PATH for RISCV toolchain (note: hardcoded for CircleCI) -ENV RISCV="$HOME/riscv-tools-install" -ENV LD_LIBRARY_PATH="$RISCV/lib" -ENV PATH="$RISCV/bin:$PATH" - -WORKDIR $HOME +WORKDIR /home/riscvuser USER riscvuser # Install Chipyard RUN git clone https://github.com/ucb-bar/chipyard.git && \ cd chipyard && \ - export MAKEFLAGS=-"j $(nproc)" && \ - ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null - -# Stopping docker keyboard-config from disrupting ubuntu-req.sh -RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install -y keyboard-configuration && \ - sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup + ./scripts/ubuntu-req.sh 1>/dev/null # Install dependencies from ubuntu-req.sh RUN cd chipyard && \ - ./scripts/ubuntu-req.sh 1>/dev/null + export MAKEFLAGS=-"j $(nproc)" && \ + ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null # Install riscv-tools RUN cd chipyard && \ From db7fafb4acc8fd3fa50f9154f8041908f387055f Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Sun, 29 Nov 2020 20:49:39 -0800 Subject: [PATCH 011/225] switched dockerfile base to ubuntu and fixed bugs. created entrypoint.sh script for setting environment variables --- Dockerfile | 1 - Dockerfile-ella | 296 ++++++++++++++++++++++++++++++++++++++++++++++++ entrypoint.sh | 4 + 3 files changed, 300 insertions(+), 1 deletion(-) create mode 100644 Dockerfile-ella create mode 100644 entrypoint.sh diff --git a/Dockerfile b/Dockerfile index 8d95af552b..b1d8e78bcb 100644 --- a/Dockerfile +++ b/Dockerfile @@ -10,7 +10,6 @@ MAINTAINER jacobgadikian@gmail.com RUN apt-get update && \ apt-get upgrade -y && \ apt-get install -y \ - curl \ git \ sudo diff --git a/Dockerfile-ella b/Dockerfile-ella new file mode 100644 index 0000000000..b818539c45 --- /dev/null +++ b/Dockerfile-ella @@ -0,0 +1,296 @@ +### Note: This DockerFile is adapted from https://github.com/ucb-bar/chipyard/blob/master/.circleci/images/Dockerfile which was adapted from: https://github.com/CircleCI-Public/example-images/openjdk +# This is a full chipyard setup, which will be built manually on-demand in the Tendermint Hardware Project at https://gitlab.com/virgohardware/core/ + +FROM ubuntu:18.04 + +MAINTAINER jacobgadikian@gmail.com + +# man directory is missing in some base images +# https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=863199 +RUN apt-get update && \ + apt-get upgrade -y && \ + mkdir -p /usr/share/man/man1 && \ + apt-get install -y \ + bzip2 \ + ca-certificates \ + curl \ + git \ + gnupg \ + gzip \ + libfl2 \ + libfl-dev \ + locales \ + mercurial \ + python-minimal \ + python-pexpect-doc \ + netcat \ + net-tools \ + openssh-client \ + parallel \ + sudo \ + tar \ + unzip \ + wget \ + xvfb \ + xxd \ + zip \ + ccache \ + libgoogle-perftools-dev \ + numactl \ + zlib1g \ + jq \ + openjdk-11-jdk \ + maven \ + #sbt \# + ant \ + gradle + +#ADDED +RUN apt-get install -y apt-utils + +#ADDED: get sbt +#RUN apt-get install default-jdk -y && \ +# wget www.scala-lang.org/files/archive/scala-2.13.0.deb && \ +# dpkg -i scala*.deb && \ +# echo "deb https://dl.bintray.com/sbt/debian /" | tee -a /#etc/apt/sources.list.d/sbt.list && \ +# sudo apt-key adv --keyserver hkp://keyserver.ubuntu.com:80 #--recv 2EE0EA64E40A89B84B2DF73499E82A75642AC823 && \ +# apt-get install sbt -y && \ +# sbt test +RUN echo "deb https://dl.bintray.com/sbt/debian /" | sudo tee -a /etc/apt/sources.list.d/sbt.list && \ + curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add && \ + sudo apt-get update && \ + sudo apt-get install sbt + +# Set timezone to UTC by default +RUN ln -sf /usr/share/zoneinfo/Etc/UTC /etc/localtime + +# Use unicode +RUN locale-gen C.UTF-8 || true +ENV LANG=C.UTF-8 + +# install jq +# RUN JQ_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/jq-latest" \ +# && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/jq $JQ_URL \ +# && chmod +x /usr/bin/jq \ +# && jq --version + +# Install Docker + +# Docker.com returns the URL of the latest binary when you hit a directory listing +# We curl this URL and `grep` the version out. +# The output looks like this: + +#> # To install, run the following commands as root: +#> curl -fsSLO https://download.docker.com/linux/static/stable/x86_64/docker-17.05.0-ce.tgz && tar --strip-components=1 -xvzf docker-17.05.0-ce.tgz -C /usr/local/bin +#> +#> # Then start docker in daemon mode: +#> /usr/local/bin/dockerd + +# RUN set -ex \ +# && export DOCKER_VERSION=$(curl --silent --fail --retry 3 https://download.docker.com/linux/static/stable/x86_64/ | grep -o -e 'docker-[.0-9]*-ce\.tgz' | sort -r | head -n 1) \ +# && DOCKER_URL="https://download.docker.com/linux/static/stable/x86_64/${DOCKER_VERSION}" \ +# && echo Docker URL: $DOCKER_URL \ +# && curl --silent --show-error --location --fail --retry 3 --output /tmp/docker.tgz "${DOCKER_URL}" \ +# && ls -lha /tmp/docker.tgz \ +# && tar -xz -C /tmp -f /tmp/docker.tgz \ +# && mv /tmp/docker/* /usr/bin \ +# && rm -rf /tmp/docker /tmp/docker.tgz \ +# && which docker \ +# && (docker version || true) + +# docker compose +# RUN COMPOSE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/docker-compose-latest" \ +# && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/docker-compose $COMPOSE_URL \ +# && chmod +x /usr/bin/docker-compose \ +# && docker-compose version + +# install dockerize +# RUN DOCKERIZE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/dockerize-latest.tar.gz" \ +# && curl --silent --show-error --location --fail --retry 3 --output /tmp/dockerize-linux-amd64.tar.gz $DOCKERIZE_URL \ +# && tar -C /usr/local/bin -xzvf /tmp/dockerize-linux-amd64.tar.gz \ +# && rm -rf /tmp/dockerize-linux-amd64.tar.gz \ +# && dockerize --version + +RUN groupadd --gid 3434 riscvuser \ + && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ + && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ + && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep + +# BEGIN IMAGE CUSTOMIZATIONS + +# cacerts from OpenJDK 9-slim to workaround http://bugs.java.com/view_bug.do?bug_id=8189357 +# AND https://github.com/docker-library/openjdk/issues/145 +# +# Created by running: +# docker run --rm openjdk:9-slim cat /etc/ssl/certs/java/cacerts | # aws s3 cp - s3://circle-downloads/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts --acl public-read +# RUN if java -fullversion 2>&1 | grep -q '"9.'; then curl --silent --show-error --location --fail --retry 3 --output /etc/ssl/certs/java/cacerts https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts; fi + +# Install Maven Version: 3.6.3 +# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-maven.tar.gz https://www.apache.org/dist/maven/maven-3/3.6.3/binaries/apache-maven-3.6.3-bin.tar.gz \ +# && tar xf /tmp/apache-maven.tar.gz -C /opt/ \ +# && rm /tmp/apache-maven.tar.gz \ +# && ln -s /opt/apache-maven-* /opt/apache-maven \ +# && /opt/apache-maven/bin/mvn -version + +# Install Ant Version: 1.10.5 +# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-ant.tar.gz https://archive.apache.org/dist/ant/binaries/apache-ant-1.10.5-bin.tar.gz \ +# && tar xf /tmp/apache-ant.tar.gz -C /opt/ \ +# && ln -s /opt/apache-ant-* /opt/apache-ant \ +# && rm -rf /tmp/apache-ant.tar.gz \ +# && /opt/apache-ant/bin/ant -version + +# ENV ANT_HOME=/opt/apache-ant + +# Install Gradle Version: 5.0 +# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/gradle.zip https://services.gradle.org/distributions/gradle-5.0-bin.zip \ +# && unzip -d /opt /tmp/gradle.zip \ +# && rm /tmp/gradle.zip \ +# && ln -s /opt/gradle-* /opt/gradle \ +# && /opt/gradle/bin/gradle -version + +# Install sbt from https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz +#RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/sbt.tgz https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz \ +# && tar -xzf /tmp/sbt.tgz -C /opt/ \ +# && rm /tmp/sbt.tgz \ +# && /opt/sbt/bin/sbt sbtVersion + +# Install openjfx +RUN apt-get update +RUN apt-get install -y --no-install-recommends openjfx + +# Add build-essential +RUN apt-get install -y build-essential + +# Add RISCV toolchain necessary dependencies +RUN apt-get update +RUN apt-get install -y \ + autoconf \ + automake \ + autotools-dev \ + babeltrace \ + bc \ + curl \ + device-tree-compiler \ + expat \ + flex \ + gawk \ + gperf \ + g++ \ + libexpat-dev \ + libgmp-dev \ + libmpc-dev \ + libmpfr-dev \ + libtool \ + libusb-1.0-0-dev \ + make \ + patchutils \ + pkg-config \ + python3 \ + texinfo \ + zlib1g-dev \ + rsync \ + bison \ + verilator + + +# Use specific bison version to bypass Verilator 4.034 issues +# TODO: When Verilator is bumped, use apt to get newest bison +# RUN wget https://ftp.gnu.org/gnu/bison/bison-3.5.4.tar.gz \ +# && tar -xvf bison-3.5.4.tar.gz \ +# && cd bison-3.5.4 \ +# && ./configure && make && make install + +# Check bison version is 3.5.4 +# RUN bison --version + +# Add minimal QEMU dependencies +RUN apt-get install -y \ + libfdt-dev \ + libglib2.0-dev \ + libpixman-1-dev + +# Install verilator +# RUN git clone http://git.veripool.org/git/verilator \ +# && cd verilator \ +# && git pull \ +# && git checkout v4.034 \ +# && autoconf && ./configure && make && make install + + +# Add HOME environment variable +ENV HOME="/home/riscvuser" + +# Update PATH for RISCV toolchain (note: hardcoded for CircleCI) +ENV RISCV="$HOME/riscv-tools-install" +ENV LD_LIBRARY_PATH="$RISCV/lib" +ENV PATH="$RISCV/bin:$PATH" + +WORKDIR $HOME +USER riscvuser + +# smoke test with path +RUN mvn -version \ + && ant -version \ + && gradle -version \ + && sbt sbtVersion \ + && verilator --version + +# remove extra folders +# RUN rm -rf project/ + +# Install Chipyard +RUN git clone https://github.com/schwarz-em/chipyard.git && \ + cd chipyard && \ + export MAKEFLAGS=-"j $(nproc)" && \ + ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null + +#RUN ls $HOME/chipyard/toolchains/riscv-tools + +#RUN cd chipyard && \ +# printf '\n\n\n31\n1\n20\n' | sudo apt-get install -y #python3-pip python3.6-dev rsync libguestfs-tools expat ctags && #\ + +#RUN sudo apt install debconf-utils && \ +# dpkg-reconfigure keyboard-configuration && \ +# debconf-get-selections | grep keyboard-configuration > #selections.conf && \ +# debconf-set-selections < selections.conf && \ +# dpkg-reconfigure keyboard-configuration -f noninteractive + +# Stopping docker keyboard-config from disrupting ubuntu-req.sh +RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install keyboard-configuration && \ + sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup + + +RUN cd chipyard && \ + ./scripts/ubuntu-req.sh 1>/dev/null + +# Install riscv-tools +RUN cd chipyard && \ + export MAKEFLAGS=-"j $(nproc)" && \./scripts/build-toolchains.sh riscv-tools 1>/dev/null + +#ENTRYPOINT ["sh", "-c", "-l", "cd chipyard && . ./env.sh && #\"$@\"", "-s"] + +WORKDIR $HOME/chipyard +COPY ./entrypoint.sh entrypoint.sh +#USER root +#RUN chmod +x entrypoint.sh +#USER riscvuser +#WORKDIR $HOME +#ENTRYPOINT ["sh", "/home/riscvuser/chipyard/entrypoint.sh"] + +#env_file: ./env.sh + +#SHELL ["/bin/sh", "-c"] + +#RUN cd chipyard && \ + #git submodule update --init --recursive /home/#riscvuser/chipyard/toolchains/riscv-tools/riscv-#isa-sim +# git submodule update --init --recursive /home/riscvuser/#chipyard/toolchains/riscv-tools/riscv-gnu-toolchain + +# Install esp-tools +#RUN cd chipyard && \ +# export MAKEFLAGS=-"j $(nproc)" && \ +# ./scripts/build-toolchains.sh esp-tools 1>/dev/null + + +# END IMAGE CUSTOMIZATIONS + +CMD ["/bin/sh"] diff --git a/entrypoint.sh b/entrypoint.sh new file mode 100644 index 0000000000..e044310f8d --- /dev/null +++ b/entrypoint.sh @@ -0,0 +1,4 @@ +# adapted from https://stackoverflow.com/questions/55921914/how-to-source-a-script-with-environment-variables-in-a-docker-build-process +#!/bin/sh +. ./env.sh +exec "$@" From f5ae2e0bfd5851f0630742bcb0188e224bdfe22f Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Tue, 1 Dec 2020 13:37:56 -0800 Subject: [PATCH 012/225] entrypoint.sh sets environment variables correctly. Dockerfile has all necessary steps, still needs to be cleaned up --- Dockerfile | 1 + entrypoint.sh | 4 ---- 2 files changed, 1 insertion(+), 4 deletions(-) delete mode 100644 entrypoint.sh diff --git a/Dockerfile b/Dockerfile index b1d8e78bcb..8d95af552b 100644 --- a/Dockerfile +++ b/Dockerfile @@ -10,6 +10,7 @@ MAINTAINER jacobgadikian@gmail.com RUN apt-get update && \ apt-get upgrade -y && \ apt-get install -y \ + curl \ git \ sudo diff --git a/entrypoint.sh b/entrypoint.sh deleted file mode 100644 index e044310f8d..0000000000 --- a/entrypoint.sh +++ /dev/null @@ -1,4 +0,0 @@ -# adapted from https://stackoverflow.com/questions/55921914/how-to-source-a-script-with-environment-variables-in-a-docker-build-process -#!/bin/sh -. ./env.sh -exec "$@" From f25aa8de27d4f38b4417c020ea7fe6ebb435c975 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Wed, 2 Dec 2020 21:03:03 -0800 Subject: [PATCH 013/225] working version of dockerfile and entrypoint.sh --- Dockerfile-ella | 296 ------------------------------------------------ 1 file changed, 296 deletions(-) delete mode 100644 Dockerfile-ella diff --git a/Dockerfile-ella b/Dockerfile-ella deleted file mode 100644 index b818539c45..0000000000 --- a/Dockerfile-ella +++ /dev/null @@ -1,296 +0,0 @@ -### Note: This DockerFile is adapted from https://github.com/ucb-bar/chipyard/blob/master/.circleci/images/Dockerfile which was adapted from: https://github.com/CircleCI-Public/example-images/openjdk -# This is a full chipyard setup, which will be built manually on-demand in the Tendermint Hardware Project at https://gitlab.com/virgohardware/core/ - -FROM ubuntu:18.04 - -MAINTAINER jacobgadikian@gmail.com - -# man directory is missing in some base images -# https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=863199 -RUN apt-get update && \ - apt-get upgrade -y && \ - mkdir -p /usr/share/man/man1 && \ - apt-get install -y \ - bzip2 \ - ca-certificates \ - curl \ - git \ - gnupg \ - gzip \ - libfl2 \ - libfl-dev \ - locales \ - mercurial \ - python-minimal \ - python-pexpect-doc \ - netcat \ - net-tools \ - openssh-client \ - parallel \ - sudo \ - tar \ - unzip \ - wget \ - xvfb \ - xxd \ - zip \ - ccache \ - libgoogle-perftools-dev \ - numactl \ - zlib1g \ - jq \ - openjdk-11-jdk \ - maven \ - #sbt \# - ant \ - gradle - -#ADDED -RUN apt-get install -y apt-utils - -#ADDED: get sbt -#RUN apt-get install default-jdk -y && \ -# wget www.scala-lang.org/files/archive/scala-2.13.0.deb && \ -# dpkg -i scala*.deb && \ -# echo "deb https://dl.bintray.com/sbt/debian /" | tee -a /#etc/apt/sources.list.d/sbt.list && \ -# sudo apt-key adv --keyserver hkp://keyserver.ubuntu.com:80 #--recv 2EE0EA64E40A89B84B2DF73499E82A75642AC823 && \ -# apt-get install sbt -y && \ -# sbt test -RUN echo "deb https://dl.bintray.com/sbt/debian /" | sudo tee -a /etc/apt/sources.list.d/sbt.list && \ - curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add && \ - sudo apt-get update && \ - sudo apt-get install sbt - -# Set timezone to UTC by default -RUN ln -sf /usr/share/zoneinfo/Etc/UTC /etc/localtime - -# Use unicode -RUN locale-gen C.UTF-8 || true -ENV LANG=C.UTF-8 - -# install jq -# RUN JQ_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/jq-latest" \ -# && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/jq $JQ_URL \ -# && chmod +x /usr/bin/jq \ -# && jq --version - -# Install Docker - -# Docker.com returns the URL of the latest binary when you hit a directory listing -# We curl this URL and `grep` the version out. -# The output looks like this: - -#> # To install, run the following commands as root: -#> curl -fsSLO https://download.docker.com/linux/static/stable/x86_64/docker-17.05.0-ce.tgz && tar --strip-components=1 -xvzf docker-17.05.0-ce.tgz -C /usr/local/bin -#> -#> # Then start docker in daemon mode: -#> /usr/local/bin/dockerd - -# RUN set -ex \ -# && export DOCKER_VERSION=$(curl --silent --fail --retry 3 https://download.docker.com/linux/static/stable/x86_64/ | grep -o -e 'docker-[.0-9]*-ce\.tgz' | sort -r | head -n 1) \ -# && DOCKER_URL="https://download.docker.com/linux/static/stable/x86_64/${DOCKER_VERSION}" \ -# && echo Docker URL: $DOCKER_URL \ -# && curl --silent --show-error --location --fail --retry 3 --output /tmp/docker.tgz "${DOCKER_URL}" \ -# && ls -lha /tmp/docker.tgz \ -# && tar -xz -C /tmp -f /tmp/docker.tgz \ -# && mv /tmp/docker/* /usr/bin \ -# && rm -rf /tmp/docker /tmp/docker.tgz \ -# && which docker \ -# && (docker version || true) - -# docker compose -# RUN COMPOSE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/docker-compose-latest" \ -# && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/docker-compose $COMPOSE_URL \ -# && chmod +x /usr/bin/docker-compose \ -# && docker-compose version - -# install dockerize -# RUN DOCKERIZE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/dockerize-latest.tar.gz" \ -# && curl --silent --show-error --location --fail --retry 3 --output /tmp/dockerize-linux-amd64.tar.gz $DOCKERIZE_URL \ -# && tar -C /usr/local/bin -xzvf /tmp/dockerize-linux-amd64.tar.gz \ -# && rm -rf /tmp/dockerize-linux-amd64.tar.gz \ -# && dockerize --version - -RUN groupadd --gid 3434 riscvuser \ - && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ - && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ - && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep - -# BEGIN IMAGE CUSTOMIZATIONS - -# cacerts from OpenJDK 9-slim to workaround http://bugs.java.com/view_bug.do?bug_id=8189357 -# AND https://github.com/docker-library/openjdk/issues/145 -# -# Created by running: -# docker run --rm openjdk:9-slim cat /etc/ssl/certs/java/cacerts | # aws s3 cp - s3://circle-downloads/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts --acl public-read -# RUN if java -fullversion 2>&1 | grep -q '"9.'; then curl --silent --show-error --location --fail --retry 3 --output /etc/ssl/certs/java/cacerts https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts; fi - -# Install Maven Version: 3.6.3 -# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-maven.tar.gz https://www.apache.org/dist/maven/maven-3/3.6.3/binaries/apache-maven-3.6.3-bin.tar.gz \ -# && tar xf /tmp/apache-maven.tar.gz -C /opt/ \ -# && rm /tmp/apache-maven.tar.gz \ -# && ln -s /opt/apache-maven-* /opt/apache-maven \ -# && /opt/apache-maven/bin/mvn -version - -# Install Ant Version: 1.10.5 -# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-ant.tar.gz https://archive.apache.org/dist/ant/binaries/apache-ant-1.10.5-bin.tar.gz \ -# && tar xf /tmp/apache-ant.tar.gz -C /opt/ \ -# && ln -s /opt/apache-ant-* /opt/apache-ant \ -# && rm -rf /tmp/apache-ant.tar.gz \ -# && /opt/apache-ant/bin/ant -version - -# ENV ANT_HOME=/opt/apache-ant - -# Install Gradle Version: 5.0 -# RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/gradle.zip https://services.gradle.org/distributions/gradle-5.0-bin.zip \ -# && unzip -d /opt /tmp/gradle.zip \ -# && rm /tmp/gradle.zip \ -# && ln -s /opt/gradle-* /opt/gradle \ -# && /opt/gradle/bin/gradle -version - -# Install sbt from https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz -#RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/sbt.tgz https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz \ -# && tar -xzf /tmp/sbt.tgz -C /opt/ \ -# && rm /tmp/sbt.tgz \ -# && /opt/sbt/bin/sbt sbtVersion - -# Install openjfx -RUN apt-get update -RUN apt-get install -y --no-install-recommends openjfx - -# Add build-essential -RUN apt-get install -y build-essential - -# Add RISCV toolchain necessary dependencies -RUN apt-get update -RUN apt-get install -y \ - autoconf \ - automake \ - autotools-dev \ - babeltrace \ - bc \ - curl \ - device-tree-compiler \ - expat \ - flex \ - gawk \ - gperf \ - g++ \ - libexpat-dev \ - libgmp-dev \ - libmpc-dev \ - libmpfr-dev \ - libtool \ - libusb-1.0-0-dev \ - make \ - patchutils \ - pkg-config \ - python3 \ - texinfo \ - zlib1g-dev \ - rsync \ - bison \ - verilator - - -# Use specific bison version to bypass Verilator 4.034 issues -# TODO: When Verilator is bumped, use apt to get newest bison -# RUN wget https://ftp.gnu.org/gnu/bison/bison-3.5.4.tar.gz \ -# && tar -xvf bison-3.5.4.tar.gz \ -# && cd bison-3.5.4 \ -# && ./configure && make && make install - -# Check bison version is 3.5.4 -# RUN bison --version - -# Add minimal QEMU dependencies -RUN apt-get install -y \ - libfdt-dev \ - libglib2.0-dev \ - libpixman-1-dev - -# Install verilator -# RUN git clone http://git.veripool.org/git/verilator \ -# && cd verilator \ -# && git pull \ -# && git checkout v4.034 \ -# && autoconf && ./configure && make && make install - - -# Add HOME environment variable -ENV HOME="/home/riscvuser" - -# Update PATH for RISCV toolchain (note: hardcoded for CircleCI) -ENV RISCV="$HOME/riscv-tools-install" -ENV LD_LIBRARY_PATH="$RISCV/lib" -ENV PATH="$RISCV/bin:$PATH" - -WORKDIR $HOME -USER riscvuser - -# smoke test with path -RUN mvn -version \ - && ant -version \ - && gradle -version \ - && sbt sbtVersion \ - && verilator --version - -# remove extra folders -# RUN rm -rf project/ - -# Install Chipyard -RUN git clone https://github.com/schwarz-em/chipyard.git && \ - cd chipyard && \ - export MAKEFLAGS=-"j $(nproc)" && \ - ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null - -#RUN ls $HOME/chipyard/toolchains/riscv-tools - -#RUN cd chipyard && \ -# printf '\n\n\n31\n1\n20\n' | sudo apt-get install -y #python3-pip python3.6-dev rsync libguestfs-tools expat ctags && #\ - -#RUN sudo apt install debconf-utils && \ -# dpkg-reconfigure keyboard-configuration && \ -# debconf-get-selections | grep keyboard-configuration > #selections.conf && \ -# debconf-set-selections < selections.conf && \ -# dpkg-reconfigure keyboard-configuration -f noninteractive - -# Stopping docker keyboard-config from disrupting ubuntu-req.sh -RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install keyboard-configuration && \ - sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup - - -RUN cd chipyard && \ - ./scripts/ubuntu-req.sh 1>/dev/null - -# Install riscv-tools -RUN cd chipyard && \ - export MAKEFLAGS=-"j $(nproc)" && \./scripts/build-toolchains.sh riscv-tools 1>/dev/null - -#ENTRYPOINT ["sh", "-c", "-l", "cd chipyard && . ./env.sh && #\"$@\"", "-s"] - -WORKDIR $HOME/chipyard -COPY ./entrypoint.sh entrypoint.sh -#USER root -#RUN chmod +x entrypoint.sh -#USER riscvuser -#WORKDIR $HOME -#ENTRYPOINT ["sh", "/home/riscvuser/chipyard/entrypoint.sh"] - -#env_file: ./env.sh - -#SHELL ["/bin/sh", "-c"] - -#RUN cd chipyard && \ - #git submodule update --init --recursive /home/#riscvuser/chipyard/toolchains/riscv-tools/riscv-#isa-sim -# git submodule update --init --recursive /home/riscvuser/#chipyard/toolchains/riscv-tools/riscv-gnu-toolchain - -# Install esp-tools -#RUN cd chipyard && \ -# export MAKEFLAGS=-"j $(nproc)" && \ -# ./scripts/build-toolchains.sh esp-tools 1>/dev/null - - -# END IMAGE CUSTOMIZATIONS - -CMD ["/bin/sh"] From 3ba19bb1a0cbdecf67ee6f70fdfd715d3314ea08 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Sat, 5 Dec 2020 19:04:13 -0800 Subject: [PATCH 014/225] changed permissions of entrypoint.sh From 8300d9cfc4dd087499d1786ec2d480f2c5599deb Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Mon, 11 Jan 2021 17:36:50 -0800 Subject: [PATCH 015/225] removed log files --- aptget-sudo.txt | 231 + no-apget.txt | 70 + no-apt-utils.txt | 3403 ++ verilator-no-env.txt | 68235 +++++++++++++++++++++++++++++++++++++++++ 4 files changed, 71939 insertions(+) create mode 100644 aptget-sudo.txt create mode 100644 no-apget.txt create mode 100644 no-apt-utils.txt create mode 100644 verilator-no-env.txt diff --git a/aptget-sudo.txt b/aptget-sudo.txt new file mode 100644 index 0000000000..5dc12d2945 --- /dev/null +++ b/aptget-sudo.txt @@ -0,0 +1,231 @@ +Script started on 2020-12-22 18:21:21-0800 +[schwarzem@a6:/scratch/schwarzem/chipyard]$ docker buidld . +double free or corruption (out) +SIGABRT: abort +PC=0x7f17c6ce2fb7 m=0 sigcode=18446744073709551610 +signal arrived during cgo execution + +goroutine 1 [syscall, locked to thread]: +runtime.cgocall(0x4afd50, 0xc420061cc0, 0xc420061ce8) + /usr/lib/go-1.8/src/runtime/cgocall.go:131 +0xe2 fp=0xc420061c90 sp=0xc420061c50 +github.com/docker/docker-credential-helpers/secretservice._Cfunc_free(0x1fcd990) + github.com/docker/docker-credential-helpers/secretservice/_obj/_cgo_gotypes.go:111 +0x41 fp=0xc420061cc0 sp=0xc420061c90 +github.com/docker/docker-credential-helpers/secretservice.Secretservice.List.func5(0x1fcd990) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/secretservice/secretservice_linux.go:96 +0x60 fp=0xc420061cf8 sp=0xc420061cc0 +github.com/docker/docker-credential-helpers/secretservice.Secretservice.List(0x0, 0x756060, 0xc420016350) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/secretservice/secretservice_linux.go:97 +0x217 fp=0xc420061da0 sp=0xc420061cf8 +github.com/docker/docker-credential-helpers/secretservice.(*Secretservice).List(0x77e548, 0xc420061e88, 0x410022, 0xc4200162a0) + :4 +0x46 fp=0xc420061de0 sp=0xc420061da0 +github.com/docker/docker-credential-helpers/credentials.List(0x756ba0, 0x77e548, 0x7560e0, 0xc42000e018, 0x0, 0x10) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:145 +0x3e fp=0xc420061e68 sp=0xc420061de0 +github.com/docker/docker-credential-helpers/credentials.HandleCommand(0x756ba0, 0x77e548, 0x7ffd158c1b1c, 0x4, 0x7560a0, 0xc42000e010, 0x7560e0, 0xc42000e018, 0x40e398, 0x4d35c0) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:60 +0x16d fp=0xc420061ed8 sp=0xc420061e68 +github.com/docker/docker-credential-helpers/credentials.Serve(0x756ba0, 0x77e548) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:41 +0x1cb fp=0xc420061f58 sp=0xc420061ed8 +main.main() + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/secretservice/cmd/main_linux.go:9 +0x4f fp=0xc420061f88 sp=0xc420061f58 +runtime.main() + /usr/lib/go-1.8/src/runtime/proc.go:185 +0x20a fp=0xc420061fe0 sp=0xc420061f88 +runtime.goexit() + /usr/lib/go-1.8/src/runtime/asm_amd64.s:2197 +0x1 fp=0xc420061fe8 sp=0xc420061fe0 + +goroutine 17 [syscall, locked to thread]: +runtime.goexit() + /usr/lib/go-1.8/src/runtime/asm_amd64.s:2197 +0x1 + +rax 0x0 +rbx 0x7ffd158c0cc0 +rcx 0x7f17c6ce2fb7 +rdx 0x0 +rdi 0x2 +rsi 0x7ffd158c0a50 +rbp 0x7ffd158c0dc0 +rsp 0x7ffd158c0a50 +r8 0x0 +r9 0x7ffd158c0a50 +r10 0x8 +r11 0x246 +r12 0x7ffd158c0cc0 +r13 0x1000 +r14 0x0 +r15 0x30 +rip 0x7f17c6ce2fb7 +rflags 0x246 +cs 0x33 +fs 0x0 +gs 0x0 +Sending build context to Docker daemon 557.1kB Sending build context to Docker daemon 7.515MB +Step 1/12 : FROM ubuntu:18.04 + ---> 2c047404e52d +Step 2/12 : MAINTAINER jacobgadikian@gmail.com + ---> Using cache + ---> eada3313413a +Step 3/12 : RUN apt-get update && apt-get upgrade -y && apt-get install -y sudo + ---> Running in c27755861e07 +Get:1 http://security.ubuntu.com/ubuntu bionic-security InRelease [88.7 kB] +Get:2 http://archive.ubuntu.com/ubuntu bionic InRelease [242 kB] +Get:3 http://security.ubuntu.com/ubuntu bionic-security/restricted amd64 Packages [237 kB] +Get:4 http://security.ubuntu.com/ubuntu bionic-security/multiverse amd64 Packages [15.3 kB] +Get:5 http://security.ubuntu.com/ubuntu bionic-security/main amd64 Packages [1816 kB] +Get:6 http://archive.ubuntu.com/ubuntu bionic-updates InRelease [88.7 kB] +Get:7 http://security.ubuntu.com/ubuntu bionic-security/universe amd64 Packages [1372 kB] +Get:8 http://archive.ubuntu.com/ubuntu bionic-backports InRelease [74.6 kB] +Get:9 http://archive.ubuntu.com/ubuntu bionic/main amd64 Packages [1344 kB] +Get:10 http://archive.ubuntu.com/ubuntu bionic/restricted amd64 Packages [13.5 kB] +Get:11 http://archive.ubuntu.com/ubuntu bionic/multiverse amd64 Packages [186 kB] +Get:12 http://archive.ubuntu.com/ubuntu bionic/universe amd64 Packages [11.3 MB] +Get:13 http://archive.ubuntu.com/ubuntu bionic-updates/multiverse amd64 Packages [53.8 kB] +Get:14 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 Packages [2136 kB] +Get:15 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 Packages [2244 kB] +Get:16 http://archive.ubuntu.com/ubuntu bionic-updates/restricted amd64 Packages [266 kB] +Get:17 http://archive.ubuntu.com/ubuntu bionic-backports/main amd64 Packages [11.3 kB] +Get:18 http://archive.ubuntu.com/ubuntu bionic-backports/universe amd64 Packages [11.4 kB] +Fetched 21.5 MB in 4s (6089 kB/s) +Reading package lists... +Reading package lists... +Building dependency tree... +Reading state information... +Calculating upgrade... +The following packages will be upgraded: + apt libapt-pkg5.0 libc-bin libc6 +4 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. +Need to get 5482 kB of archives. +After this operation, 0 B of additional disk space will be used. +Get:1 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libc6 amd64 2.27-3ubuntu1.4 [2832 kB] +Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libc-bin amd64 2.27-3ubuntu1.4 [643 kB] +Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libapt-pkg5.0 amd64 1.6.12ubuntu0.2 [806 kB] +Get:4 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 apt amd64 1.6.12ubuntu0.2 [1201 kB] +debconf: delaying package configuration, since apt-utils is not installed +Fetched 5482 kB in 1s (3663 kB/s) +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) +Preparing to unpack .../libc6_2.27-3ubuntu1.4_amd64.deb ... +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +debconf: unable to initialize frontend: Readline +debconf: (Can't locate Term/ReadLine.pm in @INC (you may need to install the Term::ReadLine module) (@INC contains: /etc/perl /usr/local/lib/x86_64-linux-gnu/perl/5.26.1 /usr/local/share/perl/5.26.1 /usr/lib/x86_64-linux-gnu/perl5/5.26 /usr/share/perl5 /usr/lib/x86_64-linux-gnu/perl/5.26 /usr/share/perl/5.26 /usr/local/lib/site_perl /usr/lib/x86_64-linux-gnu/perl-base) at /usr/share/perl5/Debconf/FrontEnd/Readline.pm line 7.) +debconf: falling back to frontend: Teletype +Unpacking libc6:amd64 (2.27-3ubuntu1.4) over (2.27-3ubuntu1.3) ... +Setting up libc6:amd64 (2.27-3ubuntu1.4) ... +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +debconf: unable to initialize frontend: Readline +debconf: (Can't locate Term/ReadLine.pm in @INC (you may need to install the Term::ReadLine module) (@INC contains: /etc/perl /usr/local/lib/x86_64-linux-gnu/perl/5.26.1 /usr/local/share/perl/5.26.1 /usr/lib/x86_64-linux-gnu/perl5/5.26 /usr/share/perl5 /usr/lib/x86_64-linux-gnu/perl/5.26 /usr/share/perl/5.26 /usr/local/lib/site_perl /usr/lib/x86_64-linux-gnu/perl-base) at /usr/share/perl5/Debconf/FrontEnd/Readline.pm line 7.) +debconf: falling back to frontend: Teletype +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) +Preparing to unpack .../libc-bin_2.27-3ubuntu1.4_amd64.deb ... +Unpacking libc-bin (2.27-3ubuntu1.4) over (2.27-3ubuntu1.3) ... +Setting up libc-bin (2.27-3ubuntu1.4) ... +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) +Preparing to unpack .../libapt-pkg5.0_1.6.12ubuntu0.2_amd64.deb ... +Unpacking libapt-pkg5.0:amd64 (1.6.12ubuntu0.2) over (1.6.12ubuntu0.1) ... +Setting up libapt-pkg5.0:amd64 (1.6.12ubuntu0.2) ... +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) +Preparing to unpack .../apt_1.6.12ubuntu0.2_amd64.deb ... +Unpacking apt (1.6.12ubuntu0.2) over (1.6.12ubuntu0.1) ... +Setting up apt (1.6.12ubuntu0.2) ... +Processing triggers for libc-bin (2.27-3ubuntu1.4) ... +Reading package lists... +Building dependency tree... +Reading state information... +The following NEW packages will be installed: + sudo +0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. +Need to get 428 kB of archives. +After this operation, 1765 kB of additional disk space will be used. +Get:1 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 sudo amd64 1.8.21p2-3ubuntu1.3 [428 kB] +debconf: delaying package configuration, since apt-utils is not installed +Fetched 428 kB in 1s (441 kB/s) +Selecting previously unselected package sudo. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) +Preparing to unpack .../sudo_1.8.21p2-3ubuntu1.3_amd64.deb ... +Unpacking sudo (1.8.21p2-3ubuntu1.3) ... +Setting up sudo (1.8.21p2-3ubuntu1.3) ... +Removing intermediate container c27755861e07 + ---> e698584146a9 +Step 4/12 : RUN groupadd --gid 3434 riscvuser && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep + ---> Running in 1e53f8d31d4e +Removing intermediate container 1e53f8d31d4e + ---> 15fd862c9209 +Step 5/12 : WORKDIR /home/riscvuser + ---> Running in b8f02acf19fc +Removing intermediate container b8f02acf19fc + ---> 02954f57aa07 +Step 6/12 : USER riscvuser + ---> Running in 798c5fb253c3 +Removing intermediate container 798c5fb253c3 + ---> 38b2cbaf0b9d +Step 7/12 : RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install -y keyboard-configuration && sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup + ---> Running in c7d1326a30e1 +Reading package lists... +Building dependency tree... +Reading state information... +The following additional packages will be installed: + liblocale-gettext-perl +The following NEW packages will be installed: + keyboard-configuration liblocale-gettext-perl +0 upgraded, 2 newly installed, 0 to remove and 0 not upgraded. +Need to get 386 kB of archives. +After this operation, 2675 kB of additional disk space will be used. +Get:1 http://archive.ubuntu.com/ubuntu bionic/main amd64 liblocale-gettext-perl amd64 1.07-3build2 [16.6 kB] +Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 keyboard-configuration all 1.178ubuntu2.9 [369 kB] +debconf: delaying package configuration, since apt-utils is not installed +Fetched 386 kB in 1s (366 kB/s) +Selecting previously unselected package liblocale-gettext-perl. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4100 files and directories currently installed.) +Preparing to unpack .../liblocale-gettext-perl_1.07-3build2_amd64.deb ... +Unpacking liblocale-gettext-perl (1.07-3build2) ... +Selecting previously unselected package keyboard-configuration. +Preparing to unpack .../keyboard-configuration_1.178ubuntu2.9_all.deb ... +Unpacking keyboard-configuration (1.178ubuntu2.9) ... +Setting up liblocale-gettext-perl (1.07-3build2) ... +Setting up keyboard-configuration (1.178ubuntu2.9) ... +Your console font configuration will be updated the next time your system +boots. If you want to update it now, run 'setupcon' from a virtual console. +Reading package lists... +Building dependency tree... +Reading state information... +The following additional packages will be installed: + console-setup-linux kbd xkb-data +Suggested packages: + locales +The following NEW packages will be installed: + console-setup console-setup-linux kbd xkb-data +0 upgraded, 4 newly installed, 0 to remove and 0 not upgraded. +Need to get 1627 kB of archives. +After this operation, 6256 kB of additional disk space will be used. +Get:1 http://archive.ubuntu.com/ubuntu bionic/main amd64 kbd amd64 2.0.4-2ubuntu1 [215 kB] +Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 console-setup-linux all 1.178ubuntu2.9 [982 kB] +Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 xkb-data all 2.23.1-1ubuntu1.18.04.1 [325 kB] +Get:4 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 console-setup all 1.178ubuntu2.9 [105 kB] +debconf: delaying package configuration, since apt-utils is not installed +Fetched 1627 kB in 1s (1166 kB/s) +Selecting previously unselected package kbd. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4139 files and directories currently installed.) +Preparing to unpack .../kbd_2.0.4-2ubuntu1_amd64.deb ... +Unpacking kbd (2.0.4-2ubuntu1) ... +Selecting previously unselected package console-setup-linux. +Preparing to unpack .../console-setup-linux_1.178ubuntu2.9_all.deb ... +Unpacking console-setup-linux (1.178ubuntu2.9) ... +Selecting previously unselected package xkb-data. +Preparing to unpack .../xkb-data_2.23.1-1ubuntu1.18.04.1_all.deb ... +Unpacking xkb-data (2.23.1-1ubuntu1.18.04.1) ... +Selecting previously unselected package console-setup. +Preparing to unpack .../console-setup_1.178ubuntu2.9_all.deb ... +Unpacking console-setup (1.178ubuntu2.9) ... +Setting up xkb-data (2.23.1-1ubuntu1.18.04.1) ... +Setting up console-setup-linux (1.178ubuntu2.9) ... +update-alternatives: using /etc/console-setup/vtrgb to provide /etc/vtrgb (vtrgb) in auto mode +Setting up console-setup (1.178ubuntu2.9) ... +Setting up kbd (2.0.4-2ubuntu1) ... +Removing intermediate container c7d1326a30e1 + ---> e7015c0130f5 +Step 8/12 : RUN git clone https://github.com/schwarz-em/chipyard.git && cd chipyard && ./scripts/ubuntu-req.sh 1>/dev/null + ---> Running in f5878ae67d8a +/bin/sh: 1: git: not found +The command '/bin/sh -c git clone https://github.com/schwarz-em/chipyard.git && cd chipyard && ./scripts/ubuntu-req.sh 1>/dev/null' returned a non-zero code: 127 +[schwarzem@a6:/scratch/schwarzem/chipyard]$ docker build .sciript no-tapaptaptutils-utilsexit +exit + +Script done on 2020-12-22 18:25:28-0800 diff --git a/no-apget.txt b/no-apget.txt new file mode 100644 index 0000000000..78d690a15c --- /dev/null +++ b/no-apget.txt @@ -0,0 +1,70 @@ +Script started on 2020-12-22 18:19:10-0800 +[schwarzem@a6:/scratch/schwarzem/chipyard]$ docker build . +double free or corruption (out) +SIGABRT: abort +PC=0x7fdf84420fb7 m=0 sigcode=18446744073709551610 +signal arrived during cgo execution + +goroutine 1 [syscall, locked to thread]: +runtime.cgocall(0x4afd50, 0xc420061cc0, 0xc420061ce8) + /usr/lib/go-1.8/src/runtime/cgocall.go:131 +0xe2 fp=0xc420061c90 sp=0xc420061c50 +github.com/docker/docker-credential-helpers/secretservice._Cfunc_free(0x1a91990) + github.com/docker/docker-credential-helpers/secretservice/_obj/_cgo_gotypes.go:111 +0x41 fp=0xc420061cc0 sp=0xc420061c90 +github.com/docker/docker-credential-helpers/secretservice.Secretservice.List.func5(0x1a91990) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/secretservice/secretservice_linux.go:96 +0x60 fp=0xc420061cf8 sp=0xc420061cc0 +github.com/docker/docker-credential-helpers/secretservice.Secretservice.List(0x0, 0x756060, 0xc420016350) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/secretservice/secretservice_linux.go:97 +0x217 fp=0xc420061da0 sp=0xc420061cf8 +github.com/docker/docker-credential-helpers/secretservice.(*Secretservice).List(0x77e548, 0xc420061e88, 0x410022, 0xc4200162a0) + :4 +0x46 fp=0xc420061de0 sp=0xc420061da0 +github.com/docker/docker-credential-helpers/credentials.List(0x756ba0, 0x77e548, 0x7560e0, 0xc42000e018, 0x0, 0x10) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:145 +0x3e fp=0xc420061e68 sp=0xc420061de0 +github.com/docker/docker-credential-helpers/credentials.HandleCommand(0x756ba0, 0x77e548, 0x7ffdff7d8b1c, 0x4, 0x7560a0, 0xc42000e010, 0x7560e0, 0xc42000e018, 0x40e398, 0x4d35c0) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:60 +0x16d fp=0xc420061ed8 sp=0xc420061e68 +github.com/docker/docker-credential-helpers/credentials.Serve(0x756ba0, 0x77e548) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:41 +0x1cb fp=0xc420061f58 sp=0xc420061ed8 +main.main() + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/secretservice/cmd/main_linux.go:9 +0x4f fp=0xc420061f88 sp=0xc420061f58 +runtime.main() + /usr/lib/go-1.8/src/runtime/proc.go:185 +0x20a fp=0xc420061fe0 sp=0xc420061f88 +runtime.goexit() + /usr/lib/go-1.8/src/runtime/asm_amd64.s:2197 +0x1 fp=0xc420061fe8 sp=0xc420061fe0 + +goroutine 17 [syscall, locked to thread]: +runtime.goexit() + /usr/lib/go-1.8/src/runtime/asm_amd64.s:2197 +0x1 + +rax 0x0 +rbx 0x7ffdff7d79c0 +rcx 0x7fdf84420fb7 +rdx 0x0 +rdi 0x2 +rsi 0x7ffdff7d7750 +rbp 0x7ffdff7d7ac0 +rsp 0x7ffdff7d7750 +r8 0x0 +r9 0x7ffdff7d7750 +r10 0x8 +r11 0x246 +r12 0x7ffdff7d79c0 +r13 0x1000 +r14 0x0 +r15 0x30 +rip 0x7fdf84420fb7 +rflags 0x246 +cs 0x33 +fs 0x0 +gs 0x0 +Sending build context to Docker daemon 557.1kB Sending build context to Docker daemon 7.51MB +Step 1/11 : FROM ubuntu:18.04 + ---> 2c047404e52d +Step 2/11 : MAINTAINER jacobgadikian@gmail.com + ---> Using cache + ---> eada3313413a +Step 3/11 : RUN groupadd --gid 3434 riscvuser && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep + ---> Running in e95c9de803d8 +/bin/sh: 1: cannot create /etc/sudoers.d/50-riscvuser: Directory nonexistent +The command '/bin/sh -c groupadd --gid 3434 riscvuser && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep' returned a non-zero code: 2 +[schwarzem@a6:/scratch/schwarzem/chipyard]$ exit +exit + +Script done on 2020-12-22 18:20:07-0800 diff --git a/no-apt-utils.txt b/no-apt-utils.txt new file mode 100644 index 0000000000..0a52582c5b --- /dev/null +++ b/no-apt-utils.txt @@ -0,0 +1,3403 @@ +Script started on 2020-12-22 18:25:39-0800 +[schwarzem@a6:/scratch/schwarzem/chipyard]$ docker build . +double free or corruption (out) +SIGABRT: abort +PC=0x7f9d7fa1bfb7 m=0 sigcode=18446744073709551610 +signal arrived during cgo execution + +goroutine 1 [syscall, locked to thread]: +runtime.cgocall(0x4afd50, 0xc420061cc0, 0xc420061ce8) + /usr/lib/go-1.8/src/runtime/cgocall.go:131 +0xe2 fp=0xc420061c90 sp=0xc420061c50 +github.com/docker/docker-credential-helpers/secretservice._Cfunc_free(0x1a0f990) + github.com/docker/docker-credential-helpers/secretservice/_obj/_cgo_gotypes.go:111 +0x41 fp=0xc420061cc0 sp=0xc420061c90 +github.com/docker/docker-credential-helpers/secretservice.Secretservice.List.func5(0x1a0f990) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/secretservice/secretservice_linux.go:96 +0x60 fp=0xc420061cf8 sp=0xc420061cc0 +github.com/docker/docker-credential-helpers/secretservice.Secretservice.List(0x0, 0x756060, 0xc420016350) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/secretservice/secretservice_linux.go:97 +0x217 fp=0xc420061da0 sp=0xc420061cf8 +github.com/docker/docker-credential-helpers/secretservice.(*Secretservice).List(0x77e548, 0xc420061e88, 0x410022, 0xc4200162a0) + :4 +0x46 fp=0xc420061de0 sp=0xc420061da0 +github.com/docker/docker-credential-helpers/credentials.List(0x756ba0, 0x77e548, 0x7560e0, 0xc42000e018, 0x0, 0x10) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:145 +0x3e fp=0xc420061e68 sp=0xc420061de0 +github.com/docker/docker-credential-helpers/credentials.HandleCommand(0x756ba0, 0x77e548, 0x7ffe380a4b1c, 0x4, 0x7560a0, 0xc42000e010, 0x7560e0, 0xc42000e018, 0x40e398, 0x4d35c0) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:60 +0x16d fp=0xc420061ed8 sp=0xc420061e68 +github.com/docker/docker-credential-helpers/credentials.Serve(0x756ba0, 0x77e548) + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:41 +0x1cb fp=0xc420061f58 sp=0xc420061ed8 +main.main() + /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/secretservice/cmd/main_linux.go:9 +0x4f fp=0xc420061f88 sp=0xc420061f58 +runtime.main() + /usr/lib/go-1.8/src/runtime/proc.go:185 +0x20a fp=0xc420061fe0 sp=0xc420061f88 +runtime.goexit() + /usr/lib/go-1.8/src/runtime/asm_amd64.s:2197 +0x1 fp=0xc420061fe8 sp=0xc420061fe0 + +goroutine 17 [syscall, locked to thread]: +runtime.goexit() + /usr/lib/go-1.8/src/runtime/asm_amd64.s:2197 +0x1 + +rax 0x0 +rbx 0x7ffe380a2dd0 +rcx 0x7f9d7fa1bfb7 +rdx 0x0 +rdi 0x2 +rsi 0x7ffe380a2b60 +rbp 0x7ffe380a2ed0 +rsp 0x7ffe380a2b60 +r8 0x0 +r9 0x7ffe380a2b60 +r10 0x8 +r11 0x246 +r12 0x7ffe380a2dd0 +r13 0x1000 +r14 0x0 +r15 0x30 +rip 0x7f9d7fa1bfb7 +rflags 0x246 +cs 0x33 +fs 0x0 +gs 0x0 +Sending build context to Docker daemon 557.1kB Sending build context to Docker daemon 7.535MB +Step 1/12 : FROM ubuntu:18.04 + ---> 2c047404e52d +Step 2/12 : MAINTAINER jacobgadikian@gmail.com + ---> Using cache + ---> eada3313413a +Step 3/12 : RUN apt-get update && apt-get upgrade -y && apt-get install -y curl git sudo + ---> Running in 1c41b0062191 +Get:1 http://security.ubuntu.com/ubuntu bionic-security InRelease [88.7 kB] +Get:2 http://archive.ubuntu.com/ubuntu bionic InRelease [242 kB] +Get:3 http://security.ubuntu.com/ubuntu bionic-security/main amd64 Packages [1816 kB] +Get:4 http://archive.ubuntu.com/ubuntu bionic-updates InRelease [88.7 kB] +Get:5 http://security.ubuntu.com/ubuntu bionic-security/restricted amd64 Packages [237 kB] +Get:6 http://security.ubuntu.com/ubuntu bionic-security/universe amd64 Packages [1372 kB] +Get:7 http://security.ubuntu.com/ubuntu bionic-security/multiverse amd64 Packages [15.3 kB] +Get:8 http://archive.ubuntu.com/ubuntu bionic-backports InRelease [74.6 kB] +Get:9 http://archive.ubuntu.com/ubuntu bionic/universe amd64 Packages [11.3 MB] +Get:10 http://archive.ubuntu.com/ubuntu bionic/restricted amd64 Packages [13.5 kB] +Get:11 http://archive.ubuntu.com/ubuntu bionic/main amd64 Packages [1344 kB] +Get:12 http://archive.ubuntu.com/ubuntu bionic/multiverse amd64 Packages [186 kB] +Get:13 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 Packages [2136 kB] +Get:14 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 Packages [2244 kB] +Get:15 http://archive.ubuntu.com/ubuntu bionic-updates/multiverse amd64 Packages [53.8 kB] +Get:16 http://archive.ubuntu.com/ubuntu bionic-updates/restricted amd64 Packages [266 kB] +Get:17 http://archive.ubuntu.com/ubuntu bionic-backports/universe amd64 Packages [11.4 kB] +Get:18 http://archive.ubuntu.com/ubuntu bionic-backports/main amd64 Packages [11.3 kB] +Fetched 21.5 MB in 3s (6280 kB/s) +Reading package lists... +Reading package lists... +Building dependency tree... +Reading state information... +Calculating upgrade... +The following packages will be upgraded: + apt libapt-pkg5.0 libc-bin libc6 +4 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. +Need to get 5482 kB of archives. +After this operation, 0 B of additional disk space will be used. +Get:1 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libc6 amd64 2.27-3ubuntu1.4 [2832 kB] +Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libc-bin amd64 2.27-3ubuntu1.4 [643 kB] +Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libapt-pkg5.0 amd64 1.6.12ubuntu0.2 [806 kB] +Get:4 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 apt amd64 1.6.12ubuntu0.2 [1201 kB] +debconf: delaying package configuration, since apt-utils is not installed +Fetched 5482 kB in 2s (3510 kB/s) +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) +Preparing to unpack .../libc6_2.27-3ubuntu1.4_amd64.deb ... +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +debconf: unable to initialize frontend: Readline +debconf: (Can't locate Term/ReadLine.pm in @INC (you may need to install the Term::ReadLine module) (@INC contains: /etc/perl /usr/local/lib/x86_64-linux-gnu/perl/5.26.1 /usr/local/share/perl/5.26.1 /usr/lib/x86_64-linux-gnu/perl5/5.26 /usr/share/perl5 /usr/lib/x86_64-linux-gnu/perl/5.26 /usr/share/perl/5.26 /usr/local/lib/site_perl /usr/lib/x86_64-linux-gnu/perl-base) at /usr/share/perl5/Debconf/FrontEnd/Readline.pm line 7.) +debconf: falling back to frontend: Teletype +Unpacking libc6:amd64 (2.27-3ubuntu1.4) over (2.27-3ubuntu1.3) ... +Setting up libc6:amd64 (2.27-3ubuntu1.4) ... +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +debconf: unable to initialize frontend: Readline +debconf: (Can't locate Term/ReadLine.pm in @INC (you may need to install the Term::ReadLine module) (@INC contains: /etc/perl /usr/local/lib/x86_64-linux-gnu/perl/5.26.1 /usr/local/share/perl/5.26.1 /usr/lib/x86_64-linux-gnu/perl5/5.26 /usr/share/perl5 /usr/lib/x86_64-linux-gnu/perl/5.26 /usr/share/perl/5.26 /usr/local/lib/site_perl /usr/lib/x86_64-linux-gnu/perl-base) at /usr/share/perl5/Debconf/FrontEnd/Readline.pm line 7.) +debconf: falling back to frontend: Teletype +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) +Preparing to unpack .../libc-bin_2.27-3ubuntu1.4_amd64.deb ... +Unpacking libc-bin (2.27-3ubuntu1.4) over (2.27-3ubuntu1.3) ... +Setting up libc-bin (2.27-3ubuntu1.4) ... +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) +Preparing to unpack .../libapt-pkg5.0_1.6.12ubuntu0.2_amd64.deb ... +Unpacking libapt-pkg5.0:amd64 (1.6.12ubuntu0.2) over (1.6.12ubuntu0.1) ... +Setting up libapt-pkg5.0:amd64 (1.6.12ubuntu0.2) ... +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) +Preparing to unpack .../apt_1.6.12ubuntu0.2_amd64.deb ... +Unpacking apt (1.6.12ubuntu0.2) over (1.6.12ubuntu0.1) ... +Setting up apt (1.6.12ubuntu0.2) ... +Processing triggers for libc-bin (2.27-3ubuntu1.4) ... +Reading package lists... +Building dependency tree... +Reading state information... +The following additional packages will be installed: + ca-certificates git-man krb5-locales less libasn1-8-heimdal libbsd0 + libcurl3-gnutls libcurl4 libedit2 liberror-perl libexpat1 libgdbm-compat4 + libgdbm5 libgssapi-krb5-2 libgssapi3-heimdal libhcrypto4-heimdal + libheimbase1-heimdal libheimntlm0-heimdal libhx509-5-heimdal libk5crypto3 + libkeyutils1 libkrb5-26-heimdal libkrb5-3 libkrb5support0 libldap-2.4-2 + libldap-common libnghttp2-14 libperl5.26 libpsl5 libroken18-heimdal librtmp1 + libsasl2-2 libsasl2-modules libsasl2-modules-db libsqlite3-0 libssl1.0.0 + libssl1.1 libwind0-heimdal libx11-6 libx11-data libxau6 libxcb1 libxdmcp6 + libxext6 libxmuu1 multiarch-support netbase openssh-client openssl patch + perl perl-modules-5.26 publicsuffix xauth +Suggested packages: + gettext-base git-daemon-run | git-daemon-sysvinit git-doc git-el git-email + git-gui gitk gitweb git-cvs git-mediawiki git-svn gdbm-l10n krb5-doc + krb5-user libsasl2-modules-gssapi-mit | libsasl2-modules-gssapi-heimdal + libsasl2-modules-ldap libsasl2-modules-otp libsasl2-modules-sql keychain + libpam-ssh monkeysphere ssh-askpass ed diffutils-doc perl-doc + libterm-readline-gnu-perl | libterm-readline-perl-perl make +The following NEW packages will be installed: + ca-certificates curl git git-man krb5-locales less libasn1-8-heimdal libbsd0 + libcurl3-gnutls libcurl4 libedit2 liberror-perl libexpat1 libgdbm-compat4 + libgdbm5 libgssapi-krb5-2 libgssapi3-heimdal libhcrypto4-heimdal + libheimbase1-heimdal libheimntlm0-heimdal libhx509-5-heimdal libk5crypto3 + libkeyutils1 libkrb5-26-heimdal libkrb5-3 libkrb5support0 libldap-2.4-2 + libldap-common libnghttp2-14 libperl5.26 libpsl5 libroken18-heimdal librtmp1 + libsasl2-2 libsasl2-modules libsasl2-modules-db libsqlite3-0 libssl1.0.0 + libssl1.1 libwind0-heimdal libx11-6 libx11-data libxau6 libxcb1 libxdmcp6 + libxext6 libxmuu1 multiarch-support netbase openssh-client openssl patch + perl perl-modules-5.26 publicsuffix sudo xauth +0 upgraded, 57 newly installed, 0 to remove and 0 not upgraded. +Need to get 19.7 MB of archives. +After this operation, 105 MB of additional disk space will be used. +Get:1 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 multiarch-support amd64 2.27-3ubuntu1.4 [6944 B] +Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libxau6 amd64 1:1.0.8-1ubuntu1 [7556 B] +Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libbsd0 amd64 0.8.7-1ubuntu0.1 [41.6 kB] +Get:4 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxdmcp6 amd64 1:1.1.2-3 [10.7 kB] +Get:5 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libxcb1 amd64 1.13-2~ubuntu18.04 [45.5 kB] +Get:6 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libx11-data all 2:1.6.4-3ubuntu0.3 [114 kB] +Get:7 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libx11-6 amd64 2:1.6.4-3ubuntu0.3 [571 kB] +Get:8 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxext6 amd64 2:1.3.3-1 [29.4 kB] +Get:9 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 perl-modules-5.26 all 5.26.1-6ubuntu0.5 [2762 kB] +Get:10 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgdbm5 amd64 1.14.1-6 [26.0 kB] +Get:11 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgdbm-compat4 amd64 1.14.1-6 [6084 B] +Get:12 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libperl5.26 amd64 5.26.1-6ubuntu0.5 [3534 kB] +Get:13 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 perl amd64 5.26.1-6ubuntu0.5 [201 kB] +Get:14 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libssl1.1 amd64 1.1.1-1ubuntu2.1~18.04.7 [1301 kB] +Get:15 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 openssl amd64 1.1.1-1ubuntu2.1~18.04.7 [614 kB] +Get:16 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 ca-certificates all 20201027ubuntu0.18.04.1 [153 kB] +Get:17 http://archive.ubuntu.com/ubuntu bionic/main amd64 less amd64 487-0.1 [112 kB] +Get:18 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libexpat1 amd64 2.2.5-3ubuntu0.2 [80.5 kB] +Get:19 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libsqlite3-0 amd64 3.22.0-1ubuntu0.4 [499 kB] +Get:20 http://archive.ubuntu.com/ubuntu bionic/main amd64 netbase all 5.4 [12.7 kB] +Get:21 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 sudo amd64 1.8.21p2-3ubuntu1.3 [428 kB] +Get:22 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 krb5-locales all 1.16-2ubuntu0.2 [13.4 kB] +Get:23 http://archive.ubuntu.com/ubuntu bionic/main amd64 libedit2 amd64 3.1-20170329-1 [76.9 kB] +Get:24 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libkrb5support0 amd64 1.16-2ubuntu0.2 [30.8 kB] +Get:25 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libk5crypto3 amd64 1.16-2ubuntu0.2 [85.5 kB] +Get:26 http://archive.ubuntu.com/ubuntu bionic/main amd64 libkeyutils1 amd64 1.5.9-9.2ubuntu2 [8720 B] +Get:27 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libkrb5-3 amd64 1.16-2ubuntu0.2 [279 kB] +Get:28 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libgssapi-krb5-2 amd64 1.16-2ubuntu0.2 [122 kB] +Get:29 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpsl5 amd64 0.19.1-5build1 [41.8 kB] +Get:30 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libssl1.0.0 amd64 1.0.2n-1ubuntu5.5 [1088 kB] +Get:31 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxmuu1 amd64 2:1.1.2-2 [9674 B] +Get:32 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 openssh-client amd64 1:7.6p1-4ubuntu0.3 [614 kB] +Get:33 http://archive.ubuntu.com/ubuntu bionic/main amd64 publicsuffix all 20180223.1310-1 [97.6 kB] +Get:34 http://archive.ubuntu.com/ubuntu bionic/main amd64 xauth amd64 1:1.0.10-1 [24.6 kB] +Get:35 http://archive.ubuntu.com/ubuntu bionic/main amd64 libroken18-heimdal amd64 7.5.0+dfsg-1 [41.3 kB] +Get:36 http://archive.ubuntu.com/ubuntu bionic/main amd64 libasn1-8-heimdal amd64 7.5.0+dfsg-1 [175 kB] +Get:37 http://archive.ubuntu.com/ubuntu bionic/main amd64 libheimbase1-heimdal amd64 7.5.0+dfsg-1 [29.3 kB] +Get:38 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhcrypto4-heimdal amd64 7.5.0+dfsg-1 [85.9 kB] +Get:39 http://archive.ubuntu.com/ubuntu bionic/main amd64 libwind0-heimdal amd64 7.5.0+dfsg-1 [47.8 kB] +Get:40 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhx509-5-heimdal amd64 7.5.0+dfsg-1 [107 kB] +Get:41 http://archive.ubuntu.com/ubuntu bionic/main amd64 libkrb5-26-heimdal amd64 7.5.0+dfsg-1 [206 kB] +Get:42 http://archive.ubuntu.com/ubuntu bionic/main amd64 libheimntlm0-heimdal amd64 7.5.0+dfsg-1 [14.8 kB] +Get:43 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgssapi3-heimdal amd64 7.5.0+dfsg-1 [96.5 kB] +Get:44 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libsasl2-modules-db amd64 2.1.27~101-g0780600+dfsg-3ubuntu2.1 [14.8 kB] +Get:45 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libsasl2-2 amd64 2.1.27~101-g0780600+dfsg-3ubuntu2.1 [49.2 kB] +Get:46 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libldap-common all 2.4.45+dfsg-1ubuntu1.8 [17.0 kB] +Get:47 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libldap-2.4-2 amd64 2.4.45+dfsg-1ubuntu1.8 [155 kB] +Get:48 http://archive.ubuntu.com/ubuntu bionic/main amd64 libnghttp2-14 amd64 1.30.0-1ubuntu1 [77.8 kB] +Get:49 http://archive.ubuntu.com/ubuntu bionic/main amd64 librtmp1 amd64 2.4+20151223.gitfa8646d.1-1 [54.2 kB] +Get:50 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libcurl4 amd64 7.58.0-2ubuntu3.12 [214 kB] +Get:51 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 curl amd64 7.58.0-2ubuntu3.12 [159 kB] +Get:52 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libcurl3-gnutls amd64 7.58.0-2ubuntu3.12 [212 kB] +Get:53 http://archive.ubuntu.com/ubuntu bionic/main amd64 liberror-perl all 0.17025-1 [22.8 kB] +Get:54 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 git-man all 1:2.17.1-1ubuntu0.7 [804 kB] +Get:55 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 git amd64 1:2.17.1-1ubuntu0.7 [3915 kB] +Get:56 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libsasl2-modules amd64 2.1.27~101-g0780600+dfsg-3ubuntu2.1 [48.8 kB] +Get:57 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 patch amd64 2.7.6-2ubuntu1.1 [102 kB] +debconf: delaying package configuration, since apt-utils is not installed +Fetched 19.7 MB in 3s (6627 kB/s) +Selecting previously unselected package multiarch-support. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) +Preparing to unpack .../0-multiarch-support_2.27-3ubuntu1.4_amd64.deb ... +Unpacking multiarch-support (2.27-3ubuntu1.4) ... +Selecting previously unselected package libxau6:amd64. +Preparing to unpack .../1-libxau6_1%3a1.0.8-1ubuntu1_amd64.deb ... +Unpacking libxau6:amd64 (1:1.0.8-1ubuntu1) ... +Selecting previously unselected package libbsd0:amd64. +Preparing to unpack .../2-libbsd0_0.8.7-1ubuntu0.1_amd64.deb ... +Unpacking libbsd0:amd64 (0.8.7-1ubuntu0.1) ... +Selecting previously unselected package libxdmcp6:amd64. +Preparing to unpack .../3-libxdmcp6_1%3a1.1.2-3_amd64.deb ... +Unpacking libxdmcp6:amd64 (1:1.1.2-3) ... +Selecting previously unselected package libxcb1:amd64. +Preparing to unpack .../4-libxcb1_1.13-2~ubuntu18.04_amd64.deb ... +Unpacking libxcb1:amd64 (1.13-2~ubuntu18.04) ... +Selecting previously unselected package libx11-data. +Preparing to unpack .../5-libx11-data_2%3a1.6.4-3ubuntu0.3_all.deb ... +Unpacking libx11-data (2:1.6.4-3ubuntu0.3) ... +Selecting previously unselected package libx11-6:amd64. +Preparing to unpack .../6-libx11-6_2%3a1.6.4-3ubuntu0.3_amd64.deb ... +Unpacking libx11-6:amd64 (2:1.6.4-3ubuntu0.3) ... +Setting up multiarch-support (2.27-3ubuntu1.4) ... +Selecting previously unselected package libxext6:amd64. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4335 files and directories currently installed.) +Preparing to unpack .../00-libxext6_2%3a1.3.3-1_amd64.deb ... +Unpacking libxext6:amd64 (2:1.3.3-1) ... +Selecting previously unselected package perl-modules-5.26. +Preparing to unpack .../01-perl-modules-5.26_5.26.1-6ubuntu0.5_all.deb ... +Unpacking perl-modules-5.26 (5.26.1-6ubuntu0.5) ... +Selecting previously unselected package libgdbm5:amd64. +Preparing to unpack .../02-libgdbm5_1.14.1-6_amd64.deb ... +Unpacking libgdbm5:amd64 (1.14.1-6) ... +Selecting previously unselected package libgdbm-compat4:amd64. +Preparing to unpack .../03-libgdbm-compat4_1.14.1-6_amd64.deb ... +Unpacking libgdbm-compat4:amd64 (1.14.1-6) ... +Selecting previously unselected package libperl5.26:amd64. +Preparing to unpack .../04-libperl5.26_5.26.1-6ubuntu0.5_amd64.deb ... +Unpacking libperl5.26:amd64 (5.26.1-6ubuntu0.5) ... +Selecting previously unselected package perl. +Preparing to unpack .../05-perl_5.26.1-6ubuntu0.5_amd64.deb ... +Unpacking perl (5.26.1-6ubuntu0.5) ... +Selecting previously unselected package libssl1.1:amd64. +Preparing to unpack .../06-libssl1.1_1.1.1-1ubuntu2.1~18.04.7_amd64.deb ... +Unpacking libssl1.1:amd64 (1.1.1-1ubuntu2.1~18.04.7) ... +Selecting previously unselected package openssl. +Preparing to unpack .../07-openssl_1.1.1-1ubuntu2.1~18.04.7_amd64.deb ... +Unpacking openssl (1.1.1-1ubuntu2.1~18.04.7) ... +Selecting previously unselected package ca-certificates. +Preparing to unpack .../08-ca-certificates_20201027ubuntu0.18.04.1_all.deb ... +Unpacking ca-certificates (20201027ubuntu0.18.04.1) ... +Selecting previously unselected package less. +Preparing to unpack .../09-less_487-0.1_amd64.deb ... +Unpacking less (487-0.1) ... +Selecting previously unselected package libexpat1:amd64. +Preparing to unpack .../10-libexpat1_2.2.5-3ubuntu0.2_amd64.deb ... +Unpacking libexpat1:amd64 (2.2.5-3ubuntu0.2) ... +Selecting previously unselected package libsqlite3-0:amd64. +Preparing to unpack .../11-libsqlite3-0_3.22.0-1ubuntu0.4_amd64.deb ... +Unpacking libsqlite3-0:amd64 (3.22.0-1ubuntu0.4) ... +Selecting previously unselected package netbase. +Preparing to unpack .../12-netbase_5.4_all.deb ... +Unpacking netbase (5.4) ... +Selecting previously unselected package sudo. +Preparing to unpack .../13-sudo_1.8.21p2-3ubuntu1.3_amd64.deb ... +Unpacking sudo (1.8.21p2-3ubuntu1.3) ... +Selecting previously unselected package krb5-locales. +Preparing to unpack .../14-krb5-locales_1.16-2ubuntu0.2_all.deb ... +Unpacking krb5-locales (1.16-2ubuntu0.2) ... +Selecting previously unselected package libedit2:amd64. +Preparing to unpack .../15-libedit2_3.1-20170329-1_amd64.deb ... +Unpacking libedit2:amd64 (3.1-20170329-1) ... +Selecting previously unselected package libkrb5support0:amd64. +Preparing to unpack .../16-libkrb5support0_1.16-2ubuntu0.2_amd64.deb ... +Unpacking libkrb5support0:amd64 (1.16-2ubuntu0.2) ... +Selecting previously unselected package libk5crypto3:amd64. +Preparing to unpack .../17-libk5crypto3_1.16-2ubuntu0.2_amd64.deb ... +Unpacking libk5crypto3:amd64 (1.16-2ubuntu0.2) ... +Selecting previously unselected package libkeyutils1:amd64. +Preparing to unpack .../18-libkeyutils1_1.5.9-9.2ubuntu2_amd64.deb ... +Unpacking libkeyutils1:amd64 (1.5.9-9.2ubuntu2) ... +Selecting previously unselected package libkrb5-3:amd64. +Preparing to unpack .../19-libkrb5-3_1.16-2ubuntu0.2_amd64.deb ... +Unpacking libkrb5-3:amd64 (1.16-2ubuntu0.2) ... +Selecting previously unselected package libgssapi-krb5-2:amd64. +Preparing to unpack .../20-libgssapi-krb5-2_1.16-2ubuntu0.2_amd64.deb ... +Unpacking libgssapi-krb5-2:amd64 (1.16-2ubuntu0.2) ... +Selecting previously unselected package libpsl5:amd64. +Preparing to unpack .../21-libpsl5_0.19.1-5build1_amd64.deb ... +Unpacking libpsl5:amd64 (0.19.1-5build1) ... +Selecting previously unselected package libssl1.0.0:amd64. +Preparing to unpack .../22-libssl1.0.0_1.0.2n-1ubuntu5.5_amd64.deb ... +Unpacking libssl1.0.0:amd64 (1.0.2n-1ubuntu5.5) ... +Selecting previously unselected package libxmuu1:amd64. +Preparing to unpack .../23-libxmuu1_2%3a1.1.2-2_amd64.deb ... +Unpacking libxmuu1:amd64 (2:1.1.2-2) ... +Selecting previously unselected package openssh-client. +Preparing to unpack .../24-openssh-client_1%3a7.6p1-4ubuntu0.3_amd64.deb ... +Unpacking openssh-client (1:7.6p1-4ubuntu0.3) ... +Selecting previously unselected package publicsuffix. +Preparing to unpack .../25-publicsuffix_20180223.1310-1_all.deb ... +Unpacking publicsuffix (20180223.1310-1) ... +Selecting previously unselected package xauth. +Preparing to unpack .../26-xauth_1%3a1.0.10-1_amd64.deb ... +Unpacking xauth (1:1.0.10-1) ... +Selecting previously unselected package libroken18-heimdal:amd64. +Preparing to unpack .../27-libroken18-heimdal_7.5.0+dfsg-1_amd64.deb ... +Unpacking libroken18-heimdal:amd64 (7.5.0+dfsg-1) ... +Selecting previously unselected package libasn1-8-heimdal:amd64. +Preparing to unpack .../28-libasn1-8-heimdal_7.5.0+dfsg-1_amd64.deb ... +Unpacking libasn1-8-heimdal:amd64 (7.5.0+dfsg-1) ... +Selecting previously unselected package libheimbase1-heimdal:amd64. +Preparing to unpack .../29-libheimbase1-heimdal_7.5.0+dfsg-1_amd64.deb ... +Unpacking libheimbase1-heimdal:amd64 (7.5.0+dfsg-1) ... +Selecting previously unselected package libhcrypto4-heimdal:amd64. +Preparing to unpack .../30-libhcrypto4-heimdal_7.5.0+dfsg-1_amd64.deb ... +Unpacking libhcrypto4-heimdal:amd64 (7.5.0+dfsg-1) ... +Selecting previously unselected package libwind0-heimdal:amd64. +Preparing to unpack .../31-libwind0-heimdal_7.5.0+dfsg-1_amd64.deb ... +Unpacking libwind0-heimdal:amd64 (7.5.0+dfsg-1) ... +Selecting previously unselected package libhx509-5-heimdal:amd64. +Preparing to unpack .../32-libhx509-5-heimdal_7.5.0+dfsg-1_amd64.deb ... +Unpacking libhx509-5-heimdal:amd64 (7.5.0+dfsg-1) ... +Selecting previously unselected package libkrb5-26-heimdal:amd64. +Preparing to unpack .../33-libkrb5-26-heimdal_7.5.0+dfsg-1_amd64.deb ... +Unpacking libkrb5-26-heimdal:amd64 (7.5.0+dfsg-1) ... +Selecting previously unselected package libheimntlm0-heimdal:amd64. +Preparing to unpack .../34-libheimntlm0-heimdal_7.5.0+dfsg-1_amd64.deb ... +Unpacking libheimntlm0-heimdal:amd64 (7.5.0+dfsg-1) ... +Selecting previously unselected package libgssapi3-heimdal:amd64. +Preparing to unpack .../35-libgssapi3-heimdal_7.5.0+dfsg-1_amd64.deb ... +Unpacking libgssapi3-heimdal:amd64 (7.5.0+dfsg-1) ... +Selecting previously unselected package libsasl2-modules-db:amd64. +Preparing to unpack .../36-libsasl2-modules-db_2.1.27~101-g0780600+dfsg-3ubuntu2.1_amd64.deb ... +Unpacking libsasl2-modules-db:amd64 (2.1.27~101-g0780600+dfsg-3ubuntu2.1) ... +Selecting previously unselected package libsasl2-2:amd64. +Preparing to unpack .../37-libsasl2-2_2.1.27~101-g0780600+dfsg-3ubuntu2.1_amd64.deb ... +Unpacking libsasl2-2:amd64 (2.1.27~101-g0780600+dfsg-3ubuntu2.1) ... +Selecting previously unselected package libldap-common. +Preparing to unpack .../38-libldap-common_2.4.45+dfsg-1ubuntu1.8_all.deb ... +Unpacking libldap-common (2.4.45+dfsg-1ubuntu1.8) ... +Selecting previously unselected package libldap-2.4-2:amd64. +Preparing to unpack .../39-libldap-2.4-2_2.4.45+dfsg-1ubuntu1.8_amd64.deb ... +Unpacking libldap-2.4-2:amd64 (2.4.45+dfsg-1ubuntu1.8) ... +Selecting previously unselected package libnghttp2-14:amd64. +Preparing to unpack .../40-libnghttp2-14_1.30.0-1ubuntu1_amd64.deb ... +Unpacking libnghttp2-14:amd64 (1.30.0-1ubuntu1) ... +Selecting previously unselected package librtmp1:amd64. +Preparing to unpack .../41-librtmp1_2.4+20151223.gitfa8646d.1-1_amd64.deb ... +Unpacking librtmp1:amd64 (2.4+20151223.gitfa8646d.1-1) ... +Selecting previously unselected package libcurl4:amd64. +Preparing to unpack .../42-libcurl4_7.58.0-2ubuntu3.12_amd64.deb ... +Unpacking libcurl4:amd64 (7.58.0-2ubuntu3.12) ... +Selecting previously unselected package curl. +Preparing to unpack .../43-curl_7.58.0-2ubuntu3.12_amd64.deb ... +Unpacking curl (7.58.0-2ubuntu3.12) ... +Selecting previously unselected package libcurl3-gnutls:amd64. +Preparing to unpack .../44-libcurl3-gnutls_7.58.0-2ubuntu3.12_amd64.deb ... +Unpacking libcurl3-gnutls:amd64 (7.58.0-2ubuntu3.12) ... +Selecting previously unselected package liberror-perl. +Preparing to unpack .../45-liberror-perl_0.17025-1_all.deb ... +Unpacking liberror-perl (0.17025-1) ... +Selecting previously unselected package git-man. +Preparing to unpack .../46-git-man_1%3a2.17.1-1ubuntu0.7_all.deb ... +Unpacking git-man (1:2.17.1-1ubuntu0.7) ... +Selecting previously unselected package git. +Preparing to unpack .../47-git_1%3a2.17.1-1ubuntu0.7_amd64.deb ... +Unpacking git (1:2.17.1-1ubuntu0.7) ... +Selecting previously unselected package libsasl2-modules:amd64. +Preparing to unpack .../48-libsasl2-modules_2.1.27~101-g0780600+dfsg-3ubuntu2.1_amd64.deb ... +Unpacking libsasl2-modules:amd64 (2.1.27~101-g0780600+dfsg-3ubuntu2.1) ... +Selecting previously unselected package patch. +Preparing to unpack .../49-patch_2.7.6-2ubuntu1.1_amd64.deb ... +Unpacking patch (2.7.6-2ubuntu1.1) ... +Setting up libedit2:amd64 (3.1-20170329-1) ... +Setting up git-man (1:2.17.1-1ubuntu0.7) ... +Setting up libexpat1:amd64 (2.2.5-3ubuntu0.2) ... +Setting up less (487-0.1) ... +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +Setting up libssl1.0.0:amd64 (1.0.2n-1ubuntu5.5) ... +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +Setting up libnghttp2-14:amd64 (1.30.0-1ubuntu1) ... +Setting up sudo (1.8.21p2-3ubuntu1.3) ... +Setting up libldap-common (2.4.45+dfsg-1ubuntu1.8) ... +Setting up libpsl5:amd64 (0.19.1-5build1) ... +Setting up libsasl2-modules-db:amd64 (2.1.27~101-g0780600+dfsg-3ubuntu2.1) ... +Setting up libsasl2-2:amd64 (2.1.27~101-g0780600+dfsg-3ubuntu2.1) ... +Setting up libroken18-heimdal:amd64 (7.5.0+dfsg-1) ... +Setting up librtmp1:amd64 (2.4+20151223.gitfa8646d.1-1) ... +Setting up perl-modules-5.26 (5.26.1-6ubuntu0.5) ... +Setting up libgdbm5:amd64 (1.14.1-6) ... +Setting up libbsd0:amd64 (0.8.7-1ubuntu0.1) ... +Setting up libkrb5support0:amd64 (1.16-2ubuntu0.2) ... +Setting up patch (2.7.6-2ubuntu1.1) ... +Setting up krb5-locales (1.16-2ubuntu0.2) ... +Setting up publicsuffix (20180223.1310-1) ... +Setting up libssl1.1:amd64 (1.1.1-1ubuntu2.1~18.04.7) ... +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +Setting up libheimbase1-heimdal:amd64 (7.5.0+dfsg-1) ... +Setting up openssl (1.1.1-1ubuntu2.1~18.04.7) ... +Setting up libsqlite3-0:amd64 (3.22.0-1ubuntu0.4) ... +Setting up libxdmcp6:amd64 (1:1.1.2-3) ... +Setting up libgdbm-compat4:amd64 (1.14.1-6) ... +Setting up libkeyutils1:amd64 (1.5.9-9.2ubuntu2) ... +Setting up libsasl2-modules:amd64 (2.1.27~101-g0780600+dfsg-3ubuntu2.1) ... +Setting up ca-certificates (20201027ubuntu0.18.04.1) ... +debconf: unable to initialize frontend: Dialog +debconf: (TERM is not set, so the dialog frontend is not usable.) +debconf: falling back to frontend: Readline +Updating certificates in /etc/ssl/certs... +138 added, 0 removed; done. +Setting up libx11-data (2:1.6.4-3ubuntu0.3) ... +Setting up libxau6:amd64 (1:1.0.8-1ubuntu1) ... +Setting up netbase (5.4) ... +Setting up libk5crypto3:amd64 (1.16-2ubuntu0.2) ... +Setting up libwind0-heimdal:amd64 (7.5.0+dfsg-1) ... +Setting up libasn1-8-heimdal:amd64 (7.5.0+dfsg-1) ... +Setting up libhcrypto4-heimdal:amd64 (7.5.0+dfsg-1) ... +Setting up libhx509-5-heimdal:amd64 (7.5.0+dfsg-1) ... +Setting up libperl5.26:amd64 (5.26.1-6ubuntu0.5) ... +Setting up libkrb5-3:amd64 (1.16-2ubuntu0.2) ... +Setting up libkrb5-26-heimdal:amd64 (7.5.0+dfsg-1) ... +Setting up libxcb1:amd64 (1.13-2~ubuntu18.04) ... +Setting up libheimntlm0-heimdal:amd64 (7.5.0+dfsg-1) ... +Setting up libx11-6:amd64 (2:1.6.4-3ubuntu0.3) ... +Setting up libxmuu1:amd64 (2:1.1.2-2) ... +Setting up libgssapi-krb5-2:amd64 (1.16-2ubuntu0.2) ... +Setting up perl (5.26.1-6ubuntu0.5) ... +Setting up libgssapi3-heimdal:amd64 (7.5.0+dfsg-1) ... +Setting up openssh-client (1:7.6p1-4ubuntu0.3) ... +Setting up libxext6:amd64 (2:1.3.3-1) ... +Setting up liberror-perl (0.17025-1) ... +Setting up xauth (1:1.0.10-1) ... +Setting up libldap-2.4-2:amd64 (2.4.45+dfsg-1ubuntu1.8) ... +Setting up libcurl3-gnutls:amd64 (7.58.0-2ubuntu3.12) ... +Setting up libcurl4:amd64 (7.58.0-2ubuntu3.12) ... +Setting up git (1:2.17.1-1ubuntu0.7) ... +Setting up curl (7.58.0-2ubuntu3.12) ... +Processing triggers for libc-bin (2.27-3ubuntu1.4) ... +Processing triggers for ca-certificates (20201027ubuntu0.18.04.1) ... +Updating certificates in /etc/ssl/certs... +0 added, 0 removed; done. +Running hooks in /etc/ca-certificates/update.d... +done. +Removing intermediate container 1c41b0062191 + ---> a1d44680a9d4 +Step 4/12 : RUN groupadd --gid 3434 riscvuser && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep + ---> Running in f1e61d1c92a5 +Removing intermediate container f1e61d1c92a5 + ---> 7ae1a44a0f88 +Step 5/12 : WORKDIR /home/riscvuser + ---> Running in 86c878f6c78a +Removing intermediate container 86c878f6c78a + ---> 7360866710f4 +Step 6/12 : USER riscvuser + ---> Running in 3882ccbffe7b +Removing intermediate container 3882ccbffe7b + ---> c1f23cf819bf +Step 7/12 : RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install -y keyboard-configuration && sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup + ---> Running in d4bcb1959542 +Reading package lists... +Building dependency tree... +Reading state information... +The following additional packages will be installed: + liblocale-gettext-perl +The following NEW packages will be installed: + keyboard-configuration liblocale-gettext-perl +0 upgraded, 2 newly installed, 0 to remove and 0 not upgraded. +Need to get 386 kB of archives. +After this operation, 2675 kB of additional disk space will be used. +Get:1 http://archive.ubuntu.com/ubuntu bionic/main amd64 liblocale-gettext-perl amd64 1.07-3build2 [16.6 kB] +Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 keyboard-configuration all 1.178ubuntu2.9 [369 kB] +debconf: delaying package configuration, since apt-utils is not installed +Fetched 386 kB in 1s (274 kB/s) +Selecting previously unselected package liblocale-gettext-perl. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 7875 files and directories currently installed.) +Preparing to unpack .../liblocale-gettext-perl_1.07-3build2_amd64.deb ... +Unpacking liblocale-gettext-perl (1.07-3build2) ... +Selecting previously unselected package keyboard-configuration. +Preparing to unpack .../keyboard-configuration_1.178ubuntu2.9_all.deb ... +Unpacking keyboard-configuration (1.178ubuntu2.9) ... +Setting up liblocale-gettext-perl (1.07-3build2) ... +Setting up keyboard-configuration (1.178ubuntu2.9) ... +Your console font configuration will be updated the next time your system +boots. If you want to update it now, run 'setupcon' from a virtual console. +Reading package lists... +Building dependency tree... +Reading state information... +The following additional packages will be installed: + console-setup-linux kbd xkb-data +Suggested packages: + locales +The following NEW packages will be installed: + console-setup console-setup-linux kbd xkb-data +0 upgraded, 4 newly installed, 0 to remove and 0 not upgraded. +Need to get 1627 kB of archives. +After this operation, 6256 kB of additional disk space will be used. +Get:1 http://archive.ubuntu.com/ubuntu bionic/main amd64 kbd amd64 2.0.4-2ubuntu1 [215 kB] +Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 console-setup-linux all 1.178ubuntu2.9 [982 kB] +Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 xkb-data all 2.23.1-1ubuntu1.18.04.1 [325 kB] +Get:4 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 console-setup all 1.178ubuntu2.9 [105 kB] +debconf: delaying package configuration, since apt-utils is not installed +Fetched 1627 kB in 1s (1162 kB/s) +Selecting previously unselected package kbd. +(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 7914 files and directories currently installed.) +Preparing to unpack .../kbd_2.0.4-2ubuntu1_amd64.deb ... +Unpacking kbd (2.0.4-2ubuntu1) ... +Selecting previously unselected package console-setup-linux. +Preparing to unpack .../console-setup-linux_1.178ubuntu2.9_all.deb ... +Unpacking console-setup-linux (1.178ubuntu2.9) ... +Selecting previously unselected package xkb-data. +Preparing to unpack .../xkb-data_2.23.1-1ubuntu1.18.04.1_all.deb ... +Unpacking xkb-data (2.23.1-1ubuntu1.18.04.1) ... +Selecting previously unselected package console-setup. +Preparing to unpack .../console-setup_1.178ubuntu2.9_all.deb ... +Unpacking console-setup (1.178ubuntu2.9) ... +Setting up xkb-data (2.23.1-1ubuntu1.18.04.1) ... +Setting up console-setup-linux (1.178ubuntu2.9) ... +update-alternatives: using /etc/console-setup/vtrgb to provide /etc/vtrgb (vtrgb) in auto mode +Setting up console-setup (1.178ubuntu2.9) ... +Setting up kbd (2.0.4-2ubuntu1) ... +Removing intermediate container d4bcb1959542 + ---> c394950174e5 +Step 8/12 : RUN git clone https://github.com/schwarz-em/chipyard.git && cd chipyard && ./scripts/ubuntu-req.sh 1>/dev/null + ---> Running in e9b3287018db +Cloning into 'chipyard'... ++ sudo apt-get install -y build-essential bison flex +debconf: delaying package configuration, since apt-utils is not installed ++ sudo apt-get install -y libgmp-dev libmpfr-dev libmpc-dev zlib1g-dev vim git default-jdk default-jre +debconf: delaying package configuration, since apt-utils is not installed ++ echo 'deb https://dl.bintray.com/sbt/debian /' ++ sudo tee -a /etc/apt/sources.list.d/sbt.list ++ curl -sL 'https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823' ++ sudo apt-key add +Warning: apt-key output should not be parsed (stdout is not a terminal) ++ sudo apt-get update ++ sudo apt-get install -y sbt +debconf: delaying package configuration, since apt-utils is not installed ++ sudo apt-get install -y texinfo gengetopt +debconf: delaying package configuration, since apt-utils is not installed ++ sudo apt-get install -y libexpat1-dev libusb-dev libncurses5-dev cmake +debconf: delaying package configuration, since apt-utils is not installed ++ sudo apt-get install -y python3.6 patch diffstat texi2html texinfo subversion chrpath git wget +debconf: delaying package configuration, since apt-utils is not installed ++ sudo apt-get install -y libgtk-3-dev gettext +debconf: delaying package configuration, since apt-utils is not installed ++ sudo apt-get install -y python3-pip python3.6-dev rsync libguestfs-tools expat ctags +debconf: delaying package configuration, since apt-utils is not installed ++ sudo apt-get install -y device-tree-compiler +debconf: delaying package configuration, since apt-utils is not installed ++ git clone http://git.veripool.org/git/verilator +Cloning into 'verilator'... ++ cd verilator ++ git checkout v4.034 +Note: checking out 'v4.034'. + +You are in 'detached HEAD' state. You can look around, make experimental +changes and commit them, and you can discard any commits you make in this +state without impacting any branches by performing another checkout. + +If you want to create a new branch to retain commits you create, you may +do so (now or later) by using -b with the checkout command again. Example: + + git checkout -b + +HEAD is now at 9dc65df9 Version bump ++ autoconf ++ ./configure ++ make -j16 +make[2]: warning: -jN forced in submake: disabling jobserver mode. +make[2]: warning: -jN forced in submake: disabling jobserver mode. ++ sudo make install +mkdir /usr/local/share/verilator +mkdir /usr/local/share/verilator/bin +mkdir /usr/local/share/man/man1 +mkdir /usr/local/share/verilator/include +mkdir /usr/local/share/verilator/include/gtkwave +mkdir /usr/local/share/verilator/include/vltstd +mkdir /usr/local/share/verilator/examples +mkdir /usr/local/share/verilator/examples/make_hello_c +mkdir /usr/local/share/verilator/examples/make_hello_sc +mkdir /usr/local/share/verilator/examples/make_tracing_c +mkdir /usr/local/share/verilator/examples/make_tracing_sc +mkdir /usr/local/share/verilator/examples/make_protect_lib +mkdir /usr/local/share/verilator/examples/cmake_hello_c +mkdir /usr/local/share/verilator/examples/cmake_hello_sc +mkdir /usr/local/share/verilator/examples/cmake_tracing_c +mkdir /usr/local/share/verilator/examples/cmake_tracing_sc +mkdir /usr/local/share/verilator/examples/cmake_protect_lib +mkdir /usr/local/share/verilator/examples/xml_py +mkdir /usr/local/share/pkgconfig +Removing intermediate container e9b3287018db + ---> 21bafbf4da21 +Step 9/12 : RUN cd chipyard && export MAKEFLAGS=-"j $(nproc)" && ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null + ---> Running in 676d65941d3a +Removing intermediate container 676d65941d3a + ---> b7d45d90bbcb +Step 10/12 : RUN cd chipyard && export MAKEFLAGS=-"j $(nproc)" && ./scripts/build-toolchains.sh riscv-tools 1>/dev/null + ---> Running in d4c7d9e86f09 +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain'... +Submodule 'qemu' (https://git.qemu.org/git/qemu.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/qemu' +Submodule 'riscv-binutils' (https://github.com/riscv/riscv-binutils-gdb.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-binutils' +Submodule 'riscv-dejagnu' (https://github.com/riscv/riscv-dejagnu.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-dejagnu' +Submodule 'riscv-gcc' (https://github.com/riscv/riscv-gcc.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gcc' +Submodule 'riscv-gdb' (https://github.com/riscv/riscv-binutils-gdb.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gdb' +Submodule 'riscv-glibc' (https://github.com/riscv/riscv-glibc.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-glibc' +Submodule 'riscv-newlib' (https://github.com/riscv/riscv-newlib.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-newlib' +Skipping submodule '../toolchains/riscv-tools/riscv-gnu-toolchain/' +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-binutils'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-dejagnu'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gcc'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gdb'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-glibc'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-newlib'... +configure: WARNING: neither ld nor gold are enabled +*** This configuration is not supported in the following subdirectories: + binutils gas gprof + (Any other directories should still work fine.) +*** This configuration is not supported in the following subdirectories: + readline libdecnumber sim gdb + (Any other directories should still work fine.) +configure: WARNING: decimal float is not supported for this target, ignored +ar: `u' modifier ignored since `D' is the default (see `U') +ar: `u' modifier ignored since `D' is the default (see `U') +ar: `u' modifier ignored since `D' is the default (see `U') +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/defparse.y: warning: 27 shift/reduce conflicts [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/mcparse.y: warning: 1 shift/reduce conflict [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/sysinfo.y: warning: 1 shift/reduce conflict [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/rcparse.y: warning: 58 shift/reduce conflicts [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/rcparse.y: warning: 10 reduce/reduce conflicts [-Wconflicts-rr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/doc/binutils.texi:819: warning: @ref node name should not contain `.' +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/doc/binutils.texi:1239: warning: @xref node name should not contain `.' +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/ld/deffilep.y: warning: 76 shift/reduce conflicts [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/ld/ldlex.l:386: warning, rule cannot be matched +ld.pod around line 568: Expected '=item *' +POD document had syntax errors at /usr/bin/pod2man line 71. +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/ld/ld.texi:8376: warning: @pxref node name should not contain `.' +/bin/sh: 1: test: false: unexpected operator +*** This configuration is not supported in the following subdirectories: + zlib target-libquadmath target-libitm target-libsanitizer target-libvtv gnattools gotools target-libada target-libhsail-rt target-libstdc++-v3 target-libphobos target-zlib target-libbacktrace target-libgfortran target-libgo target-libffi target-libobjc target-libgomp target-liboffloadmic target-libatomic target-libssp + (Any other directories should still work fine.) +configure: WARNING: decimal float is not supported for this target, ignored +objcopy: /tmp/ls106546: debuglink section already exists +ar: `u' modifier ignored since `D' is the default (see `U') +ar: `u' modifier ignored since `D' is the default (see `U') +../../../../riscv-gcc/libcpp/macro.c: In member function 'vaopt_state::update_type vaopt_state::update(const cpp_token*)': +../../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../../riscv-gcc/libcpp/expr.c: In function 'unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)': +../../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] + 0, message); + ^ +../../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] + virtual_location, 0, message); + ^ +../../../../riscv-gcc/libcpp/macro.c: In function 'cpp_macro* create_iso_definition(cpp_reader*)': +../../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +../../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +ar: `u' modifier ignored since `D' is the default (see `U') +configure: WARNING: python is missing or unusable; some features may be unavailable. +configure: WARNING: libipt is missing or unusable; some features may be unavailable. +libtool: install: warning: remember to run `libtool --finish /home/riscvuser/chipyard/riscv-tools-install/libexec/gcc/riscv64-unknown-linux-gnu/9.2.0' +../../../riscv-gcc/libcpp/expr.c: In function 'unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)': +../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] + 0, message); + ^ +../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] + virtual_location, 0, message); + ^ +../../../riscv-gcc/libcpp/macro.c: In member function 'vaopt_state::update_type vaopt_state::update(const cpp_token*)': +../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../riscv-gcc/libcpp/macro.c: In function 'cpp_macro* create_iso_definition(cpp_reader*)': +../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +ar: `u' modifier ignored since `D' is the default (see `U') +configure: WARNING: decimal float is not supported for this target, ignored +configure: WARNING: fixed-point is not supported for this target, ignored +configure: WARNING: babeltrace is missing or unusable; GDB is unable to read CTF data. +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-profile.c: In function 'profile_pc_init': +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-profile.c:566:8: warning: left shift count >= width of type [-Wshift-count-overflow] + ((1 << sizeof (sim_cia) * (8 - 1)) + ^~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-profile.c:585:21: warning: left shift count >= width of type [-Wshift-count-overflow] + bucket_size = ((1 << ((sizeof (sim_cia) * 8) - 1)) + ^~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c: In function 'map_to_str': +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:359:18: warning: '%ld' directive writing between 1 and 10 bytes into a region of size 9 [-Wformat-overflow=] + sprintf (str, "(%ld)", (long) map); + ^~~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:359:16: note: directive argument in the range [4, 4294967295] + sprintf (str, "(%ld)", (long) map); + ^~~~~~~ +In file included from /usr/include/stdio.h:862:0, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-basics.h:37, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/sim-main.h:24, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:20: +/usr/include/x86_64-linux-gnu/bits/stdio2.h:33:10: note: '__builtin___sprintf_chk' output between 4 and 13 bytes into a destination of size 10 + return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, + ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + __bos (__s), __fmt, __va_arg_pack ()); + ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c: In function 'access_to_str': +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:389:18: warning: '%ld' directive writing between 2 and 10 bytes into a region of size 9 [-Wformat-overflow=] + sprintf (str, "(%ld)", (long) access); + ^~~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:389:16: note: directive argument in the range [16, 4294967295] + sprintf (str, "(%ld)", (long) access); + ^~~~~~~ +In file included from /usr/include/stdio.h:862:0, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-basics.h:37, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/sim-main.h:24, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:20: +/usr/include/x86_64-linux-gnu/bits/stdio2.h:33:10: note: '__builtin___sprintf_chk' output between 5 and 13 bytes into a destination of size 10 + return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, + ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + __bos (__s), __fmt, __va_arg_pack ()); + ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +Links are now set up to build a cross-compiler + from x86_64-pc-linux-gnu to riscv64-unknown-linux-gnu. +../../../riscv-gcc/gcc/genhooks.c: In function 'void emit_documentation(const char*)': +../../../riscv-gcc/gcc/genhooks.c:131:45: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] + while (fscanf (f, "%*[^@]"), buf[0] = '\0', + ^ +../../../riscv-gcc/gcc/genhooks.c:140:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%999s", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/genhooks.c:193:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%5[^ \n]", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/genhooks.c:199:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%999s", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l: In function 'int yylex(const char**)': +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' + "GTY"/{EOID} { return GTY_TOKEN; } + ^~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here + "^" | + ^~~~ +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' + + ^ +../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here + size_t len; + ^ ~~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/c-exp.y: warning: 42 shift/reduce conflicts [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/c-exp.y: warning: 53 reduce/reduce conflicts [-Wconflicts-rr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/m2-exp.y: warning: 34 shift/reduce conflicts [-Wconflicts-sr] +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/m2-exp.y:301.25-44: warning: rule useless in parser due to conflicts [-Wother] + { start_arglist(); } + ^^^^^^^^^^^^^^^^^^^^ +../../../riscv-gcc/gcc/config/riscv/riscv.md:2281:1: warning: operand 0 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2303:1: warning: operand 1 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2326:1: warning: operand 0 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2349:1: warning: operand 1 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2415:1: warning: source missing a mode? +Statistics for recog: + Number of decisions: 1699 + longest path: 32 (code: 188) + longest backtrack: 13 (code: 336) +Statistics for split_insns: + Number of decisions: 209 + longest path: 30 (code: 40) + longest backtrack: 3 (code: 40) +Statistics for peephole2_insns: + Number of decisions: 22 + longest path: 22 (code: 1) + longest backtrack: 0 (code: 1) +Shared 1212 out of 3026 states by creating 356 new states, saving 856 +../../../riscv-gcc/gcc/c/c-typeck.c: In function 'int convert_arguments(location_t, vec, tree, vec*, vec*, tree, tree)': +../../../riscv-gcc/gcc/c/c-typeck.c:3601:28: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_func_diag); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function 'tree_node* build_unary_op(location_t, tree_code, tree, bool)': +../../../riscv-gcc/gcc/c/c-typeck.c:4339:42: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (location, invalid_op_diag); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function 'void warning_init(location_t, int, const char*)': +../../../riscv-gcc/gcc/c/c-typeck.c:6400:43: warning: format not a string literal and no format arguments [-Wformat-security] + warned = warning_at (exploc, opt, gmsgid); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function 'tree_node* build_binary_op(location_t, tree_code, tree, tree, bool)': +../../../riscv-gcc/gcc/c/c-typeck.c:11480:42: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (location, invalid_op_diag); + ^ +In file included from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:26:0: +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/inferior.h: In function 'void handle_vfork_child_exec_or_exit(int)': +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/inferior.h:606:26: warning: '*((void*)(& maybe_restore_inferior)+40).scoped_restore_current_inferior::m_saved_inf' may be used uninitialized in this function [-Wmaybe-uninitialized] + { set_current_inferior (m_saved_inf); } + ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:931:6: note: '*((void*)(& maybe_restore_inferior)+40).scoped_restore_current_inferior::m_saved_inf' was declared here + maybe_restore_inferior; + ^~~~~~~~~~~~~~~~~~~~~~ +In file included from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/inferior.h:49:0, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:26: +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/progspace.h:314:31: warning: '*((void*)(& maybe_restore_inferior)+32).scoped_restore_current_program_space::m_saved_pspace' may be used uninitialized in this function [-Wmaybe-uninitialized] + { set_current_program_space (m_saved_pspace); } + ~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:931:6: note: '*((void*)(& maybe_restore_inferior)+32).scoped_restore_current_program_space::m_saved_pspace' was declared here + maybe_restore_inferior; + ^~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/c/c-convert.c: In function 'tree_node* convert(tree, tree)': +../../../riscv-gcc/gcc/c/c-convert.c:81:31: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_conv_diag); + ^ +In file included from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/exceptions.h:23:0, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/utils.h:24, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/defs.h:670, + from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/record-btrace.c:22: +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/ui-out.h: In function 'void btrace_insn_history(ui_out*, const btrace_thread_info*, const btrace_insn_iterator*, const btrace_insn_iterator*, gdb_disassembly_flags)': +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/ui-out.h:214:18: warning: 'asm_list.ui_out_emit_type<(ui_out_type)1>::m_uiout' may be used uninitialized in this function [-Wmaybe-uninitialized] + m_uiout->end (Type); + ~~~~~~~~~~~~~^~~~~~ +/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/record-btrace.c:786:35: note: 'asm_list.ui_out_emit_type<(ui_out_type)1>::m_uiout' was declared here + gdb::optional asm_list; + ^~~~~~~~ +../../../riscv-gcc/gcc/c-family/c-common.c: In function 'void c_parse_error(const char*, cpp_ttype, tree, unsigned char, rich_location*)': +../../../riscv-gcc/gcc/c-family/c-common.c:6144:30: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (richloc, gmsgid); + ^ +../../../riscv-gcc/gcc/c-family/c-common.c:6148:33: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (richloc, message); + ^ +../../../riscv-gcc/gcc/fold-const.c: In function 'void fold_overflow_warning(const char*, warn_strict_overflow_code)': +../../../riscv-gcc/gcc/fold-const.c:303:42: warning: format not a string literal and no format arguments [-Wformat-security] + warning (OPT_Wstrict_overflow, gmsgid); + ^ +../../../riscv-gcc/gcc/gimple-fold.c: In function 'bool gimple_fold_builtin_strncpy(gimple_stmt_iterator*, tree, tree, tree)': +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, fndecl, slen); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, fndecl); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c: In function 'bool gimple_fold_builtin_strncat(gimple_stmt_iterator*)': +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, fndecl, len, dstsize); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, fndecl, len)) + ^ +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c: In function 'void find_explicit_erroneous_behavior()': +../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c:554:35: warning: format not a string literal and no format arguments [-Wformat-security] + OPT_Wreturn_local_addr, msg)) + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In function 'bool {anonymous}::format_directive(const {anonymous}::sprintf_dom_walker::call_info&, {anonymous}::format_result*, const {anonymous}::directive&, vr_values*)': +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: unknown conversion type character 'G' in format [-Wformat=] + target_to_host (hostdir, sizeof hostdir, dir.beg)); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: field precision specifier '.*' expects argument of type 'int', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: format '%s' expects argument of type 'char*', but argument 7 has type 'int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In member function 'bool {anonymous}::sprintf_dom_walker::handle_gimple_call(gimple_stmt_iterator*)': +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: unknown conversion type character 'G' in format [-Wformat=] + info.callstmt); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: unknown conversion type character 'G' in format [-Wformat=] + info.callstmt); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function 'bool {anonymous}::maybe_diag_overlap(location_t, gimple*, {anonymous}::builtin_access&)': +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[0], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character 'G' in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character 'G' in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: unknown conversion type character 'G' in format [-Wformat=] + offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%s' expects argument of type 'char*', but argument 7 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%wu' expects argument of type 'long unsigned int', but argument 9 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: unknown conversion type character 'G' in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%s' expects argument of type 'char*', but argument 7 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 9 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%s' expects argument of type 'char*', but argument 10 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[0], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%s' expects argument of type 'char*', but argument 10 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, sizrange[0], sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character 'G' in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function 'bool {anonymous}::maybe_diag_access_bounds(location_t, gimple*, tree, int, const {anonymous}::builtin_memref&, bool)': +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: unknown conversion type character 'G' in format [-Wformat=] + maxobjsize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: unknown conversion type character 'G' in format [-Wformat=] + maxobjsize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, rangestr[0], rangestr[1], ref.base, type)) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format '%D' expects argument of type 'tree_node*', but argument 7 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, rangestr[0], rangestr[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, rangestr[0], rangestr[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character 'G' in format [-Wformat=] + ref.base, TREE_TYPE (ref.base))) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 7 has type 'long unsigned int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 7 has type 'long unsigned int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character 'G' in format [-Wformat=] + ref.base, TREE_TYPE (ref.base))) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, rangestr[0], ref.basesize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, rangestr[0]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, rangestr[0], ref.base, type); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format '%E' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: unknown conversion type character 'G' in format [-Wformat=] + ref.refoff.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%E' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%wu' expects argument of type 'long unsigned int', but argument 9 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function 'int check_bounds_or_overlap(gimple*, tree, tree, tree, tree, bool, bool)': +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/hsa-gen.c: In member function 'void omp_simple_builtin::generate(gimple*, hsa_bb*)': +../../../riscv-gcc/gcc/hsa-gen.c:88:32: warning: format not a string literal and no format arguments [-Wformat-security] + inform (location, message); \ + ^ +../../../riscv-gcc/gcc/hsa-gen.c:4548:2: note: in expansion of macro 'HSA_SORRY_AT' + HSA_SORRY_AT (gimple_location (stmt), m_warning_message); + ^~~~~~~~~~~~ +../../../riscv-gcc/gcc/hsa-gen.c:4555:68: warning: format not a string literal and no format arguments [-Wformat-security] + warning_at (gimple_location (stmt), OPT_Whsa, m_warning_message); + ^ +../../../riscv-gcc/gcc/ipa-devirt.c: In function 'void warn_odr(tree, tree, tree, tree, bool, bool*, const char*)': +../../../riscv-gcc/gcc/ipa-devirt.c:1050:47: warning: format not a string literal and no format arguments [-Wformat-security] + inform (DECL_SOURCE_LOCATION (decl2), reason); + ^ +../../../riscv-gcc/gcc/reload1.c: In function 'void elimination_costs_in_insn(rtx_insn*)': +../../../riscv-gcc/gcc/reload1.c:3754:28: warning: 'orig_dup[0]' may be used uninitialized in this function [-Wmaybe-uninitialized] + *recog_data.dup_loc[i] = orig_dup[i]; + ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ +../../../riscv-gcc/gcc/tree-ssa-ccp.c: In member function 'virtual unsigned int {anonymous}::pass_post_ipa_warn::execute(function*)': +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: unknown conversion type character 'G' in format [-Wformat=] + "expected", stmt, i + 1)) + ^ +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: format '%u' expects argument of type 'unsigned int', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function 'bool maybe_diag_stxncpy_trunc(gimple_stmt_iterator, tree, tree)': +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, func, cnt); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, func, cnt, lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: unknown conversion type character 'G' in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, func, cnt, lenrange[1].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: unknown conversion type character 'G' in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[1].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: unknown conversion type character 'G' in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, func, cnt); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function 'void handle_builtin_stxncpy(built_in_function, gimple_stmt_iterator*)': +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, func)) + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, func); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/collect2.c: In function 'void scan_prog_file(const char*, scanpass, scanfilter)': +../../../riscv-gcc/gcc/collect2.c:2463:37: warning: format not a string literal and no format arguments [-Wformat-security] + fatal_error (input_location, errmsg); + ^ +../../../riscv-gcc/gcc/collect-utils.c: In function 'pex_obj* collect_execute(const char*, char**, const char*, const char*, int, bool)': +../../../riscv-gcc/gcc/collect-utils.c:195:37: warning: format not a string literal and no format arguments [-Wformat-security] + fatal_error (input_location, errmsg); + ^ +../../../riscv-gcc/gcc/lto-wrapper.c: In function 'bool find_and_merge_options(int, off_t, const char*, cl_decoded_option**, unsigned int*, const char*)': +../../../riscv-gcc/gcc/lto-wrapper.c:1005:8: warning: ignoring return value of 'ssize_t read(int, void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] + read (fd, data, length); + ~~~~~^~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l: In function 'int yylex(const char**)': +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' + "GTY"/{EOID} { return GTY_TOKEN; } + ^~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here + "^" | + ^~~~ +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' + + ^ +../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here + size_t len; + ^ ~~ +GIMPLE decision tree has 2874 leafs, maximum depth 12 and a total number of 11721 nodes +removed 1855 duplicate tails +../../../riscv-gcc/gcc/gcc.c: In function 'long unsigned int get_random_number()': +../../../riscv-gcc/gcc/gcc.c:9639:12: warning: ignoring return value of 'ssize_t read(int, void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] + read (fd, &ret, sizeof (HOST_WIDE_INT)); + ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c: In function 'void do_report_bug(const char**, int, char**, char**)': +../../../riscv-gcc/gcc/gcc.c:6999:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] + write (fd, "\n//", 3); + ~~~~~~^~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7002:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] + write (fd, " ", 1); + ~~~~~~^~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7003:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] + write (fd, new_argv[i], strlen (new_argv[i])); + ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7005:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] + write (fd, "\n\n", 2); + ~~~~~~^~~~~~~~~~~~~~~ +GENERIC decision tree has 2760 leafs, maximum depth 12 and a total number of 11180 nodes +removed 1757 duplicate tails +../../../riscv-gcc/gcc/lto/lto.c: In function 'void lto_resolution_read(splay_tree, FILE*, lto_file*)': +../../../riscv-gcc/gcc/lto/lto.c:1918:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] + fscanf (resolution, " "); /* Read white space. */ + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/lto/lto.c:1920:9: warning: ignoring return value of 'size_t fread(void*, size_t, size_t, FILE*)', declared with attribute warn_unused_result [-Wunused-result] + fread (obj_name, sizeof (char), name_len, resolution); + ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/lto/lto.c:1940:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] + fscanf (resolution, "%u", &num_symbols); + ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +Applying io_quotes_use to scsi/cxlflash_ioctl.h +Applying io_quotes_use to mtd/ubi-user.h +Applying io_quotes_use to rdma/rdma_user_ioctl.h +Applying io_quotes_use to misc/cxl.h +Applying io_quotes_use to misc/ocxl.h +Applying io_quotes_use to sound/asound.h +Applying io_quotes_use to sound/emu10k1.h +Applying io_quotes_use to sound/asequencer.h +Applying io_quotes_use to sound/compress_offload.h +Applying io_quotes_use to drm/omap_drm.h +Applying io_quotes_use to drm/r128_drm.h +Applying io_quotes_use to drm/i915_drm.h +Applying io_quotes_use to drm/nouveau_drm.h +Applying io_quotes_use to drm/mga_drm.h +Applying io_quotes_use to drm/via_drm.h +Applying io_quotes_use to drm/i810_drm.h +Applying io_quotes_use to drm/radeon_drm.h +Applying io_quotes_use to drm/vc4_drm.h +Applying io_quotes_use to drm/vgem_drm.h +Applying io_quotes_use to drm/amdgpu_drm.h +Applying io_quotes_use to drm/sis_drm.h +Applying io_quotes_use to drm/msm_drm.h +Applying io_quotes_use to drm/drm.h +Applying io_quotes_use to drm/tegra_drm.h +Applying io_quotes_use to drm/v3d_drm.h +Applying io_quotes_use to drm/exynos_drm.h +Applying io_quotes_use to drm/savage_drm.h +Applying io_quotes_use to drm/etnaviv_drm.h +Applying io_quotes_use to linux/dm-ioctl.h +Applying io_quotes_use to linux/btrfs.h +Applying io_quotes_use to linux/fpga-dfl.h +Applying io_quotes_use to linux/agpgart.h +Applying io_quotes_use to linux/rpmsg.h +Applying io_quotes_use to linux/vfio.h +Applying io_quotes_use to linux/if_pppox.h +Applying io_quotes_use to linux/vtpm_proxy.h +Applying io_quotes_use to linux/ptp_clock.h +Applying io_quotes_use to linux/mmc/ioctl.h +Applying io_quotes_use to linux/ipmi.h +Applying io_quotes_use to linux/ndctl.h +Applying io_quotes_use to linux/vboxguest.h +Applying io_quotes_use to linux/nsfs.h +Applying io_quotes_use to linux/dn.h +Applying io_quotes_use to linux/fd.h +Applying io_quotes_use to linux/synclink.h +Applying io_quotes_use to linux/wmi.h +Applying io_quotes_use to linux/gpio.h +Applying io_quotes_use to linux/atmbr2684.h +Applying io_quotes_use to linux/suspend_ioctls.h +Applying io_quotes_use to linux/ppdev.h +Applying io_quotes_use to linux/genwqe/genwqe_card.h +Applying io_quotes_use to linux/ipmi_bmc.h +Applying io_quotes_use to linux/seccomp.h +Applying io_quotes_use to linux/omapfb.h +Applying io_quotes_use to linux/vhost.h +Applying io_quotes_use to linux/tee.h +Applying io_quotes_use to linux/lightnvm.h +Applying io_quotes_use to linux/cm4000_cs.h +Applying io_quotes_use to linux/mmtimer.h +Applying io_quotes_use to linux/usb/tmc.h +Applying io_quotes_use to linux/aspeed-lpc-ctrl.h +Applying io_quotes_use to linux/gigaset_dev.h +Applying io_quotes_def to linux/version.h +Applying io_quotes_use to linux/rio_cm_cdev.h +Applying io_quotes_use to linux/nbd.h +Applying io_quotes_use to linux/fuse.h +Applying io_quotes_use to linux/rfkill.h +Applying io_quotes_use to linux/pktcdvd.h +Applying io_quotes_use to linux/watchdog.h +Applying io_quotes_use to linux/i2o-dev.h +Applying io_quotes_use to linux/vm_sockets.h +Applying io_quotes_use to linux/userfaultfd.h +Applying io_quotes_use to linux/uinput.h +Applying io_quotes_def to linux/pci_regs.h +Applying io_quotes_use to linux/reiserfs_fs.h +Applying io_quotes_use to linux/random.h +Applying io_quotes_use to linux/bt-bmc.h +Applying io_quotes_use to linux/cciss_ioctl.h +Applying io_quotes_use to linux/psp-sev.h +Applying io_quotes_def to linux/ppp-comp.h +Applying io_quotes_use to linux/phantom.h +Applying io_quotes_use to linux/blkpg.h +Applying io_quotes_def to linux/soundcard.h +Applying io_quotes_use to linux/raid/md_u.h +Applying io_quotes_use to linux/dma-buf.h +Applying io_quotes_use to linux/fs.h +Applying io_quotes_use to linux/raw.h +Applying io_quotes_use to linux/spi/spidev.h +Applying io_quotes_use to linux/hsi/cs-protocol.h +Applying io_quotes_use to linux/hsi/hsi_char.h +Applying io_quotes_use to linux/blkzoned.h +Applying io_quotes_use to linux/sync_file.h +Applying io_quotes_use to linux/auto_fs.h +Applying io_quotes_use to video/sisfb.h +-fself-test: 46308 pass(es) in 0.379968 seconds +configure: WARNING: decimal float is not supported for this target, ignored +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +configure: WARNING: gcc emits a warning for alias between functions of incompatible types +configure: WARNING: Multi-arch is disabled. +configure: WARNING: gcc emits a warning for alias between functions of incompatible types +configure: WARNING: Multi-arch is disabled. +configure: WARNING: minimum kernel version reset to 4.15.0 +configure: WARNING: minimum kernel version reset to 4.15.0 +*** errlist.c count 134 inflated to GLIBC_2.12 count 135 (old errno.h?) +*** This configuration is not supported in the following subdirectories: + zlib target-libquadmath target-libitm target-libsanitizer target-libvtv gnattools gotools target-libada target-libhsail-rt target-libphobos target-zlib target-libgo target-libffi target-libobjc target-liboffloadmic target-libssp + (Any other directories should still work fine.) +configure: WARNING: decimal float is not supported for this target, ignored +objcopy: /tmp/ls71525: debuglink section already exists +ar: `u' modifier ignored since `D' is the default (see `U') +../../../../riscv-gcc/libcpp/expr.c: In function 'unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)': +../../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] + 0, message); + ^ +../../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] + virtual_location, 0, message); + ^ +../../../../riscv-gcc/libcpp/macro.c: In member function 'vaopt_state::update_type vaopt_state::update(const cpp_token*)': +../../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../../riscv-gcc/libcpp/macro.c: In function 'cpp_macro* create_iso_definition(cpp_reader*)': +../../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +../../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +ar: `u' modifier ignored since `D' is the default (see `U') +libtool: install: warning: remember to run `libtool --finish /home/riscvuser/chipyard/riscv-tools-install/libexec/gcc/riscv64-unknown-linux-gnu/9.2.0' +../../../riscv-gcc/libcpp/expr.c: In function 'unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)': +../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] + 0, message); + ^ +../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] + virtual_location, 0, message); + ^ +../../../riscv-gcc/libcpp/macro.c: In member function 'vaopt_state::update_type vaopt_state::update(const cpp_token*)': +../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] + vaopt_paste_error); + ^ +../../../riscv-gcc/libcpp/macro.c: In function 'cpp_macro* create_iso_definition(cpp_reader*)': +../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] + cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); + ^ +ar: `u' modifier ignored since `D' is the default (see `U') +configure: WARNING: decimal float is not supported for this target, ignored +configure: WARNING: fixed-point is not supported for this target, ignored +Links are now set up to build a cross-compiler + from x86_64-pc-linux-gnu to riscv64-unknown-linux-gnu. +../../../riscv-gcc/gcc/genhooks.c: In function 'void emit_documentation(const char*)': +../../../riscv-gcc/gcc/genhooks.c:131:45: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] + while (fscanf (f, "%*[^@]"), buf[0] = '\0', + ^ +../../../riscv-gcc/gcc/genhooks.c:140:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%999s", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/genhooks.c:193:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%5[^ \n]", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/genhooks.c:199:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] + fscanf (f, "%999s", buf); + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l: In function 'int yylex(const char**)': +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' + "GTY"/{EOID} { return GTY_TOKEN; } + ^~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here + "^" | + ^~~~ +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' + + ^ +../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here + size_t len; + ^ ~~ +../../../riscv-gcc/gcc/fortran/gfortran.texi:2769: warning: @ref node name should not contain `:' +../../../riscv-gcc/gcc/c/c-convert.c: In function 'tree_node* convert(tree, tree)': +../../../riscv-gcc/gcc/c/c-convert.c:81:31: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_conv_diag); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function 'int convert_arguments(location_t, vec, tree, vec*, vec*, tree, tree)': +../../../riscv-gcc/gcc/c/c-typeck.c:3601:28: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_func_diag); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function 'tree_node* build_unary_op(location_t, tree_code, tree, bool)': +../../../riscv-gcc/gcc/c/c-typeck.c:4339:42: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (location, invalid_op_diag); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function 'void warning_init(location_t, int, const char*)': +../../../riscv-gcc/gcc/c/c-typeck.c:6400:43: warning: format not a string literal and no format arguments [-Wformat-security] + warned = warning_at (exploc, opt, gmsgid); + ^ +../../../riscv-gcc/gcc/c/c-typeck.c: In function 'tree_node* build_binary_op(location_t, tree_code, tree, tree, bool)': +../../../riscv-gcc/gcc/c/c-typeck.c:11480:42: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (location, invalid_op_diag); + ^ +../../../riscv-gcc/gcc/c-family/c-common.c: In function 'void c_parse_error(const char*, cpp_ttype, tree, unsigned char, rich_location*)': +../../../riscv-gcc/gcc/c-family/c-common.c:6144:30: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (richloc, gmsgid); + ^ +../../../riscv-gcc/gcc/c-family/c-common.c:6148:33: warning: format not a string literal and no format arguments [-Wformat-security] + error_at (richloc, message); + ^ +../../../riscv-gcc/gcc/fold-const.c: In function 'void fold_overflow_warning(const char*, warn_strict_overflow_code)': +../../../riscv-gcc/gcc/fold-const.c:303:42: warning: format not a string literal and no format arguments [-Wformat-security] + warning (OPT_Wstrict_overflow, gmsgid); + ^ +../../../riscv-gcc/gcc/gimple-fold.c: In function 'bool gimple_fold_builtin_strncpy(gimple_stmt_iterator*, tree, tree, tree)': +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, fndecl, slen); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, fndecl); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c: In function 'bool gimple_fold_builtin_strncat(gimple_stmt_iterator*)': +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, fndecl, len, dstsize); + ^ +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, fndecl, len)) + ^ +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c: In function 'void find_explicit_erroneous_behavior()': +../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c:554:35: warning: format not a string literal and no format arguments [-Wformat-security] + OPT_Wreturn_local_addr, msg)) + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In function 'bool {anonymous}::format_directive(const {anonymous}::sprintf_dom_walker::call_info&, {anonymous}::format_result*, const {anonymous}::directive&, vr_values*)': +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: unknown conversion type character 'G' in format [-Wformat=] + target_to_host (hostdir, sizeof hostdir, dir.beg)); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: field precision specifier '.*' expects argument of type 'int', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: format '%s' expects argument of type 'char*', but argument 7 has type 'int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function 'bool {anonymous}::maybe_diag_overlap(location_t, gimple*, {anonymous}::builtin_access&)': +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[0], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character 'G' in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In member function 'bool {anonymous}::sprintf_dom_walker::handle_gimple_call(gimple_stmt_iterator*)': +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: unknown conversion type character 'G' in format [-Wformat=] + info.callstmt); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character 'G' in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: unknown conversion type character 'G' in format [-Wformat=] + info.callstmt); + ^ +../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: unknown conversion type character 'G' in format [-Wformat=] + offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%s' expects argument of type 'char*', but argument 7 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%wu' expects argument of type 'long unsigned int', but argument 9 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: unknown conversion type character 'G' in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%s' expects argument of type 'char*', but argument 7 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 9 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%s' expects argument of type 'char*', but argument 10 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[0], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%s' expects argument of type 'char*', but argument 10 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[0], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, sizrange[0], sizrange[1], ovlsiz[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character 'G' in format [-Wformat=] + offstr[0], offstr[1], ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character 'G' in format [-Wformat=] + ovlsiz[1], offstr[2]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function 'bool {anonymous}::maybe_diag_access_bounds(location_t, gimple*, tree, int, const {anonymous}::builtin_memref&, bool)': +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: unknown conversion type character 'G' in format [-Wformat=] + maxobjsize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: unknown conversion type character 'G' in format [-Wformat=] + maxobjsize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, rangestr[0], rangestr[1], ref.base, type)) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format '%D' expects argument of type 'tree_node*', but argument 7 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, rangestr[0], rangestr[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, rangestr[0], rangestr[1]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character 'G' in format [-Wformat=] + ref.base, TREE_TYPE (ref.base))) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 7 has type 'long unsigned int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 7 has type 'long unsigned int' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character 'G' in format [-Wformat=] + ref.base, TREE_TYPE (ref.base))) + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, rangestr[0], ref.basesize.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, rangestr[0]); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func, rangestr[0], ref.base, type); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format '%E' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: unknown conversion type character 'G' in format [-Wformat=] + ref.refoff.to_uhwi ()); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%E' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%wu' expects argument of type 'long unsigned int', but argument 9 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function 'int check_bounds_or_overlap(gimple*, tree, tree, tree, tree, bool, bool)': +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: unknown conversion type character 'G' in format [-Wformat=] + call, func); + ^ +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/hsa-gen.c: In member function 'void omp_simple_builtin::generate(gimple*, hsa_bb*)': +../../../riscv-gcc/gcc/hsa-gen.c:88:32: warning: format not a string literal and no format arguments [-Wformat-security] + inform (location, message); \ + ^ +../../../riscv-gcc/gcc/hsa-gen.c:4548:2: note: in expansion of macro 'HSA_SORRY_AT' + HSA_SORRY_AT (gimple_location (stmt), m_warning_message); + ^~~~~~~~~~~~ +../../../riscv-gcc/gcc/hsa-gen.c:4555:68: warning: format not a string literal and no format arguments [-Wformat-security] + warning_at (gimple_location (stmt), OPT_Whsa, m_warning_message); + ^ +../../../riscv-gcc/gcc/ipa-devirt.c: In function 'void warn_odr(tree, tree, tree, tree, bool, bool*, const char*)': +../../../riscv-gcc/gcc/ipa-devirt.c:1050:47: warning: format not a string literal and no format arguments [-Wformat-security] + inform (DECL_SOURCE_LOCATION (decl2), reason); + ^ +../../../riscv-gcc/gcc/reload1.c: In function 'void elimination_costs_in_insn(rtx_insn*)': +../../../riscv-gcc/gcc/reload1.c:3754:28: warning: 'orig_dup[0]' may be used uninitialized in this function [-Wmaybe-uninitialized] + *recog_data.dup_loc[i] = orig_dup[i]; + ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ +../../../riscv-gcc/gcc/tree-ssa-ccp.c: In member function 'virtual unsigned int {anonymous}::pass_post_ipa_warn::execute(function*)': +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: unknown conversion type character 'G' in format [-Wformat=] + "expected", stmt, i + 1)) + ^ +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: format '%u' expects argument of type 'unsigned int', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function 'bool maybe_diag_stxncpy_trunc(gimple_stmt_iterator, tree, tree)': +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, func, cnt); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, func, cnt, lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: unknown conversion type character 'G' in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, func, cnt, lenrange[1].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character 'G' in format [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: unknown conversion type character 'G' in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[1].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: unknown conversion type character 'G' in format [-Wformat=] + cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, func, cnt); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function 'void handle_builtin_stxncpy(built_in_function, gimple_stmt_iterator*)': +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, func)) + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: unknown conversion type character 'G' in format [-Wformat=] + stmt, func); + ^ +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] +../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function 'void print_conversion_rejection(location_t, conversion_info*, tree)': +../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: unknown conversion type character 'H' in format [-Wformat=] + from, info->to_type); + ^ +../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: unknown conversion type character 'H' in format [-Wformat=] + from, info->to_type); + ^ +../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: unknown conversion type character 'H' in format [-Wformat=] + info->n_arg + 1, from, info->to_type); + ^ +../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function 'z_candidate* build_user_type_conversion_1(tree, tree, int, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: unknown conversion type character 'H' in format [-Wformat=] + fromtype, totype); + ^ +../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function 'tree_node* build_converted_constant_expr_internal(tree, tree, int, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: unknown conversion type character 'H' in format [-Wformat=] + type, next_conversion (c)->type); + ^ +../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: unknown conversion type character 'H' in format [-Wformat=] + "converted constant expression", t, type); + ^ +../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: unknown conversion type character 'H' in format [-Wformat=] + TREE_TYPE (expr), type); + ^ +../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function 'tree_node* build_conditional_expr_1(const op_location_t&, tree, tree, tree, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: unknown conversion type character 'H' in format [-Wformat=] + "involves truncation", arg2_type, vtype); + ^ +../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: unknown conversion type character 'H' in format [-Wformat=] + "involves truncation", arg3_type, vtype); + ^ +../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function 'tree_node* convert_like_real(conversion*, tree, tree, int, bool, bool, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: unknown conversion type character 'H' in format [-Wformat=] + totype, TREE_TYPE (expr)); + ^ +../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: unknown conversion type character 'H' in format [-Wformat=] + totype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: unknown conversion type character 'H' in format [-Wformat=] + TREE_TYPE (expr), totype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: unknown conversion type character 'H' in format [-Wformat=] + "lvalue of type %qI", totype, extype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: unknown conversion type character 'H' in format [-Wformat=] + "type %qH to an rvalue of type %qI", totype, extype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: unknown conversion type character 'H' in format [-Wformat=] + "discards qualifiers", totype, extype); + ^ +../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function 'tree_node* convert_arg_to_ellipsis(tree, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: unknown conversion type character 'H' in format [-Wformat=] + arg_type, double_type_node); + ^ +../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c: In function 'tree_node* cp_convert_to_pointer(tree, tree, bool, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: unknown conversion type character 'H' in format [-Wformat=] + intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: unknown conversion type character 'H' in format [-Wformat=] + expr, intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: unknown conversion type character 'H' in format [-Wformat=] + expr, intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: unknown conversion type character 'H' in format [-Wformat=] + expr, intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: unknown conversion type character 'H' in format [-Wformat=] + error_at (loc, "invalid conversion from %qH to %qI", intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: unknown conversion type character 'H' in format [-Wformat=] + expr, intype, type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c: In function 'tree_node* convert_to_reference(tree, tree, int, int, tree, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: unknown conversion type character 'H' in format [-Wformat=] + ttr, reftype); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: unknown conversion type character 'H' in format [-Wformat=] + error_at (loc, "cannot convert type %qH to type %qI", intype, reftype); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/cvt.c: In function 'tree_node* ocp_convert(tree, tree, int, int, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/cvt.c:707:26: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_conv_diag); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: unknown conversion type character 'H' in format [-Wformat=] + TREE_TYPE (expr), type); + ^ +../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function 'void complain_about_bad_argument(location_t, tree, tree, tree, int)': +../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: unknown conversion type character 'H' in format [-Wformat=] + from_type, to_type); + ^ +../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function 'int joust(z_candidate*, z_candidate*, bool, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: unknown conversion type character 'H' in format [-Wformat=] + source, w->second_conv->type)) + ^ +../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function 'tree_node* perform_implicit_conversion_flags(tree, tree, tsubst_flags_t, int)': +../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: unknown conversion type character 'H' in format [-Wformat=] + expr, TREE_TYPE (expr), type); + ^ +../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c: In function 'tree_node* initialize_reference(tree, tree, int, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: unknown conversion type character 'H' in format [-Wformat=] + type, TREE_TYPE (expr)); + ^ +../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: unknown conversion type character 'H' in format [-Wformat=] + TREE_TYPE (expr)); + ^ +../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/pt.c: In function 'tree_node* tsubst_copy_and_build(tree, tree, tsubst_flags_t, tree, bool, bool)': +../../../riscv-gcc/gcc/cp/pt.c:18292:20: warning: format not a string literal and no format arguments [-Wformat-security] + error (error_msg); + ^ +../../../riscv-gcc/gcc/cp/typeck2.c: In function 'bool check_narrowing(tree, tree, tsubst_flags_t, bool)': +../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: unknown conversion type character 'H' in format [-Wformat=] + init, ftype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: unknown conversion type character 'H' in format [-Wformat=] + init, ftype, type) + ^ +../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: unknown conversion type character 'H' in format [-Wformat=] + init, ftype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c: In function 'tree_node* cp_build_binary_op(const op_location_t&, tree_code, tree, tree, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/typeck.c:4480:24: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_op_diag); + ^ +../../../riscv-gcc/gcc/cp/typeck.c: In function 'tree_node* cp_build_unary_op(tree_code, tree, bool, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/typeck.c:6232:24: warning: format not a string literal and no format arguments [-Wformat-security] + error (invalid_op_diag); + ^ +../../../riscv-gcc/gcc/cp/typeck.c: In function 'tree_node* convert_member_func_to_ptr(tree, tree, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: unknown conversion type character 'H' in format [-Wformat=] + "converting from %qH to %qI", intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c: In function 'tree_node* build_reinterpret_cast_1(tree, tree, bool, bool*, tsubst_flags_t)': +../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: unknown conversion type character 'H' in format [-Wformat=] + intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: unknown conversion type character 'H' in format [-Wformat=] + " from %qH to %qI", intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: unknown conversion type character 'H' in format [-Wformat=] + " from %qH to %qI", intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: unknown conversion type character 'H' in format [-Wformat=] + "increases required alignment of target type", intype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c: In function 'tree_node* build_const_cast_1(tree, tree, tsubst_flags_t, bool*)': +../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: unknown conversion type character 'H' in format [-Wformat=] + src_type, dst_type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c: In function 'tree_node* convert_for_assignment(tree, tree, impl_conv_rhs, tree, int, tsubst_flags_t, int)': +../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: unknown conversion type character 'H' in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: unknown conversion type character 'H' in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: unknown conversion type character 'H' in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: unknown conversion type character 'H' in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: unknown conversion type character 'H' in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: unknown conversion type character 'H' in format [-Wformat=] + rhstype, type); + ^ +../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: unknown conversion type character 'I' in format [-Wformat=] +../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: too many arguments for format [-Wformat-extra-args] +../../../riscv-gcc/gcc/collect2.c: In function 'void scan_prog_file(const char*, scanpass, scanfilter)': +../../../riscv-gcc/gcc/collect2.c:2463:37: warning: format not a string literal and no format arguments [-Wformat-security] + fatal_error (input_location, errmsg); + ^ +../../../riscv-gcc/gcc/collect-utils.c: In function 'pex_obj* collect_execute(const char*, char**, const char*, const char*, int, bool)': +../../../riscv-gcc/gcc/collect-utils.c:195:37: warning: format not a string literal and no format arguments [-Wformat-security] + fatal_error (input_location, errmsg); + ^ +../../../riscv-gcc/gcc/lto-wrapper.c: In function 'bool find_and_merge_options(int, off_t, const char*, cl_decoded_option**, unsigned int*, const char*)': +../../../riscv-gcc/gcc/lto-wrapper.c:1005:8: warning: ignoring return value of 'ssize_t read(int, void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] + read (fd, data, length); + ~~~~~^~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l: In function 'int yylex(const char**)': +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' + "GTY"/{EOID} { return GTY_TOKEN; } + ^~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here + "^" | + ^~~~ +gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] + #define YY_DO_BEFORE_ACTION \ + ~~~~~~~~~~~~~^~~ +../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' + + ^ +../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here + size_t len; + ^ ~~ +../../../riscv-gcc/gcc/gcc.c: In function 'long unsigned int get_random_number()': +../../../riscv-gcc/gcc/gcc.c:9639:12: warning: ignoring return value of 'ssize_t read(int, void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] + read (fd, &ret, sizeof (HOST_WIDE_INT)); + ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c: In function 'void do_report_bug(const char**, int, char**, char**)': +../../../riscv-gcc/gcc/gcc.c:6999:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] + write (fd, "\n//", 3); + ~~~~~~^~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7002:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] + write (fd, " ", 1); + ~~~~~~^~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7003:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] + write (fd, new_argv[i], strlen (new_argv[i])); + ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/gcc.c:7005:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] + write (fd, "\n\n", 2); + ~~~~~~^~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/config/riscv/riscv.md:2281:1: warning: operand 0 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2303:1: warning: operand 1 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2326:1: warning: operand 0 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2349:1: warning: operand 1 missing mode? +../../../riscv-gcc/gcc/config/riscv/riscv.md:2415:1: warning: source missing a mode? +Statistics for recog: + Number of decisions: 1699 + longest path: 32 (code: 188) + longest backtrack: 13 (code: 336) +Statistics for split_insns: + Number of decisions: 209 + longest path: 30 (code: 40) + longest backtrack: 3 (code: 40) +Statistics for peephole2_insns: + Number of decisions: 22 + longest path: 22 (code: 1) + longest backtrack: 0 (code: 1) +Shared 1212 out of 3026 states by creating 356 new states, saving 856 +GIMPLE decision tree has 2874 leafs, maximum depth 12 and a total number of 11721 nodes +removed 1855 duplicate tails +GENERIC decision tree has 2760 leafs, maximum depth 12 and a total number of 11180 nodes +removed 1757 duplicate tails +../../../riscv-gcc/gcc/lto/lto.c: In function 'void lto_resolution_read(splay_tree, FILE*, lto_file*)': +../../../riscv-gcc/gcc/lto/lto.c:1918:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] + fscanf (resolution, " "); /* Read white space. */ + ~~~~~~~^~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/lto/lto.c:1920:9: warning: ignoring return value of 'size_t fread(void*, size_t, size_t, FILE*)', declared with attribute warn_unused_result [-Wunused-result] + fread (obj_name, sizeof (char), name_len, resolution); + ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/lto/lto.c:1940:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] + fscanf (resolution, "%u", &num_symbols); + ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../riscv-gcc/gcc/fortran/trans-intrinsic.c: In function 'void gfc_conv_intrinsic_findloc(gfc_se*, gfc_expr*)': +../../../riscv-gcc/gcc/fortran/trans-intrinsic.c:5615:7: warning: 'forward_branch' may be used uninitialized in this function [-Wmaybe-uninitialized] + tmp = build3_v (COND_EXPR, backse.expr, forward_branch, back_branch); +Applying hpux8_bogus_inlines to math.h +Applying io_quotes_use to scsi/cxlflash_ioctl.h +Applying sysv68_string to string.h +Applying io_quotes_use to mtd/ubi-user.h +Applying pthread_incomplete_struct_argument to pthread.h +Applying io_quotes_use to rdma/rdma_user_ioctl.h +Applying io_quotes_use to sys/mount.h +Applying io_quotes_use to sys/raw.h +Applying sun_malloc to malloc.h +Applying io_quotes_use to misc/cxl.h +Applying io_quotes_use to misc/ocxl.h +Applying io_quotes_use to sound/asound.h +Applying io_quotes_use to sound/emu10k1.h +Applying io_quotes_use to sound/asequencer.h +Applying io_quotes_use to sound/compress_offload.h +Applying io_quotes_use to drm/omap_drm.h +Applying io_quotes_use to drm/r128_drm.h +Applying io_quotes_use to drm/i915_drm.h +Applying io_quotes_use to drm/nouveau_drm.h +Applying io_quotes_use to drm/mga_drm.h +Applying io_quotes_use to drm/via_drm.h +Applying io_quotes_use to drm/i810_drm.h +Applying io_quotes_use to drm/radeon_drm.h +Applying io_quotes_use to drm/vc4_drm.h +Applying io_quotes_use to drm/vgem_drm.h +Applying io_quotes_use to drm/amdgpu_drm.h +Applying io_quotes_use to drm/sis_drm.h +Applying io_quotes_use to drm/msm_drm.h +Applying io_quotes_use to drm/drm.h +Applying io_quotes_use to drm/tegra_drm.h +Applying io_quotes_use to drm/v3d_drm.h +Applying io_quotes_use to drm/exynos_drm.h +Applying io_quotes_use to drm/savage_drm.h +Applying io_quotes_use to drm/etnaviv_drm.h +Applying io_quotes_use to linux/dm-ioctl.h +Applying io_quotes_use to linux/btrfs.h +Applying io_quotes_use to linux/fpga-dfl.h +Applying io_quotes_use to linux/agpgart.h +Applying io_quotes_use to linux/rpmsg.h +Applying io_quotes_use to linux/vfio.h +Applying io_quotes_use to linux/if_pppox.h +Applying io_quotes_use to linux/vtpm_proxy.h +Applying io_quotes_use to linux/ptp_clock.h +-fself-test: 46308 pass(es) in 0.375724 seconds +Applying io_quotes_use to linux/mmc/ioctl.h +Applying io_quotes_use to linux/ipmi.h +Applying io_quotes_use to linux/ndctl.h +Applying io_quotes_use to linux/vboxguest.h +Applying io_quotes_use to linux/nsfs.h +Applying io_quotes_use to linux/dn.h +Applying io_quotes_use to linux/fd.h +Applying io_quotes_use to linux/synclink.h +Applying io_quotes_use to linux/wmi.h +Applying io_quotes_use to linux/gpio.h +Applying io_quotes_use to linux/atmbr2684.h +Applying io_quotes_use to linux/suspend_ioctls.h +Applying io_quotes_use to linux/ppdev.h +Applying io_quotes_use to linux/genwqe/genwqe_card.h +Applying io_quotes_use to linux/ipmi_bmc.h +Applying io_quotes_use to linux/seccomp.h +Applying io_quotes_use to linux/omapfb.h +Applying io_quotes_use to linux/vhost.h +Applying io_quotes_use to linux/tee.h +Applying io_quotes_use to linux/lightnvm.h +Applying io_quotes_use to linux/cm4000_cs.h +Applying io_quotes_use to linux/mmtimer.h +Applying io_quotes_use to linux/usb/tmc.h +Applying io_quotes_use to linux/aspeed-lpc-ctrl.h +Applying io_quotes_use to linux/gigaset_dev.h +Applying io_quotes_def to linux/version.h +Applying io_quotes_use to linux/rio_cm_cdev.h +Applying io_quotes_use to linux/nbd.h +Applying io_quotes_use to linux/fuse.h +Applying io_quotes_use to linux/rfkill.h +Applying io_quotes_use to linux/pktcdvd.h +Applying io_quotes_use to linux/watchdog.h +Applying io_quotes_use to linux/i2o-dev.h +Applying io_quotes_use to linux/vm_sockets.h +Applying io_quotes_use to linux/userfaultfd.h +Applying io_quotes_use to linux/uinput.h +Applying io_quotes_def to linux/pci_regs.h +Applying io_quotes_use to linux/reiserfs_fs.h +Applying io_quotes_use to linux/random.h +Applying io_quotes_use to linux/bt-bmc.h +Applying io_quotes_use to linux/cciss_ioctl.h +Applying io_quotes_use to linux/psp-sev.h +Applying io_quotes_def to linux/ppp-comp.h +Applying io_quotes_use to linux/phantom.h +Applying io_quotes_use to linux/blkpg.h +Applying io_quotes_def to linux/soundcard.h +Applying io_quotes_use to linux/raid/md_u.h +Applying io_quotes_use to linux/dma-buf.h +Applying io_quotes_use to linux/fs.h +Applying io_quotes_use to linux/raw.h +Applying io_quotes_use to linux/spi/spidev.h +Applying io_quotes_use to linux/hsi/cs-protocol.h +Applying io_quotes_use to linux/hsi/hsi_char.h +Applying io_quotes_use to linux/blkzoned.h +Applying io_quotes_use to linux/sync_file.h +Applying io_quotes_use to linux/auto_fs.h +Applying io_quotes_use to video/sisfb.h +Applying glibc_stdint to stdint.h +-fself-test: 46338 pass(es) in 0.372092 seconds +configure: WARNING: decimal float is not supported for this target, ignored +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +In file included from ../../../../riscv-gcc/libgcc/unwind-dw2.c:411: +./md-unwind-support.h: In function 'riscv_fallback_frame_state': +./md-unwind-support.h:67:6: warning: assignment to 'struct sigcontext *' from incompatible pointer type 'mcontext_t *' {aka 'struct mcontext_t *'} [-Wincompatible-pointer-types] + 67 | sc = &rt_->uc.uc_mcontext; + | ^ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ + | ^~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ + | ^~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] + 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' + 106 | GENERATE_COMPARE_AND_SWAP(type, size) + | ^~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' + 109 | GENERATE_ALL(unsigned short, 2) + | ^~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c: In function '__sync_nand_and_fetch_1': +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:63:14: note: '__sync_fetch_and_nand' changed semantics in GCC 4.4 + 63 | type o = __sync_fetch_and_ ## opname ## _ ## size (p, v); \ + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' + 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ + | ^~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' + 108 | GENERATE_ALL(unsigned char, 1) + | ^~~~~~~~~~~~ +In file included from ../../../../riscv-gcc/libgcc/unwind-dw2.c:411: +./md-unwind-support.h: In function 'riscv_fallback_frame_state': +./md-unwind-support.h:67:6: warning: assignment to 'struct sigcontext *' from incompatible pointer type 'mcontext_t *' {aka 'struct mcontext_t *'} [-Wincompatible-pointer-types] + 67 | sc = &rt_->uc.uc_mcontext; + | ^ +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +configure: WARNING: No native atomic operations are provided for this platform. +configure: WARNING: They will be faked using a mutex. +configure: WARNING: Performance of certain classes will degrade as a result. +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c: In function 'date_and_time': +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:33: warning: '%04d' directive output may be truncated writing between 4 and 11 bytes into a region of size 9 [-Wformat-truncation=] + 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", + | ^~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:32: note: directive argument in the range [-2147481748, 2147483647] + 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", + | ^~~~~~~~~~~~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:32: note: directive argument in the range [-2147483647, 2147483647] +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:2: note: 'snprintf' output between 9 and 34 bytes into a destination of size 9 + 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + 166 | values[0], values[1], values[2]); + | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:33: warning: '%+03d' directive output may be truncated writing between 3 and 9 bytes into a region of size 6 [-Wformat-truncation=] + 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", + | ^~~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:32: note: directive argument in the range [-35791394, 35791394] + 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", + | ^~~~~~~~~~~ +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:32: note: directive argument in the range [0, 59] +../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:2: note: 'snprintf' output between 6 and 12 bytes into a destination of size 6 + 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", + | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + 173 | values[3] / 60, abs (values[3] % 60)); + | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: .libs/fpu.o: in function `.L11': +fpu.c:(.text._gfortrani_set_fpu_trap_exceptions+0x86): warning: fedisableexcept is not implemented and will always fail +/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: fpu.c:(.text._gfortrani_set_fpu_trap_exceptions+0x74): warning: feenableexcept is not implemented and will always fail +/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: .libs/fpu.o: in function `_gfortrani_get_fpu_trap_exceptions': +fpu.c:(.text._gfortrani_get_fpu_trap_exceptions+0x4): warning: fegetexcept is not implemented and will always fail +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Makefile:867: warning: overriding recipe for target 'all-multi' +Makefile:861: warning: ignoring old recipe for target 'all-multi' +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-isa-sim'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-pk'... +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-tests'... +Submodule 'env' (https://github.com/riscv/riscv-test-env.git) registered for path 'toolchains/riscv-tools/riscv-tests/env' +Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-tests/env'... +Cloning into '/home/riscvuser/chipyard/toolchains/libgloss'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu'... +Submodule 'capstone' (https://git.qemu.org/git/capstone.git) registered for path 'toolchains/qemu/capstone' +Submodule 'dtc' (https://git.qemu.org/git/dtc.git) registered for path 'toolchains/qemu/dtc' +Submodule 'roms/QemuMacDrivers' (https://git.qemu.org/git/QemuMacDrivers.git) registered for path 'toolchains/qemu/roms/QemuMacDrivers' +Submodule 'roms/SLOF' (https://git.qemu.org/git/SLOF.git) registered for path 'toolchains/qemu/roms/SLOF' +Submodule 'roms/edk2' (https://git.qemu.org/git/edk2.git) registered for path 'toolchains/qemu/roms/edk2' +Submodule 'roms/ipxe' (https://git.qemu.org/git/ipxe.git) registered for path 'toolchains/qemu/roms/ipxe' +Submodule 'roms/openbios' (https://git.qemu.org/git/openbios.git) registered for path 'toolchains/qemu/roms/openbios' +Submodule 'roms/openhackware' (https://git.qemu.org/git/openhackware.git) registered for path 'toolchains/qemu/roms/openhackware' +Submodule 'roms/opensbi' (https://git.qemu.org/git/opensbi.git) registered for path 'toolchains/qemu/roms/opensbi' +Submodule 'roms/qemu-palcode' (https://git.qemu.org/git/qemu-palcode.git) registered for path 'toolchains/qemu/roms/qemu-palcode' +Submodule 'roms/seabios' (https://git.qemu.org/git/seabios.git/) registered for path 'toolchains/qemu/roms/seabios' +Submodule 'roms/seabios-hppa' (https://git.qemu.org/git/seabios-hppa.git) registered for path 'toolchains/qemu/roms/seabios-hppa' +Submodule 'roms/sgabios' (https://git.qemu.org/git/sgabios.git) registered for path 'toolchains/qemu/roms/sgabios' +Submodule 'roms/skiboot' (https://git.qemu.org/git/skiboot.git) registered for path 'toolchains/qemu/roms/skiboot' +Submodule 'roms/u-boot' (https://git.qemu.org/git/u-boot.git) registered for path 'toolchains/qemu/roms/u-boot' +Submodule 'roms/u-boot-sam460ex' (https://git.qemu.org/git/u-boot-sam460ex.git) registered for path 'toolchains/qemu/roms/u-boot-sam460ex' +Submodule 'slirp' (https://git.qemu.org/git/libslirp.git) registered for path 'toolchains/qemu/slirp' +Submodule 'tests/fp/berkeley-softfloat-3' (https://git.qemu.org/git/berkeley-softfloat-3.git) registered for path 'toolchains/qemu/tests/fp/berkeley-softfloat-3' +Submodule 'tests/fp/berkeley-testfloat-3' (https://git.qemu.org/git/berkeley-testfloat-3.git) registered for path 'toolchains/qemu/tests/fp/berkeley-testfloat-3' +Submodule 'ui/keycodemapdb' (https://git.qemu.org/git/keycodemapdb.git) registered for path 'toolchains/qemu/ui/keycodemapdb' +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/capstone'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/dtc'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/QemuMacDrivers'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/SLOF'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/ipxe'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/openbios'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/openhackware'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/opensbi'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/qemu-palcode'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/seabios'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/seabios-hppa'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/sgabios'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/skiboot'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/u-boot'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/u-boot-sam460ex'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/slirp'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/tests/fp/berkeley-softfloat-3'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/tests/fp/berkeley-testfloat-3'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/ui/keycodemapdb'... +Submodule 'SoftFloat' (https://github.com/ucb-bar/berkeley-softfloat-3.git) registered for path 'toolchains/qemu/roms/edk2/ArmPkg/Library/ArmSoftFloatLib/berkeley-softfloat-3' +Submodule 'CryptoPkg/Library/OpensslLib/openssl' (https://github.com/openssl/openssl) registered for path 'toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl' +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/ArmPkg/Library/ArmSoftFloatLib/berkeley-softfloat-3'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl'... +Submodule 'boringssl' (https://boringssl.googlesource.com/boringssl) registered for path 'toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/boringssl' +Submodule 'krb5' (https://github.com/krb5/krb5) registered for path 'toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/krb5' +Submodule 'pyca.cryptography' (https://github.com/pyca/cryptography.git) registered for path 'toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/pyca-cryptography' +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/boringssl'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/krb5'... +Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/pyca-cryptography'... +ar: creating libdromajo_cosim.a +Removing intermediate container d4c7d9e86f09 + ---> 67593a0dda02 +Step 11/12 : ENTRYPOINT ["/home/riscvuser/chipyard/scripts/entrypoint.sh"] + ---> Running in 15694aa6e91a +Removing intermediate container 15694aa6e91a + ---> df74d16ea3fb +Step 12/12 : CMD ["/bin/sh"] + ---> Running in 00e6435aedd8 +Removing intermediate container 00e6435aedd8 + ---> 24b1063f956e +Successfully built 24b1063f956e +[schwarzem@a6:/scratch/schwarzem/chipyard]$ eixit +exit + +Script done on 2020-12-22 19:36:46-0800 diff --git a/verilator-no-env.txt b/verilator-no-env.txt new file mode 100644 index 0000000000..9100a140b3 --- /dev/null +++ b/verilator-no-env.txt @@ -0,0 +1,68235 @@ +Script started on 2020-12-22 19:37:05-0800 +[schwarzem@a6:/scratch/schwarzem/chipyard]$ exitdocker build .exitdocker build .exitdocker build .git pushcommit -m "Cleaned d up dockerfile"add * + cat Dockerfiledocker ps --sizef -k /ocker run --rm -it 4e ec24ac7d0ce bash -ilbuild . + run --rm -it cd d221c694d36 bash -ilbuild . + run --rm -it cd d221c694d36 bash -il  b24[12@b1063f956e b +]0;riscvuser@dd954fd5a122: ~riscvuser@dd954fd5a122:~$ ]0;riscvuser@dd954fd5a122: ~riscvuser@dd954fd5a122:~$ cd chipyard/sims/verilator +]0;riscvuser@dd954fd5a122: ~/chipyard/sims/verilatorriscvuser@dd954fd5a122:~/chipyard/sims/verilator$ make +make -C /home/riscvuser/chipyard/tools/firrtl SBT="java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar" root_dir=/home/riscvuser/chipyard/tools/firrtl build-scala +make[1]: Entering directory '/home/riscvuser/chipyard/tools/firrtl' +java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar "assembly" +OpenJDK 64-Bit Server VM warning: Ignoring option MaxPermSize; support was removed in 8.0 +Getting org.scala-sbt sbt 1.3.8 (this may take some time)... +downloading https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.8/sbt-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#sbt;1.3.8!sbt.jar (21ms) +downloading https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10.jar ... + [SUCCESSFUL ] org.scala-lang#scala-library;2.12.10!scala-library.jar (137ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.8/main_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#main_2.12;1.3.8!main_2.12.jar (155ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.3/io_2.12-1.3.3.jar ... + [SUCCESSFUL ] org.scala-sbt#io_2.12;1.3.3!io_2.12.jar (23ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.8/logic_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#logic_2.12;1.3.8!logic_2.12.jar (15ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.8/actions_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#actions_2.12;1.3.8!actions_2.12.jar (18ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.8/main-settings_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#main-settings_2.12;1.3.8!main-settings_2.12.jar (24ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.8/run_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#run_2.12;1.3.8!run_2.12.jar (15ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.8/command_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#command_2.12;1.3.8!command_2.12.jar (62ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.8/collections_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#collections_2.12;1.3.8!collections_2.12.jar (21ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.8/scripted-sbt-redux_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#scripted-sbt-redux_2.12;1.3.8!scripted-sbt-redux_2.12.jar (39ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.8/scripted-plugin_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#scripted-plugin_2.12;1.3.8!scripted-plugin_2.12.jar (13ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.8/zinc-lm-integration_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-lm-integration_2.12;1.3.8!zinc-lm-integration_2.12.jar (18ms) +downloading https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar ... + [SUCCESSFUL ] org.scala-lang.modules#scala-xml_2.12;1.2.0!scala-xml_2.12.jar(bundle) (26ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar ... + [SUCCESSFUL ] org.scala-sbt#launcher-interface;1.1.3!launcher-interface.jar (15ms) +downloading https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar ... + [SUCCESSFUL ] org.apache.logging.log4j#log4j-api;2.11.2!log4j-api.jar (18ms) +downloading https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar ... + [SUCCESSFUL ] org.apache.logging.log4j#log4j-core;2.11.2!log4j-core.jar (38ms) +downloading https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar ... + [SUCCESSFUL ] org.apache.logging.log4j#log4j-slf4j-impl;2.11.2!log4j-slf4j-impl.jar (62ms) +downloading https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar ... + [SUCCESSFUL ] com.github.cb372#scalacache-caffeine_2.12;0.20.0!scalacache-caffeine_2.12.jar (14ms) +downloading https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC5-3/lm-coursier-shaded_2.12-2.0.0-RC5-3.jar ... + [SUCCESSFUL ] io.get-coursier#lm-coursier-shaded_2.12;2.0.0-RC5-3!lm-coursier-shaded_2.12.jar (195ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar ... + [SUCCESSFUL ] org.scala-sbt#util-logging_2.12;1.3.3!util-logging_2.12.jar (19ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.1/librarymanagement-core_2.12-1.3.1.jar ... + [SUCCESSFUL ] org.scala-sbt#librarymanagement-core_2.12;1.3.1!librarymanagement-core_2.12.jar (27ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.1/librarymanagement-ivy_2.12-1.3.1.jar ... + [SUCCESSFUL ] org.scala-sbt#librarymanagement-ivy_2.12;1.3.1!librarymanagement-ivy_2.12.jar (23ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.4/compiler-interface-1.3.4.jar ... + [SUCCESSFUL ] org.scala-sbt#compiler-interface;1.3.4!compiler-interface.jar (18ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.4/zinc-compile_2.12-1.3.4.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-compile_2.12;1.3.4!zinc-compile_2.12.jar (14ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar ... + [SUCCESSFUL ] org.scala-sbt#util-relation_2.12;1.3.3!util-relation_2.12.jar (103ms) +downloading https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.2/sjson-new-scalajson_2.12-0.8.2.jar ... + [SUCCESSFUL ] com.eed3si9n#sjson-new-scalajson_2.12;0.8.2!sjson-new-scalajson_2.12.jar (16ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar ... + [SUCCESSFUL ] org.scala-sbt#util-position_2.12;1.3.3!util-position_2.12.jar (15ms) +downloading https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar ... + [SUCCESSFUL ] com.eed3si9n#shaded-scalajson_2.12;1.0.0-M4!shaded-scalajson_2.12.jar (16ms) +downloading https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar ... + [SUCCESSFUL ] org.spire-math#jawn-parser_2.12;0.10.4!jawn-parser_2.12.jar (17ms) +downloading https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10.jar ... + [SUCCESSFUL ] org.scala-lang#scala-reflect;2.12.10!scala-reflect.jar (66ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.8/completion_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#completion_2.12;1.3.8!completion_2.12.jar (19ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.8/task-system_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#task-system_2.12;1.3.8!task-system_2.12.jar (16ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.8/tasks_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#tasks_2.12;1.3.8!tasks_2.12.jar (19ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.8/testing_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#testing_2.12;1.3.8!testing_2.12.jar (18ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar ... + [SUCCESSFUL ] org.scala-sbt#util-tracking_2.12;1.3.3!util-tracking_2.12.jar (13ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.4/zinc-classpath_2.12-1.3.4.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-classpath_2.12;1.3.4!zinc-classpath_2.12.jar (13ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.4/zinc-apiinfo_2.12-1.3.4.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-apiinfo_2.12;1.3.4!zinc-apiinfo_2.12.jar (15ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.4/zinc_2.12-1.3.4.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc_2.12;1.3.4!zinc_2.12.jar (14ms) +downloading https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar ... + [SUCCESSFUL ] jline#jline;2.14.6!jline.jar (16ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar ... + [SUCCESSFUL ] org.scala-sbt#util-control_2.12;1.3.3!util-control_2.12.jar (12ms) +downloading https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar ... + [SUCCESSFUL ] com.swoval#file-tree-views;2.1.3!file-tree-views.jar (17ms) +downloading https://repo1.maven.org/maven2/net/java/dev/jna/jna/4.5.0/jna-4.5.0.jar ... + [SUCCESSFUL ] net.java.dev.jna#jna;4.5.0!jna.jar (37ms) +downloading https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/4.5.0/jna-platform-4.5.0.jar ... + [SUCCESSFUL ] net.java.dev.jna#jna-platform;4.5.0!jna-platform.jar (46ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar ... + [SUCCESSFUL ] org.scala-sbt#util-interface;1.3.3!util-interface.jar (14ms) +downloading https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar ... + [SUCCESSFUL ] com.eed3si9n#sjson-new-core_2.12;0.8.3!sjson-new-core_2.12.jar (24ms) +downloading https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar ... + [SUCCESSFUL ] com.lmax#disruptor;3.4.2!disruptor.jar (15ms) +downloading https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10.jar ... + [SUCCESSFUL ] org.scala-lang#scala-compiler;2.12.10!scala-compiler.jar (259ms) +downloading https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar ... + [SUCCESSFUL ] com.google.protobuf#protobuf-java;3.7.0!protobuf-java.jar(bundle) (34ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar ... + [SUCCESSFUL ] org.scala-sbt#util-cache_2.12;1.3.3!util-cache_2.12.jar (16ms) +downloading https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar ... + [SUCCESSFUL ] com.eed3si9n#sjson-new-murmurhash_2.12;0.8.3!sjson-new-murmurhash_2.12.jar (14ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.8/test-agent-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#test-agent;1.3.8!test-agent.jar (16ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar ... + [SUCCESSFUL ] org.scala-sbt#test-interface;1.0!test-interface.jar (62ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.4/compiler-bridge_2.12-1.3.4.jar ... + [SUCCESSFUL ] org.scala-sbt#compiler-bridge_2.12;1.3.4!compiler-bridge_2.12.jar (21ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.4/zinc-classfile_2.12-1.3.4.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-classfile_2.12;1.3.4!zinc-classfile_2.12.jar (18ms) +downloading https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar ... + [SUCCESSFUL ] com.jcraft#jsch;0.1.54!jsch.jar (18ms) +downloading https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar ... + [SUCCESSFUL ] com.eed3si9n#gigahorse-okhttp_2.12;0.5.0!gigahorse-okhttp_2.12.jar (16ms) +downloading https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar ... + [SUCCESSFUL ] com.squareup.okhttp3#okhttp-urlconnection;3.7.0!okhttp-urlconnection.jar (15ms) +downloading https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar ... + [SUCCESSFUL ] com.eed3si9n#gigahorse-core_2.12;0.5.0!gigahorse-core_2.12.jar (17ms) +downloading https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar ... + [SUCCESSFUL ] com.squareup.okhttp3#okhttp;3.14.2!okhttp.jar (19ms) +downloading https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar ... + [SUCCESSFUL ] com.typesafe#ssl-config-core_2.12;0.4.0!ssl-config-core_2.12.jar(bundle) (19ms) +downloading https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar ... + [SUCCESSFUL ] org.reactivestreams#reactive-streams;1.0.2!reactive-streams.jar (13ms) +downloading https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar ... + [SUCCESSFUL ] org.slf4j#slf4j-api;1.7.26!slf4j-api.jar (16ms) +downloading https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar ... + [SUCCESSFUL ] org.scala-lang.modules#scala-parser-combinators_2.12;1.1.2!scala-parser-combinators_2.12.jar(bundle) (20ms) +downloading https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar ... + [SUCCESSFUL ] com.typesafe#config;1.3.3!config.jar(bundle) (20ms) +downloading https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar ... + [SUCCESSFUL ] com.squareup.okio#okio;1.17.2!okio.jar (17ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.4/zinc-core_2.12-1.3.4.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-core_2.12;1.3.4!zinc-core_2.12.jar (27ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.4/zinc-persist_2.12-1.3.4.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-persist_2.12;1.3.4!zinc-persist_2.12.jar (39ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.4/zinc-compile-core_2.12-1.3.4.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-compile-core_2.12;1.3.4!zinc-compile-core_2.12.jar (17ms) +downloading https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar ... + [SUCCESSFUL ] com.trueaccord.scalapb#scalapb-runtime_2.12;0.6.0!scalapb-runtime_2.12.jar (40ms) +downloading https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar ... + [SUCCESSFUL ] com.trueaccord.lenses#lenses_2.12;0.4.12!lenses_2.12.jar (13ms) +downloading https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar ... + [SUCCESSFUL ] com.lihaoyi#fastparse_2.12;0.4.2!fastparse_2.12.jar (19ms) +downloading https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar ... + [SUCCESSFUL ] com.lihaoyi#fastparse-utils_2.12;0.4.2!fastparse-utils_2.12.jar (46ms) +downloading https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar ... + [SUCCESSFUL ] com.lihaoyi#sourcecode_2.12;0.1.3!sourcecode_2.12.jar (16ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar ... + [SUCCESSFUL ] org.scala-sbt#sbinary_2.12;0.5.0!sbinary_2.12.jar (17ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.8/core-macros_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#core-macros_2.12;1.3.8!core-macros_2.12.jar (16ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.8/protocol_2.12-1.3.8.jar ... + [SUCCESSFUL ] org.scala-sbt#protocol_2.12;1.3.8!protocol_2.12.jar (22ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar ... + [SUCCESSFUL ] org.scala-sbt#template-resolver;0.1!template-resolver.jar (15ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.0/ipcsocket-1.0.0.jar ... + [SUCCESSFUL ] org.scala-sbt.ipcsocket#ipcsocket;1.0.0!ipcsocket.jar (14ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar ... + [SUCCESSFUL ] org.scala-sbt#util-scripted_2.12;1.3.3!util-scripted_2.12.jar (14ms) +downloading https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar ... + [SUCCESSFUL ] com.github.cb372#scalacache-core_2.12;0.20.0!scalacache-core_2.12.jar (14ms) +downloading https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar ... + [SUCCESSFUL ] com.github.ben-manes.caffeine#caffeine;2.5.6!caffeine.jar (216ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-88d6a93d15f9b029958c1c289a8859e8dfe31a19/ivy-2.3.0-sbt-88d6a93d15f9b029958c1c289a8859e8dfe31a19.jar ... + [SUCCESSFUL ] org.scala-sbt.ivy#ivy;2.3.0-sbt-88d6a93d15f9b029958c1c289a8859e8dfe31a19!ivy.jar (450ms) +:: retrieving :: org.scala-sbt#boot-app + confs: [default] + 81 artifacts copied, 0 already retrieved (37999kB/85ms) +Getting Scala 2.12.10 (for sbt)... +downloading https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar ... + [SUCCESSFUL ] org.scala-lang.modules#scala-xml_2.12;1.0.6!scala-xml_2.12.jar(bundle) (22ms) +downloading https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar ... + [SUCCESSFUL ] org.fusesource.jansi#jansi;1.12!jansi.jar (17ms) +:: retrieving :: org.scala-sbt#boot-scala + confs: [default] + 6 artifacts copied, 0 already retrieved (20113kB/26ms) +[info] Loading settings for project firrtl-build from plugins.sbt ... +[info] Loading project definition from /home/riscvuser/chipyard/tools/firrtl/project + + + + + + | => Global / publishTo 0s + | => Global / credentials 0s + | => firrtl-build / dependencyResolution 0s + + + + + + + + | => firrtl-build / dependencyResolution 0s + + + + + + + + | => firrtl-build / dependencyResolution 0s + + + + + + | => Global / publishTo 0s + | => Global / credentials 0s + | => firrtl-build / dependencyResolution 0s + + + + + + | => Global / publishTo 0s + | => Global / credentials 0s + | => firrtl-build / dependencyResolution 0s + + + + + + + | => firrtl-build / otherResolvers 0s + | => Global / credentials 0s + + + + + + + | => firrtl-build / otherResolvers 0s + | => Global / credentials 0s + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + | => firrtl-build / dependencyResolution 0s + | => firrtl-build / projectDependencies 0s + | => firrtl-build / Compile / scalacOptions 0s + + + + + + | => firrtl-build / dependencyResolution 0s + | => firrtl-build / projectDependencies 0s + | => firrtl-build / Compile / scalacOptions 0s + + + + + + | => firrtl-build / dependencyResolution 0s + | => firrtl-build / projectDependencies 0s + | => firrtl-build / Compile / scalacOptions 0s + + + + + + | => firrtl-build / allCredentials 0s + | => firrtl-build / csrConfiguration 0s + | => firrtl-build / dependencyPositions 0s + | => firrtl-build / projectDescriptors 0s + | => firrtl-build / csrRecursiveResolvers 0s + | => firrtl-build / csrInterProjectDependencies 0s + | => firrtl-build / csrFallbackDependencies 0s + + + + + + | => firrtl-build / allCredentials 0s + | => firrtl-build / csrConfiguration 0s + | => firrtl-build / dependencyPositions 0s + | => firrtl-build / projectDescriptors 0s + | => firrtl-build / csrRecursiveResolvers 0s + | => firrtl-build / csrInterProjectDependencies 0s + | => firrtl-build / csrFallbackDependencies 0s + + + + + + + + + | => Global / incOptions 0s + | => firrtl-build / csrConfiguration 0s + | => firrtl-build / dependencyPositions 0s + | => firrtl-build / projectDescriptors 0s + + + + + + | => firrtl-build / csrRecursiveResolvers 0s + | => firrtl-build / csrInterProjectDependencies 0s + | => firrtl-build / csrFallbackDependencies 0s + | => firrtl-build / Compile / unmanagedJars 0s + | => firrtl-build / Runtime / unmanagedJars 0s + | => firrtl-build / moduleSettings 0s + | => firrtl-build / csrConfiguration 0s + | => firrtl-build / dependencyPositions 0s + | => firrtl-build / projectDescriptors 0s + + + + + + + + + | => firrtl-build / scalaCompilerBridgeResolvers 0s + | => firrtl-build / csrConfiguration 0s + | => firrtl-build / Compile / externalHooks 0s + | => firrtl-build / Compile / externalHooks 0s + | => firrtl-build / moduleSettings 0s + | => firrtl-build / dependencyPositions 0s + + + + + + + + + + + + + | => firrtl-build / csrProject 0s + | => firrtl-build / dependencyPositions 0s + + + + + + + + + + + + + + | => firrtl-build / update 0s + + + + + + + + + + + + + + | => firrtl-build / update 0s + + + + + + + + + + + + + + | => firrtl-build / update 0s +[info] Updating  + + + + + + + + + + + + + | => firrtl-build / update 0s +https://repo1.maven.org/maven2/com/simplytyped/sbt-antlr4_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-site_2.12_1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalastyle/scalastyle-sbt-plu? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/sbt-mima-plugin_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-assembly_2.12_1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalastyle/scalastyle-sbt-plu? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/sbt-mima-plugin_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/thoughtworks/sbt-api-mappings? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 0s +https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? + 100.0% [##########] 3.1 KiB (36.3 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 100.0% [##########] 3.1 KiB (40.2 KiB / s) +https://repo1.maven.org/maven2/com/thoughtworks/sbt-api-mappings? + 100.0% [##########] 4.6 KiB (61.1 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/sbt-mima-plugin_2.12? + 100.0% [##########] 3.1 KiB (22.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 100.0% [##########] 1.6 KiB (11.0 KiB / s) +https://repo1.maven.org/maven2/org/scalastyle/scalastyle-sbt-plu? + 100.0% [##########] 1.9 KiB (13.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.8/sbt-1.3.8? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-unidoc_2.12_1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-assembly_2.12_1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.8/sbt-1.3.8? + 100.0% [##########] 4.5 KiB (134.9 KiB / s) +https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? + 100.0% [##########] 2.1 KiB (65.4 KiB / s) + + + + + + + + + + + + + | => firrtl-build / update 0s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 0s + + + + + + + + + + + + + | => firrtl-build / update 0s + + + + + + + + + + + + + | => firrtl-build / update 0s + + + + + + + + + + + + + | => firrtl-build / update 0s + + + + + + + + + + + + + | => firrtl-build / update 1s + + + + + + + + + + + + + | => firrtl-build / update 1s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 1s + + + + + + + + + + + + + | => firrtl-build / update 1s + + + + + + + + + + + + + | => firrtl-build / update 1s + + + + + + + + + + + + + | => firrtl-build / update 1s + + + + + + + + + + + + + | => firrtl-build / update 1s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 1s + + + + + + + + + + + + + | => firrtl-build / update 1s + + + + + + + + + + + + + | => firrtl-build / update 1s + + + + + + + + + + + + + | => firrtl-build / update 2s + + + + + + + + + + + + + | => firrtl-build / update 2s +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 2s + + + + + + + + + + + + + | => firrtl-build / update 2s + + + + + + + + + + + + + | => firrtl-build / update 2s +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 2s + + + + + + + + + + + + + | => firrtl-build / update 2s + + + + + + + + + + + + + | => firrtl-build / update 2s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 100.0% [##########] 2.0 KiB (6.8 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 1.7 KiB (5.3 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 2.4 KiB (7.1 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 1.5 KiB (4.4 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 100.0% [##########] 2.3 KiB (6.7 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 4.0 KiB (12.0 KiB / s) + + + + + + + + + + + + + | => firrtl-build / update 2s +https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/9/oss? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/9/oss? + 100.0% [##########] 6.4 KiB (98.7 KiB / s) + + + + + + + + + + + + + | => firrtl-build / update 2s + + + + + + + + + + + + + | => firrtl-build / update 3s +https://repo1.maven.org/maven2/com/geirsson/coursier-small_2.12/? + 100.0% [##########] 1.8 KiB (122.8 KiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? + 100.0% [##########] 2.5 KiB (167.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.3/io_2.? + 100.0% [##########] 3.4 KiB (225.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.8/mai? + 100.0% [##########] 7.8 KiB (521.9 KiB / s) +https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.24/snakeyaml? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.7.2/jarja? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/config/1.3.4/config-? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/config/1.3.4/config-? + 100.0% [##########] 2.2 KiB (90.7 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/mima-core_2.12/0.7.0? + 100.0% [##########] 3.0 KiB (330.7 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 100.0% [##########] 9.5 KiB (414.8 KiB / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? + 100.0% [##########] 2.6 KiB (136.1 KiB / s) +https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.7.2/jarja? + 100.0% [##########] 2.1 KiB (81.6 KiB / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? + 100.0% [##########] 2.2 KiB (78.0 KiB / s) +https://repo1.maven.org/maven2/org/scalastyle/scalastyle_2.12/1.? + 100.0% [##########] 2.6 KiB (134.4 KiB / s) +https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? + 100.0% [##########] 2.4 KiB (188.2 KiB / s) +https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.24/snakeyaml? + 100.0% [##########] 36.8 KiB (995.3 KiB / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-filter_2? + 100.0% [##########] 2.9 KiB (240.7 KiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? + 100.0% [##########] 2.0 KiB (185.6 KiB / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-jetty_2.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/thoughtworks/extractor/extrac? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/planet42/laika-sbt_2.12_1.0/0? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? + 100.0% [##########] 2.9 KiB (137.3 KiB / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/sbt-paradox? + 100.0% [##########] 2.7 KiB (190.3 KiB / s) +https://repo1.maven.org/maven2/com/thoughtworks/extractor/extrac? + 100.0% [##########] 2.6 KiB (124.5 KiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? + 100.0% [##########] 2.0 KiB (72.9 KiB / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-directiv? + 100.0% [##########] 2.9 KiB (151.8 KiB / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-jetty_2.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/planet42/laika-sbt_2.12_1.0/0? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-jetty_2.? + 100.0% [##########] 2.7 KiB (47.9 KiB / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 3s +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 100.0% [##########] 1.6 KiB (16.4 KiB / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 3s + + + + + + + + + + + + + | => firrtl-build / update 3s + + + + + + + + + + + + + | => firrtl-build / update 3s + + + + + + + + + + + + + | => firrtl-build / update 3s + + + + + + + + + + + + + | => firrtl-build / update 3s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 3s + + + + + + + + + + + + + | => firrtl-build / update 3s + + + + + + + + + + + + + | => firrtl-build / update 3s + + + + + + + + + + + + + | => firrtl-build / update 4s + + + + + + + + + + + + + | => firrtl-build / update 4s +https://download.eclipse.org/jgit/maven/org/planet42/laika-sbt_2? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 4s + + + + + + + + + + + + + | => firrtl-build / update 4s + + + + + + + + + + + + + | => firrtl-build / update 4s + + + + + + + + + + + + + | => firrtl-build / update 4s +https://download.eclipse.org/jgit/maven/org/planet42/laika-sbt_2? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 4s + + + + + + + + + + + + + | => firrtl-build / update 4s + + + + + + + + + + + + + | => firrtl-build / update 4s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 4s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 100.0% [##########] 2.5 KiB (20.8 KiB / s) + + + + + + + + + + + + + | => firrtl-build / update 5s +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 100.0% [##########] 35.3 KiB (2.2 MiB / s) + + + + + + + + + + + + + | => firrtl-build / update 5s +https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_? + 100.0% [##########] 4.4 KiB (258.8 KiB / s) +https://repo1.maven.org/maven2/org/apache/ant/ant/1.9.9/ant-1.9.? + 100.0% [##########] 9.4 KiB (552.9 KiB / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? + 100.0% [##########] 1.1 KiB (65.7 KiB / s) +https://repo1.maven.org/maven2/org/apache/maven/maven-plugin-api? + 100.0% [##########] 2.6 KiB (154.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/ant/ant/1.9.9/ant-1.9.? + 100.0% [##########] 9.4 KiB (254.0 KiB / s) +https://repo1.maven.org/maven2/org/apache/maven/maven-plugin-api? + 100.0% [##########] 2.6 KiB (71.0 KiB / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? + 100.0% [##########] 1.1 KiB (30.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? + 100.0% [##########] 3.8 KiB (103.6 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.1? + 100.0% [##########] 3.1 KiB (84.6 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_? + 100.0% [##########] 4.4 KiB (115.8 KiB / s) +https://repo1.maven.org/maven2/org/antlr/stringtemplate/3.2.1/st? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.55/jsch-0.1.5? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcpkix-jdk15on/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffe? + 100.0% [##########] 2.4 KiB (168.0 KiB / s) +https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.55/jsch-0.1.5? + 100.0% [##########] 3.2 KiB (126.4 KiB / s) +https://repo1.maven.org/maven2/org/antlr/stringtemplate/3.2.1/st? + 100.0% [##########] 7.3 KiB (280.2 KiB / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcpkix-jdk15on/1? + 100.0% [##########] 1.4 KiB (65.1 KiB / s) +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? + 100.0% [##########] 2.1 KiB (79.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 100.0% [##########] 2.4 KiB (173.0 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 100.0% [##########] 1.8 KiB (77.0 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.? + 100.0% [##########] 4.3 KiB (267.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.8/? + 100.0% [##########] 6.0 KiB (401.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/? + 100.0% [##########] 1.8 KiB (96.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? + 100.0% [##########] 4.3 KiB (159.0 KiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 100.0% [##########] 2.4 KiB (133.3 KiB / s) +https://repo1.maven.org/maven2/com/jcraft/jzlib/1.1.1/jzlib-1.1.? + 100.0% [##########] 3.0 KiB (300.2 KiB / s) +https://repo1.maven.org/maven2/net/java/dev/jna/jna/4.5.0/jna-4.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-knockof? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/beust/jcommander/1.35/jcomman? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-util_2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/beust/jcommander/1.35/jcomman? + 100.0% [##########] 7.1 KiB (282.2 KiB / s) +https://repo1.maven.org/maven2/com/jcraft/jzlib/1.1.1/jzlib-1.1.? + 100.0% [##########] 3.0 KiB (107.2 KiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 100.0% [##########] 2.4 KiB (66.7 KiB / s) +https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/4.5? + 100.0% [##########] 1.8 KiB (195.3 KiB / s) +https://repo1.maven.org/maven2/net/java/dev/jna/jna/4.5.0/jna-4.? + 100.0% [##########] 1.5 KiB (59.3 KiB / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-ap? + 100.0% [##########] 12.8 KiB (675.4 KiB / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-sl? + 100.0% [##########] 9.9 KiB (583.5 KiB / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcpg-jdk15on/1.6? + 100.0% [##########] 1.5 KiB (140.7 KiB / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-knockof? + 100.0% [##########] 1.9 KiB (69.4 KiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm/7.0/asm-7.0.pom + 100.0% [##########] 2.9 KiB (150.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/? + 100.0% [##########] 2.8 KiB (175.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1? + 100.0% [##########] 3.6 KiB (208.9 KiB / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-util_2.1? + 100.0% [##########] 2.5 KiB (103.2 KiB / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered_2.12/0.9? + 100.0% [##########] 3.8 KiB (182.6 KiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/7.0/asm-c? + 100.0% [##########] 3.6 KiB (324.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? + 100.0% [##########] 5.9 KiB (585.5 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.2/slf4j-a? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/googlecode/javaewah/JavaEWAH/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 5s +https://repo1.maven.org/maven2/com/googlecode/javaewah/JavaEWAH/? + 100.0% [##########] 5.9 KiB (218.0 KiB / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? + 100.0% [##########] 2.2 KiB (105.2 KiB / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? + 100.0% [##########] 22.6 KiB (1.8 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-webapp/9.? + 100.0% [##########] 3.5 KiB (314.0 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby/9.2.6.0/jruby-9.2? + 100.0% [##########] 6.7 KiB (335.3 KiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/7.0/asm-c? + 100.0% [##########] 3.6 KiB (119.1 KiB / s) +https://repo1.maven.org/maven2/org/planet42/laika-pdf_2.12/0.8.0? + 100.0% [##########] 2.0 KiB (107.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? + 100.0% [##########] 2.7 KiB (122.1 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.8/? + 100.0% [##########] 6.5 KiB (435.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? + 100.0% [##########] 5.9 KiB (201.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.8/run_? + 100.0% [##########] 4.8 KiB (265.5 KiB / s) +https://repo1.maven.org/maven2/org/scalariform/scalariform_2.12/? + 100.0% [##########] 2.5 KiB (208.4 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.2/slf4j-a? + 100.0% [##########] 2.6 KiB (90.6 KiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-api/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.8/lo? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/? + 100.0% [##########] 1.7 KiB (69.8 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? + 100.0% [##########] 5.6 KiB (214.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.8/lo? + 100.0% [##########] 4.3 KiB (193.8 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? + 100.0% [##########] 4.7 KiB (174.0 KiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-api/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-api/? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-api/? + 100.0% [##########] 1.9 KiB (24.4 KiB / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 5s + + + + + + + + + + + + + | => firrtl-build / update 5s + + + + + + + + + + + + + | => firrtl-build / update 5s + + + + + + + + + + + + + | => firrtl-build / update 5s + + + + + + + + + + + + + | => firrtl-build / update 5s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 5s + + + + + + + + + + + + + | => firrtl-build / update 5s + + + + + + + + + + + + + | => firrtl-build / update 6s + + + + + + + + + + + + + | => firrtl-build / update 6s + + + + + + + + + + + + + | => firrtl-build / update 6s + + + + + + + + + + + + + | => firrtl-build / update 6s +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-web? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 6s + + + + + + + + + + + + + | => firrtl-build / update 6s + + + + + + + + + + + + + | => firrtl-build / update 6s +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-web? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 6s + + + + + + + + + + + + + | => firrtl-build / update 6s + + + + + + + + + + + + + | => firrtl-build / update 6s + + + + + + + + + + + + + | => firrtl-build / update 7s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 2.7 KiB (27.3 KiB / s) + + + + + + + + + + + + + | => firrtl-build / update 7s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 2.7 KiB (22.7 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-project/9? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/ant/ant-parent/1.9.9/a? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/ow2/1.5/ow2-1.5.pom + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.2/slf4? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/maven/maven/3.3.9/mave? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j/2.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/ant/ant-parent/1.9.9/a? + 100.0% [##########] 5.5 KiB (238.0 KiB / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j/2.? + 100.0% [##########] 60.3 KiB (2.6 MiB / s) +https://repo1.maven.org/maven2/org/apache/maven/maven/3.3.9/mave? + 100.0% [##########] 23.4 KiB (1016.0 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-project/9? + 100.0% [##########] 42.3 KiB (1.8 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-artifacts/9.2.6.0? + 100.0% [##########] 3.9 KiB (276.2 KiB / s) +https://repo1.maven.org/maven2/org/ow2/ow2/1.5/ow2-1.5.pom + 100.0% [##########] 11.0 KiB (476.8 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.2/slf4? + 100.0% [##########] 11.5 KiB (501.2 KiB / s) +https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/6/oss? + 100.0% [##########] 4.7 KiB (337.0 KiB / s) +https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/5/oss? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/3/oss? + 100.0% [##########] 3.3 KiB (297.5 KiB / s) +https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/5/oss? + 100.0% [##########] 4.0 KiB (173.3 KiB / s) +https://repo1.maven.org/maven2/org/apache/apache/21/apache-21.pom + 100.0% [##########] 16.7 KiB (928.1 KiB / s) +https://repo1.maven.org/maven2/org/apache/maven/maven-parent/27/? + 100.0% [##########] 39.8 KiB (2.3 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-parent/23? + 100.0% [##########] 21.4 KiB (1.2 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-parent/9.2.6.0/jr? + 100.0% [##########] 24.6 KiB (1.3 MiB / s) + + + + + + + + + + + + + | => firrtl-build / update 7s +https://repo1.maven.org/maven2/org/apache/apache/17/apache-17.pom + 100.0% [##########] 15.7 KiB (871.1 KiB / s) +https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/7/oss? + 100.0% [##########] 4.7 KiB (247.9 KiB / s) + + + + + + + + + + + + + | => firrtl-build / update 7s +https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.1? + 100.0% [##########] 2.1 KiB (93.7 KiB / s) +https://repo1.maven.org/maven2/org/pegdown/pegdown/1.6.0/pegdown? + 100.0% [##########] 1.8 KiB (141.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? + 100.0% [##########] 2.1 KiB (97.3 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.? + 100.0% [##########] 3.5 KiB (157.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.8? + 100.0% [##########] 4.8 KiB (369.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.? + 100.0% [##########] 2.1 KiB (92.0 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/? + 100.0% [##########] 2.7 KiB (208.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/? + 100.0% [##########] 2.5 KiB (195.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/? + 100.0% [##########] 2.9 KiB (221.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? + 100.0% [##########] 3.7 KiB (162.1 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? + 100.0% [##########] 3.9 KiB (169.3 KiB / s) +https://repo1.maven.org/maven2/commons-codec/commons-codec/1.10/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.8/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/foundweekends/knockoff_2.12/0? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/maven/maven-model/3.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/antlr/antlr/2.7.7/antlr-2.7.7.pom + 100.0% [##########] 632 B (77.1 KiB / s) +https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_? + 100.0% [##########] 2.9 KiB (317.3 KiB / s) +https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_? + 100.0% [##########] 2.1 KiB (102.2 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? + 100.0% [##########] 2.1 KiB (122.5 KiB / s) +https://repo1.maven.org/maven2/commons-codec/commons-codec/1.10/? + 100.0% [##########] 11.3 KiB (436.0 KiB / s) +https://repo1.maven.org/maven2/org/apache/maven/maven-model/3.3.? + 100.0% [##########] 3.9 KiB (164.1 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/fop/2.1/fo? + 100.0% [##########] 6.1 KiB (318.8 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? + 100.0% [##########] 4.1 KiB (290.9 KiB / s) +https://repo1.maven.org/maven2/org/foundweekends/knockoff_2.12/0? + 100.0% [##########] 1.9 KiB (77.5 KiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-tree/7.0/asm-tree? + 100.0% [##########] 3.0 KiB (179.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? + 100.0% [##########] 4.8 KiB (191.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0? + 100.0% [##########] 1.5 KiB (96.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.8/? + 100.0% [##########] 4.7 KiB (180.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3? + 100.0% [##########] 3.2 KiB (323.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.4/zin? + 100.0% [##########] 4.1 KiB (292.3 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-xml/9.2.2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/ant/ant-launcher/1.9.9? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/parboiled/parboiled-java/1.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disrupto? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? + 100.0% [##########] 5.2 KiB (207.4 KiB / s) +https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disrupto? + 100.0% [##########] 1.3 KiB (61.0 KiB / s) +https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlco? + 100.0% [##########] 1.8 KiB (152.9 KiB / s) +https://repo1.maven.org/maven2/org/apache/ant/ant-launcher/1.9.9? + 100.0% [##########] 2.3 KiB (87.7 KiB / s) +https://repo1.maven.org/maven2/org/apache/maven/maven-artifact/3? + 100.0% [##########] 2.1 KiB (122.6 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-xml/9.2.2? + 100.0% [##########] 2.0 KiB (73.2 KiB / s) +https://repo1.maven.org/maven2/org/parboiled/parboiled-java/1.3.? + 100.0% [##########] 2.5 KiB (114.1 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3? + 100.0% [##########] 4.8 KiB (265.1 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.8/ta? + 100.0% [##########] 4.3 KiB (250.8 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2? + 100.0% [##########] 5.2 KiB (521.7 KiB / s) +https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? + 100.0% [##########] 8.1 KiB (354.3 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? + 100.0% [##########] 17.4 KiB (1.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? + 100.0% [##########] 3.0 KiB (304.2 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.25/slf4j-? + 100.0% [##########] 3.7 KiB (415.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-servlet/9? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/? + 100.0% [##########] 2.3 KiB (105.0 KiB / s) +https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caf? + 100.0% [##########] 5.5 KiB (273.2 KiB / s) +https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.p? + 100.0% [##########] 19.4 KiB (1.5 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-servlet/9? + 100.0% [##########] 3.2 KiB (152.7 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? + 100.0% [##########] 42.7 KiB (2.1 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? + 100.0% [##########] 17.4 KiB (580.7 KiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-analysis/7.0/asm-? + 100.0% [##########] 3.1 KiB (162.0 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-8? + 100.0% [##########] 3.2 KiB (215.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? + 100.0% [##########] 2.3 KiB (80.3 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? + 100.0% [##########] 3.0 KiB (104.9 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.25/slf4j-? + 100.0% [##########] 3.7 KiB (133.7 KiB / s) +https://repo1.maven.org/maven2/org/antlr/ST4/4.1/ST4-4.1.pom + 100.0% [##########] 2.8 KiB (251.8 KiB / s) + + + + + + + + + + + + + | => firrtl-build / update 7s +https://repo1.maven.org/maven2/org/antlr/ST4/4.1/ST4-4.1.pom + 100.0% [##########] 2.8 KiB (92.3 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.25/slf? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/squareup/okhttp3/parent/3.7.0? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-parent? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-pare? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/sisu/sisu-plexus/0.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/apache/7/apache-7.pom + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-pare? + 100.0% [##########] 7.1 KiB (321.2 KiB / s) +https://repo1.maven.org/maven2/com/squareup/okhttp3/parent/3.7.0? + 100.0% [##########] 15.7 KiB (714.4 KiB / s) +https://repo1.maven.org/maven2/org/apache/apache/7/apache-7.pom + 100.0% [##########] 14.1 KiB (640.5 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/sisu/sisu-plexus/0.3.? + 100.0% [##########] 13.4 KiB (609.3 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.25/slf? + 100.0% [##########] 13.2 KiB (573.6 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-parent? + 21.4% [## ] 12.0 KiB (547.7 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-parent? + 100.0% [##########] 56.4 KiB (1.3 MiB / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-bom/? + 38.6% [### ] 1.3 KiB (191.3 KiB / s) +https://repo1.maven.org/maven2/org/apache/apache/15/apache-15.pom + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-bom/? + 100.0% [##########] 3.5 KiB (128.6 KiB / s) +https://repo1.maven.org/maven2/org/apache/apache/15/apache-15.pom + 100.0% [##########] 14.9 KiB (551.1 KiB / s) + + + + + + + + + + + + + | => firrtl-build / update 7s +https://repo1.maven.org/maven2/org/ow2/asm/asm-util/7.0/asm-util? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/jruby/jcodings/jcodings/1.0.4? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-java? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 100.0% [##########] 4.0 KiB (152.5 KiB / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-unixsocket/0.2? + 100.0% [##########] 9.9 KiB (659.9 KiB / s) +https://repo1.maven.org/maven2/commons-io/commons-io/1.3.1/commo? + 100.0% [##########] 6.6 KiB (389.5 KiB / s) +https://repo1.maven.org/maven2/commons-logging/commons-logging/1? + 100.0% [##########] 5.1 KiB (321.3 KiB / s) +https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? + 100.0% [##########] 2.1 KiB (81.3 KiB / s) +https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? + 100.0% [##########] 2.8 KiB (107.6 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? + 100.0% [##########] 27.2 KiB (1.6 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jcodings/jcodings/1.0.4? + 100.0% [##########] 5.0 KiB (192.6 KiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-util/7.0/asm-util? + 100.0% [##########] 3.6 KiB (136.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-java? + 100.0% [##########] 2.3 KiB (84.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? + 100.0% [##########] 3.7 KiB (206.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? + 100.0% [##########] 3.7 KiB (372.6 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-security/? + 100.0% [##########] 2.7 KiB (301.3 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-svg-? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash? + 100.0% [##########] 2.5 KiB (92.6 KiB / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-constants/0.9.? + 100.0% [##########] 3.7 KiB (184.1 KiB / s) +https://repo1.maven.org/maven2/com/headius/modulator/1.0/modulat? + 100.0% [##########] 1.7 KiB (142.3 KiB / s) +https://repo1.maven.org/maven2/com/martiansoftware/nailgun-serve? + 100.0% [##########] 1.6 KiB (83.2 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-exte? + 100.0% [##########] 4.8 KiB (396.6 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-gvt/? + 100.0% [##########] 3.3 KiB (163.8 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-svg-? + 100.0% [##########] 4.2 KiB (159.9 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-security/? + 100.0% [##########] 2.7 KiB (96.9 KiB / s) +https://repo1.maven.org/maven2/org/jruby/dirgra/0.3/dirgra-0.3.p? + 100.0% [##########] 2.9 KiB (286.0 KiB / s) +https://repo1.maven.org/maven2/org/jruby/joni/joni/2.1.25/joni-2? + 100.0% [##########] 7.0 KiB (437.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? + 100.0% [##########] 3.7 KiB (128.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-classw? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-brid? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 7s +https://repo1.maven.org/maven2/com/headius/backport9/1.1/backpor? + 100.0% [##########] 1.7 KiB (142.3 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-compre? + 100.0% [##########] 17.8 KiB (1.2 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-brid? + 100.0% [##########] 5.0 KiB (209.7 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-ext/? + 100.0% [##########] 3.1 KiB (182.2 KiB / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-classw? + 100.0% [##########] 7.1 KiB (285.4 KiB / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-compon? + 100.0% [##########] 815 B (72.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? + 100.0% [##########] 2.8 KiB (148.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket? + 100.0% [##########] 1.8 KiB (68.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 100.0% [##########] 1.7 KiB (153.4 KiB / s) +https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0? + 100.0% [##########] 1.8 KiB (80.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-util/9.2.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-tran? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-enxio/0.19/jnr? + 100.0% [##########] 4.0 KiB (269.9 KiB / s) +https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.? + 100.0% [##########] 2.4 KiB (104.4 KiB / s) +https://repo1.maven.org/maven2/org/antlr/antlr-runtime/3.5.2/ant? + 100.0% [##########] 2.2 KiB (145.9 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-tran? + 100.0% [##########] 4.5 KiB (185.9 KiB / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/? + 100.0% [##########] 3.7 KiB (220.1 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-util/9.2.? + 100.0% [##########] 3.5 KiB (140.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.8/te? + 100.0% [##########] 3.1 KiB (311.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/jcraft/jzlib/1.1.3/jzlib-1.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-posix/3.0.49/j? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/? + 100.0% [##########] 2.2 KiB (117.4 KiB / s) +https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? + 100.0% [##########] 9.0 KiB (643.3 KiB / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-posix/3.0.49/j? + 100.0% [##########] 6.2 KiB (280.8 KiB / s) +https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? + 100.0% [##########] 4.3 KiB (157.7 KiB / s) +https://repo1.maven.org/maven2/com/jcraft/jzlib/1.1.3/jzlib-1.1.? + 100.0% [##########] 3.0 KiB (112.6 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-awt-? + 100.0% [##########] 3.1 KiB (173.0 KiB / s) +https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.3.? + 100.0% [##########] 1.7 KiB (168.7 KiB / s) +https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.1? + 100.0% [##########] 2.1 KiB (267.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/joda-time/joda-time/2.9.9/joda-ti? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.1? + 100.0% [##########] 2.1 KiB (76.3 KiB / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-netdb/1.1.6/jn? + 100.0% [##########] 1.8 KiB (90.1 KiB / s) +https://repo1.maven.org/maven2/javax/enterprise/cdi-api/1.0/cdi-? + 100.0% [##########] 1.4 KiB (73.7 KiB / s) +https://repo1.maven.org/maven2/joda-time/joda-time/2.9.9/joda-ti? + 100.0% [##########] 33.8 KiB (1.4 MiB / s) +https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.3.? + 100.0% [##########] 1.7 KiB (56.2 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? + 100.0% [##########] 2.6 KiB (284.8 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/headius/options/1.4/options-1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? + 100.0% [##########] 2.6 KiB (88.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/headius/options/1.4/options-1? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 7s +https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? + 100.0% [##########] 3.4 KiB (31.8 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? + 100.0% [##########] 2.1 KiB (14.3 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/headius/options/1.4/options-1? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 7s +https://repo1.maven.org/maven2/com/headius/options/1.4/options-1? + 100.0% [##########] 1.5 KiB (8.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 7s + + + + + + + + + + + + + | => firrtl-build / update 8s + + + + + + + + + + + + + | => firrtl-build / update 8s + + + + + + + + + + + + + | => firrtl-build / update 8s + + + + + + + + + + + + + | => firrtl-build / update 8s + + + + + + + + + + + + + | => firrtl-build / update 8s + + + + + + + + + + + + + | => firrtl-build / update 8s + + + + + + + + + + + + + | => firrtl-build / update 8s + + + + + + + + + + + + + | => firrtl-build / update 8s + + + + + + + + + + + + + | => firrtl-build / update 8s + + + + + + + + + + + + + | => firrtl-build / update 8s + + + + + + + + + + + + + | => firrtl-build / update 9s + + + + + + + + + + + + + | => firrtl-build / update 9s + + + + + + + + + + + + + | => firrtl-build / update 9s + + + + + + + + + + + + + | => firrtl-build / update 9s + + + + + + + + + + + + + | => firrtl-build / update 9s + + + + + + + + + + + + + | => firrtl-build / update 9s +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 100.0% [##########] 4.8 KiB (2.4 KiB / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus/3.3.1/? + 80.1% [######## ] 16.0 KiB (2.2 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/sisu/sisu-inject/0.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/avalon/avalon-framewor? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/antlr/antlr-master/3.5.2/antl? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/martiansoftware/nailgun-all/0? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/jboss/weld/weld-api-parent/1.? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 9s +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bas? + 100.0% [##########] 5.2 KiB (291.3 KiB / s) +https://repo1.maven.org/maven2/com/martiansoftware/nailgun-all/0? + 100.0% [##########] 4.9 KiB (180.6 KiB / s) +https://repo1.maven.org/maven2/com/squareup/okhttp3/parent/3.14.? + 100.0% [##########] 21.0 KiB (1.2 MiB / s) +https://repo1.maven.org/maven2/org/antlr/antlr-master/3.5.2/antl? + 100.0% [##########] 12.0 KiB (444.2 KiB / s) +https://repo1.maven.org/maven2/org/apache/avalon/avalon-framewor? + 100.0% [##########] 1.8 KiB (68.3 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-parent? + 100.0% [##########] 75.7 KiB (4.1 MiB / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-contai? + 100.0% [##########] 4.1 KiB (207.0 KiB / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus/3.3.1/? + 100.0% [##########] 20.0 KiB (739.5 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/sisu/sisu-inject/0.3.? + 100.0% [##########] 14.1 KiB (521.1 KiB / s) +https://repo1.maven.org/maven2/org/jboss/weld/weld-api-parent/1.? + 100.0% [##########] 2.3 KiB (82.1 KiB / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus/2.0.7/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/excalibur/excalibur/1/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/sonatype/spice/spice-parent/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/jboss/weld/weld-api-bom/1.0/w? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/apache/19/apache-19.pom + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 9s +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus/2.0.7/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/excalibur/excalibur/1/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/sonatype/spice/spice-parent/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/jboss/weld/weld-api-bom/1.0/w? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/apache/19/apache-19.pom + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/excalibur/excalibur/1/? + 100.0% [##########] 7.8 KiB (80.2 KiB / s) +https://repo1.maven.org/maven2/org/sonatype/spice/spice-parent/1? + 100.0% [##########] 6.6 KiB (68.0 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? + 100.0% [##########] 12.0 KiB (123.8 KiB / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus/2.0.7/? + 95.1% [######### ] 16.1 KiB (165.6 KiB / s) +https://repo1.maven.org/maven2/org/jboss/weld/weld-api-bom/1.0/w? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/apache/19/apache-19.pom + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? + 100.0% [##########] 12.0 KiB (102.6 KiB / s) +https://repo1.maven.org/maven2/org/apache/apache/19/apache-19.pom + 100.0% [##########] 15.1 KiB (129.3 KiB / s) +https://repo1.maven.org/maven2/org/apache/excalibur/excalibur/1/? + 100.0% [##########] 7.8 KiB (66.5 KiB / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus/2.0.7/? + 100.0% [##########] 16.9 KiB (144.4 KiB / s) +https://repo1.maven.org/maven2/org/sonatype/spice/spice-parent/1? + 100.0% [##########] 6.6 KiB (56.4 KiB / s) +https://repo1.maven.org/maven2/org/jboss/weld/weld-api-bom/1.0/w? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-par? + 100.0% [##########] 7.8 KiB (783.5 KiB / s) +https://repo1.maven.org/maven2/org/apache/apache/3/apache-3.pom + 100.0% [##########] 3.3 KiB (335.0 KiB / s) +https://repo1.maven.org/maven2/org/jboss/weld/weld-api-bom/1.0/w? + 100.0% [##########] 7.7 KiB (56.4 KiB / s) +https://repo1.maven.org/maven2/org/jboss/weld/weld-parent/6/weld? + 100.0% [##########] 20.2 KiB (2.0 MiB / s) +https://repo1.maven.org/maven2/org/sonatype/forge/forge-parent/1? + 100.0% [##########] 13.2 KiB (1.3 MiB / s) +https://repo1.maven.org/maven2/com/fasterxml/oss-parent/33/oss-p? + 100.0% [##########] 21.3 KiB (1.3 MiB / s) + + + + + + + + + + + + + | => firrtl-build / update 9s +https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-ru? + 100.0% [##########] 2.6 KiB (286.7 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-css/? + 100.0% [##########] 3.5 KiB (441.9 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12? + 100.0% [##########] 3.2 KiB (396.6 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-server/9.? + 100.0% [##########] 4.0 KiB (500.7 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-util? + 100.0% [##########] 3.0 KiB (370.2 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-scri? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-xml/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12? + 100.0% [##########] 3.2 KiB (113.3 KiB / s) +https://repo1.maven.org/maven2/javax/inject/javax.inject/1/javax? + 100.0% [##########] 612 B (33.2 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-css/? + 100.0% [##########] 3.5 KiB (126.3 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-dom/? + 100.0% [##########] 4.0 KiB (441.4 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-pars? + 100.0% [##########] 3.6 KiB (324.6 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-scri? + 100.0% [##########] 3.4 KiB (117.7 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-svgg? + 100.0% [##########] 3.4 KiB (170.6 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-util? + 100.0% [##########] 3.0 KiB (105.8 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-xml/? + 100.0% [##########] 3.1 KiB (148.3 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-server/9.? + 100.0% [##########] 4.0 KiB (143.1 KiB / s) +https://repo1.maven.org/maven2/org/reactivestreams/reactive-stre? + 100.0% [##########] 1.1 KiB (56.6 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/? + 100.0% [##########] 2.5 KiB (229.0 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-? + 100.0% [##########] 3.7 KiB (249.7 KiB / s) +https://repo1.maven.org/maven2/xalan/xalan/2.7.0/xalan-2.7.0.pom + 100.0% [##########] 491 B (53.3 KiB / s) +https://repo1.maven.org/maven2/xml-apis/xml-apis-ext/1.3.04/xml-? + 100.0% [##########] 1.8 KiB (128.1 KiB / s) +https://repo1.maven.org/maven2/xml-apis/xml-apis/1.3.04/xml-apis? + 100.0% [##########] 1.8 KiB (84.7 KiB / s) +https://repo1.maven.org/maven2/javax/annotation/jsr250-api/1.0/j? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-anim? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/oki? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + | => firrtl-build / update 10s +https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/oki? + 100.0% [##########] 1.9 KiB (88.0 KiB / s) +https://repo1.maven.org/maven2/javax/annotation/jsr250-api/1.0/j? + 100.0% [##########] 1023 B (37.0 KiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-anim? + 100.0% [##########] 4.3 KiB (172.0 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.26/slf? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/squareup/okio/okio-parent/1.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/squareup/okio/okio-parent/1.1? + 100.0% [##########] 4.7 KiB (197.9 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.26/slf? + 100.0% [##########] 13.4 KiB (513.8 KiB / s) +https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12? + 100.0% [##########] 1.8 KiB (102.3 KiB / s) +https://repo1.maven.org/maven2/javax/servlet/javax.servlet-api/3? + 100.0% [##########] 13.2 KiB (695.3 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-http/9.2.? + 100.0% [##########] 2.5 KiB (129.7 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-io/9.2.21? + 100.0% [##########] 2.2 KiB (117.6 KiB / s) +https://repo1.maven.org/maven2/xml-apis/xml-apis/2.0.2/xml-apis-? + 100.0% [##########] 346 B (17.8 KiB / s) +https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/? + 100.0% [##########] 2.5 KiB (65.9 KiB / s) +https://repo1.maven.org/maven2/net/java/jvnet-parent/3/jvnet-par? + 100.0% [##########] 4.7 KiB (467.7 KiB / s) + + + + + + + + + + + + + | => firrtl-build / update 10s +https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/? + 100.0% [##########] 2.3 KiB (335.1 KiB / s) +https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3? + 100.0% [##########] 1.8 KiB (219.6 KiB / s) +[info] Resolved dependencies + + + + + + + + + + + + | => firrtl-build / update 10s + + + + + + + + + + + + | => firrtl-build / update 10s + + + + + + + + + + + + | => firrtl-build / update 10s +[info] Updating  + + + + + + + + + + + | => firrtl-build / update 10s +https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/j? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/j? + 100.0% [##########] 3.6 KiB (172.6 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? + 100.0% [##########] 2.5 KiB (136.6 KiB / s) +https://repo1.maven.org/maven2/org/fusesource/jansi/jansi-projec? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/fusesource/fusesource-pom/1.1? + 100.0% [##########] 14.2 KiB (1.4 MiB / s) +https://repo1.maven.org/maven2/org/fusesource/jansi/jansi-projec? + 100.0% [##########] 11.5 KiB (523.1 KiB / s) +[info] Resolved dependencies + + + + + + + + + + | => firrtl-build / update 10s + + + + + + + + + + | => firrtl-build / update 10s + + + + + + + + + + | => firrtl-build / update 10s + + + + + + + + + + | => firrtl-build / update 10s +[info] Fetching artifacts of  + + + + + + + + + | => firrtl-build / update 10s +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-servlet/9? + 100.0% [##########] 112.9 KiB (5.5 MiB / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-unixsocket/0.2? + 100.0% [##########] 43.8 KiB (2.1 MiB / s) +https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.55/jsch-0.1.5? + 52.2% [##### ] 144.0 KiB (8.3 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jcodings/jcodings/1.0.4? + 3.9% [ ] 62.9 KiB (3.4 MiB / s) +https://repo1.maven.org/maven2/org/scalastyle/scalastyle_2.12/1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-brid? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-unixsocket/0.2? + 100.0% [##########] 43.8 KiB (1.1 MiB / s) +https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.55/jsch-0.1.5? + 100.0% [##########] 276.0 KiB (7.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? + 100.0% [##########] 221.8 KiB (9.0 MiB / s) +https://repo1.maven.org/maven2/org/scalastyle/scalastyle_2.12/1.? + 31.6% [### ] 213.6 KiB (5.6 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jcodings/jcodings/1.0.4? + 26.5% [## ] 431.1 KiB (11.4 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-brid? + 24.3% [## ] 125.9 KiB (3.3 MiB / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? + 2.2% [ ] 96.0 KiB (4.7 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalastyle/scalastyle_2.12/1.? + 100.0% [##########] 677.0 KiB (11.8 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-brid? + 90.9% [######### ] 469.9 KiB (8.2 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jcodings/jcodings/1.0.4? + 62.3% [###### ] 1013.5 KiB (17.7 MiB / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? + 11.1% [# ] 491.7 KiB (12.0 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-brid? + 100.0% [##########] 517.3 KiB (6.6 MiB / s) +https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/j? + 100.0% [##########] 148.2 KiB (8.5 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jcodings/jcodings/1.0.4? + 100.0% [##########] 1.6 MiB (20.4 MiB / s) +https://repo1.maven.org/maven2/org/scalastyle/scalastyle_2.12/1.? + 100.0% [##########] 677.0 KiB (8.5 MiB / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-filter_2? + 100.0% [##########] 22.4 KiB (974.9 KiB / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? + 24.0% [## ] 1.0 MiB (16.8 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? + 41.8% [#### ] 1.8 MiB (22.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? + 37.0% [### ] 655.4 KiB (20.6 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 16.5% [# ] 448.0 KiB (19.0 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 10s +https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? + 76.2% [####### ] 1.3 MiB (25.8 MiB / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? + 63.1% [###### ] 2.7 MiB (27.6 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 40.7% [#### ] 1.1 MiB (25.1 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? + 100.0% [##########] 1.7 MiB (24.3 MiB / s) +https://repo1.maven.org/maven2/commons-io/commons-io/1.3.1/commo? + 100.0% [##########] 81.7 KiB (11.4 MiB / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? + 86.9% [######## ] 3.8 MiB (31.6 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 67.9% [###### ] 1.8 MiB (28.5 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/commons-io/commons-io/1.3.1/commo? + 100.0% [##########] 81.7 KiB (3.0 MiB / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? + 100.0% [##########] 4.3 MiB (31.2 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 100.0% [##########] 2.6 MiB (31.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3? + 100.0% [##########] 208.0 KiB (10.2 MiB / s) +https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? + 100.0% [##########] 23.8 KiB (2.3 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered_2.12/0.9? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? + 100.0% [##########] 149.7 KiB (6.6 MiB / s) +https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? + 100.0% [##########] 166.5 KiB (6.0 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 12.2% [# ] 163.3 KiB (1.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 8.3% [ ] 297.3 KiB (18.1 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? + 4.1% [ ] 408.7 KiB (28.5 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered_2.12/0.9? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 78.4% [####### ] 1.0 MiB (6.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 24.7% [## ] 885.5 KiB (24.7 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? + 12.2% [# ] 1.2 MiB (35.8 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered_2.12/0.9? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 10s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 1.3 MiB (7.1 MiB / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered_2.12/0.9? + 72.1% [####### ] 523.7 KiB (8.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 38.2% [### ] 1.3 MiB (24.4 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? + 21.1% [## ] 2.0 MiB (38.5 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.24/snakeyaml? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.24/snakeyaml? + 100.0% [##########] 295.5 KiB (9.3 MiB / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered_2.12/0.9? + 100.0% [##########] 726.2 KiB (8.6 MiB / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-posix/3.0.49/j? + 68.0% [###### ] 160.0 KiB (12.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 58.8% [##### ] 2.1 MiB (27.5 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? + 31.4% [### ] 3.0 MiB (41.1 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-posix/3.0.49/j? + 100.0% [##########] 235.4 KiB (7.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 80.8% [######## ] 2.8 MiB (29.8 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? + 43.7% [#### ] 4.2 MiB (45.5 MiB / s) +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? + 11.8% [# ] 208.0 KiB (8.1 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 100.0% [##########] 3.5 MiB (30.5 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? + 56.1% [##### ] 5.4 MiB (48.1 MiB / s) +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? + 33.2% [### ] 587.7 KiB (12.8 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? + 100.0% [##########] 48.4 KiB (2.2 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? + 73.4% [####### ] 7.1 MiB (53.4 MiB / s) +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? + 62.3% [###### ] 1.1 MiB (16.6 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-compre? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 10s +https://repo1.maven.org/maven2/org/apache/commons/commons-compre? + 100.0% [##########] 577.9 KiB (19.5 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? + 86.7% [######## ] 8.4 MiB (54.8 MiB / s) +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? + 86.1% [######## ] 1.5 MiB (17.5 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.8/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? + 100.0% [##########] 9.7 MiB (55.9 MiB / s) +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? + 100.0% [##########] 1.7 MiB (16.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.8/? + 100.0% [##########] 200.6 KiB (8.5 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-tree/7.0/asm-tree? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-tree/7.0/asm-tree? + 100.0% [##########] 49.2 KiB (1.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/? + 100.0% [##########] 89.7 KiB (4.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3? + 100.0% [##########] 271.2 KiB (12.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/? + 100.0% [##########] 10.4 KiB (359.3 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.8/run_? + 100.0% [##########] 76.6 KiB (3.9 MiB / s) +https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_? + 100.0% [##########] 121.8 KiB (11.9 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 65.9% [###### ] 13.1 KiB (112.3 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/geirsson/coursier-small_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 19.9 KiB (146.6 KiB / s) +https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_? + 100.0% [##########] 121.8 KiB (4.1 MiB / s) +https://repo1.maven.org/maven2/com/headius/modulator/1.0/modulat? + 100.0% [##########] 4.5 KiB (225.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket? + 100.0% [##########] 21.1 KiB (753.3 KiB / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? + 91.6% [######### ] 384.0 KiB (20.8 MiB / s) +https://repo1.maven.org/maven2/com/geirsson/coursier-small_2.12/? + 80.3% [######## ] 1.3 MiB (48.0 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.8/te? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/geirsson/coursier-small_2.12/? + 100.0% [##########] 1.7 MiB (34.8 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-svgg? + 100.0% [##########] 173.7 KiB (10.6 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-security/? + 100.0% [##########] 93.7 KiB (5.1 MiB / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? + 100.0% [##########] 419.1 KiB (10.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.8/lo? + 100.0% [##########] 48.7 KiB (2.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.8/te? + 100.0% [##########] 22.9 KiB (761.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/? + 100.0% [##########] 33.5 KiB (3.3 MiB / s) +https://repo1.maven.org/maven2/commons-codec/commons-codec/1.10/? + 11.5% [# ] 32.0 KiB (3.5 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-dom/? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 11s +https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash? + 100.0% [##########] 5.2 KiB (191.7 KiB / s) +https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? + 100.0% [##########] 147.6 KiB (10.3 MiB / s) +https://repo1.maven.org/maven2/commons-codec/commons-codec/1.10/? + 100.0% [##########] 277.5 KiB (9.7 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-dom/? + 100.0% [##########] 136.8 KiB (6.1 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-util/9.2.? + 100.0% [##########] 367.9 KiB (18.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/? + 100.0% [##########] 14.2 KiB (789.1 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/ant/ant/1.9.9/ant-1.9.? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/martiansoftware/nailgun-serve? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/martiansoftware/nailgun-serve? + 100.0% [##########] 32.2 KiB (1.4 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-awt-? + 100.0% [##########] 294.0 KiB (19.1 MiB / s) +https://repo1.maven.org/maven2/org/apache/ant/ant/1.9.9/ant-1.9.? + 83.6% [######## ] 1.6 MiB (54.4 MiB / s) +https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? + 32.8% [### ] 16.0 KiB (219.2 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-java? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/beust/jcommander/1.35/jcomman? + 100.0% [##########] 59.1 KiB (4.4 MiB / s) +https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? + 100.0% [##########] 48.9 KiB (525.3 KiB / s) +https://repo1.maven.org/maven2/org/apache/ant/ant/1.9.9/ant-1.9.? + 100.0% [##########] 2.0 MiB (39.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-java? + 100.0% [##########] 1.1 MiB (42.8 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-enxio/0.19/jnr? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-enxio/0.19/jnr? + 100.0% [##########] 29.1 KiB (1.1 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-exte? + 100.0% [##########] 53.5 KiB (2.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 20.7% [## ] 2.1 MiB (80.9 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/javax/servlet/javax.servlet-api/3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 100.0% [##########] 1.1 MiB (2.7 MiB / s) +https://repo1.maven.org/maven2/javax/servlet/javax.servlet-api/3? + 100.0% [##########] 93.6 KiB (3.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 31.6% [### ] 3.2 MiB (69.8 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/net/java/dev/jna/jna/4.5.0/jna-4.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 11s +https://repo1.maven.org/maven2/net/java/dev/jna/jna/4.5.0/jna-4.? + 55.9% [##### ] 785.9 KiB (21.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 45.1% [#### ] 4.6 MiB (69.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? + 44.9% [#### ] 240.0 KiB (10.7 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/net/java/dev/jna/jna/4.5.0/jna-4.? + 100.0% [##########] 1.4 MiB (24.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? + 100.0% [##########] 535.0 KiB (12.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 58.0% [##### ] 5.9 MiB (68.6 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/7.0/asm-c? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-css/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 739.9 KiB (4.9 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 100.0% [##########] 29.6 KiB (251.1 KiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/7.0/asm-c? + 100.0% [##########] 78.0 KiB (2.9 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-css/? + 100.0% [##########] 250.5 KiB (11.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 69.8% [###### ] 7.1 MiB (66.4 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/config/1.3.4/config-? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-http/9.2.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-8? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/config/1.3.4/config-? + 100.0% [##########] 285.9 KiB (10.7 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-css/? + 100.0% [##########] 250.5 KiB (6.1 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-http/9.2.? + 100.0% [##########] 124.0 KiB (5.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 86.2% [######## ] 8.8 MiB (69.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-8? + 14.4% [# ] 160.0 KiB (7.4 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 100.0% [##########] 10.2 MiB (69.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-8? + 92.1% [######### ] 1.0 MiB (24.4 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/planet42/laika-pdf_2.12/0.8.0? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 11s +https://repo1.maven.org/maven2/commons-logging/commons-logging/1? + 100.0% [##########] 37.1 KiB (4.5 MiB / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/? + 100.0% [##########] 239.2 KiB (13.0 MiB / s) +https://repo1.maven.org/maven2/org/planet42/laika-pdf_2.12/0.8.0? + 100.0% [##########] 31.9 KiB (1.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-8? + 100.0% [##########] 1.1 MiB (17.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 21.2% [## ] 1.1 MiB (71.0 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 58.4% [##### ] 2.9 MiB (86.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? + 57.3% [##### ] 352.0 KiB (17.2 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? + 100.0% [##########] 614.1 KiB (15.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 98.5% [######### ] 5.0 MiB (91.8 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-util? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 100.0% [##########] 13.3 KiB (120.7 KiB / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-constants/0.9.? + 100.0% [##########] 537.2 KiB (29.1 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-util? + 100.0% [##########] 105.2 KiB (3.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 100.0% [##########] 5.0 MiB (67.1 MiB / s) +https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/? + 65.7% [###### ] 512.7 KiB (38.5 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 33.6% [### ] 39.1 KiB (323.3 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 116.4 KiB (831.3 KiB / s) +https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/? + 100.0% [##########] 780.1 KiB (23.8 MiB / s) +https://repo1.maven.org/maven2/org/apache/ant/ant-launcher/1.9.9? + 100.0% [##########] 18.0 KiB (1.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? + 100.0% [##########] 217.8 KiB (21.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? + 100.0% [##########] 773.9 KiB (34.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.1? + 100.0% [##########] 1.3 KiB (69.7 KiB / s) +https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? + 34.0% [### ] 240.0 KiB (1.9 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/antlr/antlr-runtime/3.5.2/ant? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-sl? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 11s +https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? + 100.0% [##########] 705.7 KiB (4.9 MiB / s) +https://repo1.maven.org/maven2/org/antlr/antlr-runtime/3.5.2/ant? + 100.0% [##########] 163.8 KiB (6.2 MiB / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-ap? + 100.0% [##########] 260.0 KiB (21.2 MiB / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-sl? + 100.0% [##########] 22.7 KiB (1.1 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-anim? + 100.0% [##########] 368.2 KiB (20.0 MiB / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? + 21.1% [## ] 336.0 KiB (11.3 MiB / s) +https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/4.5? + 19.7% [# ] 448.0 KiB (29.2 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2? + 100.0% [##########] 222.6 KiB (9.9 MiB / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? + 100.0% [##########] 705.2 KiB (26.5 MiB / s) +https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/4.5? + 70.5% [####### ] 1.6 MiB (46.0 MiB / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? + 32.2% [### ] 512.0 KiB (10.4 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/jruby/jruby/9.2.6.0/jruby-9.2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-gvt/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/headius/backport9/1.1/backpor? + 100.0% [##########] 11.7 KiB (1.0 MiB / s) +https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/4.5? + 100.0% [##########] 2.2 MiB (41.1 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-gvt/? + 100.0% [##########] 140.8 KiB (5.5 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby/9.2.6.0/jruby-9.2? + 100.0% [##########] 41.3 KiB (1.3 MiB / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-jetty_2.? + 100.0% [##########] 60.7 KiB (5.9 MiB / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? + 52.9% [##### ] 841.2 KiB (11.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.8/mai? + 41.1% [#### ] 1011.0 KiB (52.0 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.8/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.8/sbt-1.3.8? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.8/? + 100.0% [##########] 204.4 KiB (9.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.8/mai? + 100.0% [##########] 2.4 MiB (63.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.8/sbt-1.3.8? + 100.0% [##########] 64.9 KiB (3.0 MiB / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? + 70.3% [####### ] 1.1 MiB (12.4 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-util_2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_? + 100.0% [##########] 36.6 KiB (1.4 MiB / s) +https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3? + 100.0% [##########] 107.9 KiB (10.5 MiB / s) +https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? + 100.0% [##########] 1.6 MiB (14.3 MiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-api/? + 100.0% [##########] 44.8 KiB (2.4 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-server/9.? + 100.0% [##########] 410.8 KiB (26.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? + 100.0% [##########] 76.2 KiB (3.1 MiB / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-util_2.1? + 100.0% [##########] 33.2 KiB (1.2 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-ru? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/fop/2.1/fo? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? + 100.0% [##########] 110.8 KiB (4.7 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/fop/2.1/fo? + 26.1% [## ] 1.0 MiB (51.4 MiB / s) +https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-ru? + 24.6% [## ] 427.7 KiB (17.4 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 11s +https://repo1.maven.org/maven2/org/apache/xmlgraphics/fop/2.1/fo? + 72.4% [####### ] 2.9 MiB (71.3 MiB / s) +https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-ru? + 49.5% [#### ] 859.7 KiB (19.5 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/fop/2.1/fo? + 100.0% [##########] 3.9 MiB (65.6 MiB / s) +https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-ru? + 91.5% [######### ] 1.6 MiB (24.3 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-ru? + 100.0% [##########] 1.7 MiB (20.4 MiB / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-classw? + 100.0% [##########] 51.4 KiB (3.1 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12? + 70.3% [####### ] 208.0 KiB (22.6 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 44.8 KiB (385.8 KiB / s) +https://repo1.maven.org/maven2/com/googlecode/javaewah/JavaEWAH/? + 100.0% [##########] 162.0 KiB (10.5 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12? + 100.0% [##########] 295.9 KiB (10.0 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? + 100.0% [##########] 620.8 KiB (24.2 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 60.4% [###### ] 33.7 KiB (297.8 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 11s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 100.0% [##########] 55.7 KiB (419.0 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 100.0% [##########] 1.1 MiB (10.7 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/jruby/joni/joni/2.1.25/joni-2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/jruby/joni/joni/2.1.25/joni-2? + 100.0% [##########] 216.7 KiB (9.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.8/? + 100.0% [##########] 357.2 KiB (26.8 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.1? + 100.0% [##########] 41.9 KiB (2.7 MiB / s) +https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? + 100.0% [##########] 32.4 KiB (1.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.4/zin? + 100.0% [##########] 63.2 KiB (6.9 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 100.0% [##########] 3.5 KiB (31.0 KiB / s) +https://repo1.maven.org/maven2/com/github/jnr/jnr-netdb/1.1.6/jn? + 100.0% [##########] 60.2 KiB (4.9 MiB / s) +https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.? + 100.0% [##########] 415.8 KiB (20.3 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-tran? + 100.0% [##########] 90.3 KiB (8.0 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 25.1 KiB (255.9 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-webapp/9.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/antlr/ST4/4.1/ST4-4.1.jar + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 11s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 25.1 KiB (214.3 KiB / s) +https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? + 100.0% [##########] 8.1 KiB (507.8 KiB / s) +https://repo1.maven.org/maven2/com/jcraft/jzlib/1.1.3/jzlib-1.1.? + 100.0% [##########] 70.3 KiB (5.7 MiB / s) +https://repo1.maven.org/maven2/javax/annotation/jsr250-api/1.0/j? + 100.0% [##########] 5.7 KiB (285.5 KiB / s) +https://repo1.maven.org/maven2/org/antlr/ST4/4.1/ST4-4.1.jar + 100.0% [##########] 247.1 KiB (10.5 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-webapp/9.? + 100.0% [##########] 105.2 KiB (4.3 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? + 100.0% [##########] 368.8 KiB (30.0 MiB / s) +https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? + 100.0% [##########] 59.6 KiB (6.5 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.1? + 100.0% [##########] 64.5 KiB (2.7 MiB / s) +https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? + 100.0% [##########] 59.6 KiB (2.1 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? + 100.0% [##########] 368.8 KiB (11.3 MiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-analysis/7.0/asm-? + 100.0% [##########] 32.5 KiB (1.6 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/mima-core_2.12/0.7.0? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 11s +https://repo1.maven.org/maven2/com/typesafe/mima-core_2.12/0.7.0? + 100.0% [##########] 239.0 KiB (2.4 MiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-util/7.0/asm-util? + 100.0% [##########] 78.9 KiB (6.4 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 100.0% [##########] 6.0 KiB (54.4 KiB / s) +https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/? + 100.0% [##########] 60.8 KiB (2.7 MiB / s) +https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caf? + 63.4% [###### ] 623.4 KiB (43.5 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 4.0% [ ] 298.4 KiB (19.4 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 100.0% [##########] 1.1 MiB (8.2 MiB / s) +https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caf? + 100.0% [##########] 983.5 KiB (28.2 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 13.1% [# ] 986.4 KiB (27.5 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/antlr/stringtemplate/3.2.1/st? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/? + 100.0% [##########] 299.8 KiB (11.7 MiB / s) +https://repo1.maven.org/maven2/org/antlr/stringtemplate/3.2.1/st? + 100.0% [##########] 145.1 KiB (5.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.? + 100.0% [##########] 78.1 KiB (4.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? + 100.0% [##########] 12.3 KiB (723.3 KiB / s) +https://repo1.maven.org/maven2/xml-apis/xml-apis-ext/1.3.04/xml-? + 100.0% [##########] 83.7 KiB (9.1 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-xml/? + 100.0% [##########] 26.1 KiB (2.8 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 37.2% [### ] 2.7 MiB (48.7 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-xml/? + 100.0% [##########] 26.1 KiB (933.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/? + 100.0% [##########] 177.5 KiB (7.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1? + 100.0% [##########] 16.6 KiB (829.8 KiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 59.1% [##### ] 4.3 MiB (57.8 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 2.1% [ ] 208.0 KiB (174.3 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? + 1.2% [ ] 120.7 KiB (10.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcpkix-jdk15on/1? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 11s +https://repo1.maven.org/maven2/org/bouncycastle/bcpkix-jdk15on/1? + 100.0% [##########] 846.0 KiB (25.8 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 66.1% [###### ] 4.9 MiB (51.1 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? + 9.3% [ ] 968.4 KiB (31.5 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 2.7% [ ] 272.0 KiB (224.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-pars? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-pars? + 100.0% [##########] 54.0 KiB (2.4 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 76.4% [####### ] 5.6 MiB (48.7 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? + 19.6% [# ] 2.0 MiB (39.9 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 14.4% [# ] 425.3 KiB (1.1 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 3.5% [ ] 352.2 KiB (285.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 85.3% [######## ] 6.3 MiB (46.4 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? + 29.7% [## ] 3.0 MiB (43.2 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 24.0% [## ] 709.5 KiB (1.7 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 4.5% [ ] 448.2 KiB (357.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? + 100.0% [##########] 490.1 KiB (11.7 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 93.6% [######### ] 6.9 MiB (44.3 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? + 39.4% [### ] 4.0 MiB (44.6 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 33.4% [### ] 987.7 KiB (2.3 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 5.3% [ ] 528.2 KiB (414.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-io/9.2.21? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 100.0% [##########] 7.3 MiB (41.9 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-io/9.2.21? + 100.0% [##########] 105.7 KiB (3.3 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? + 52.0% [##### ] 5.3 MiB (48.1 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 43.9% [#### ] 1.3 MiB (2.9 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 6.4% [ ] 640.2 KiB (495.1 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/foundweekends/knockoff_2.12/0? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 11s +https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12? + 100.0% [##########] 33.1 KiB (1.2 MiB / s) +https://repo1.maven.org/maven2/org/foundweekends/knockoff_2.12/0? + 100.0% [##########] 199.4 KiB (7.8 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? + 66.7% [###### ] 6.8 MiB (52.2 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 57.9% [##### ] 1.7 MiB (3.7 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 7.6% [ ] 752.2 KiB (572.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/maven/maven-artifact/3? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-ext/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/maven/maven-artifact/3? + 100.0% [##########] 53.7 KiB (1.8 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-ext/? + 100.0% [##########] 10.1 KiB (421.0 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? + 82.5% [######## ] 8.4 MiB (56.0 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 73.3% [####### ] 2.1 MiB (4.4 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 8.8% [ ] 880.2 KiB (660.3 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/maven/maven-plugin-api? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.8/ta? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/maven/maven-plugin-api? + 100.0% [##########] 46.4 KiB (1.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.8/ta? + 100.0% [##########] 83.7 KiB (3.4 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? + 97.7% [######### ] 9.9 MiB (58.5 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 88.2% [######## ] 2.5 MiB (5.1 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 10.1% [# ] 1008.2 KiB (745.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.8? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disrupto? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/? + 100.0% [##########] 153.7 KiB (15.0 MiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 100.0% [##########] 316.4 KiB (18.2 MiB / s) +https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disrupto? + 100.0% [##########] 81.1 KiB (3.4 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? + 100.0% [##########] 2.9 MiB (5.6 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? + 100.0% [##########] 10.2 MiB (53.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.8? + 100.0% [##########] 432.9 KiB (17.6 MiB / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcpg-jdk15on/1.6? + 70.4% [####### ] 224.0 KiB (16.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? + 32.4% [### ] 176.0 KiB (21.5 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 13.4% [# ] 1.3 MiB (967.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.j? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/oki? + 100.0% [##########] 89.8 KiB (7.3 MiB / s) +https://repo1.maven.org/maven2/javax/enterprise/cdi-api/1.0/cdi-? + 100.0% [##########] 43.9 KiB (2.4 MiB / s) +https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.j? + 100.0% [##########] 262.5 KiB (12.2 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-svg-? + 100.0% [##########] 212.1 KiB (15.9 MiB / s) +https://repo1.maven.org/maven2/org/bouncycastle/bcpg-jdk15on/1.6? + 100.0% [##########] 318.0 KiB (9.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? + 100.0% [##########] 543.5 KiB (19.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? + 100.0% [##########] 274.1 KiB (10.3 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 18.2% [# ] 1.8 MiB (1.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/antlr/antlr/2.7.7/antlr-2.7.7.jar + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/sbt-paradox? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlco? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 12s +https://repo1.maven.org/maven2/antlr/antlr/2.7.7/antlr-2.7.7.jar + 100.0% [##########] 434.9 KiB (15.2 MiB / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/sbt-paradox? + 100.0% [##########] 33.1 KiB (1.3 MiB / s) +https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlco? + 100.0% [##########] 31.8 KiB (1.3 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? + 100.0% [##########] 629.9 KiB (38.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.3/io_2.? + 83.2% [######## ] 506.5 KiB (30.9 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 24.3% [## ] 2.4 MiB (1.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? + 100.0% [##########] 629.9 KiB (11.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.3/io_2.? + 100.0% [##########] 608.7 KiB (11.4 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 26.7% [## ] 2.6 MiB (1.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-? + 100.0% [##########] 40.2 KiB (1004.4 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 29.1% [## ] 2.8 MiB (1.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/pegdown/pegdown/1.6.0/pegdown? + 0.0% [ ] 0 B +https://repo1.maven.org/maven2/org/pegdown/pegdown/1.6.0/pegdown? + 100.0% [##########] 78.1 KiB (2.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? + 100.0% [##########] 96.5 KiB (1.4 MiB / s) +https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? + 100.0% [##########] 26.8 KiB (924.2 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 35.2% [### ] 3.4 MiB (2.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalariform/scalariform_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/jruby/dirgra/0.3/dirgra-0.3.j? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 122.6 KiB (1.1 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 10.2 KiB (104.6 KiB / s) +https://repo1.maven.org/maven2/org/jruby/dirgra/0.3/dirgra-0.3.j? + 100.0% [##########] 16.0 KiB (516.6 KiB / s) +https://repo1.maven.org/maven2/org/scalariform/scalariform_2.12/? + 68.6% [###### ] 837.5 KiB (24.1 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 40.2% [#### ] 3.9 MiB (2.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/maven/maven-model/3.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/thoughtworks/extractor/extrac? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 12s +https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffe? + 100.0% [##########] 6.9 KiB (527.6 KiB / s) +https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/? + 100.0% [##########] 208.0 KiB (8.1 MiB / s) +https://repo1.maven.org/maven2/com/thoughtworks/extractor/extrac? + 100.0% [##########] 19.6 KiB (982.2 KiB / s) +https://repo1.maven.org/maven2/org/apache/maven/maven-model/3.3.? + 100.0% [##########] 160.1 KiB (6.0 MiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm/7.0/asm-7.0.jar + 100.0% [##########] 111.0 KiB (8.3 MiB / s) +https://repo1.maven.org/maven2/org/scalariform/scalariform_2.12/? + 100.0% [##########] 1.2 MiB (22.1 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 48.9% [#### ] 4.8 MiB (3.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-xml/9.2.2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/reactivestreams/reactive-stre? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-xml/9.2.2? + 100.0% [##########] 43.1 KiB (1.6 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? + 100.0% [##########] 200.6 KiB (7.3 MiB / s) +https://repo1.maven.org/maven2/org/parboiled/parboiled-java/1.3.? + 100.0% [##########] 76.1 KiB (4.1 MiB / s) +https://repo1.maven.org/maven2/org/reactivestreams/reactive-stre? + 100.0% [##########] 2.0 KiB (78.8 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 67.9% [###### ] 6.6 MiB (4.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.? + 33.9% [### ] 112.0 KiB (10.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/thoughtworks/sbt-api-mappings? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/thoughtworks/sbt-api-mappings? + 100.0% [##########] 55.4 KiB (1.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.? + 100.0% [##########] 330.9 KiB (11.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_? + 100.0% [##########] 73.3 KiB (4.8 MiB / s) +https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0? + 100.0% [##########] 72.5 KiB (4.2 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 87.3% [######## ] 8.5 MiB (5.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 96.5% [######### ] 9.4 MiB (6.0 MiB / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? + 54.2% [##### ] 753.9 KiB (28.3 MiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? + 5.8% [ ] 636.1 KiB (22.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? + 100.0% [##########] 9.7 MiB (6.1 MiB / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? + 100.0% [##########] 1.4 MiB (29.5 MiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? + 17.9% [# ] 1.9 MiB (39.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 12s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 100.0% [##########] 2.0 KiB (17.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? + 100.0% [##########] 64.2 KiB (2.6 MiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? + 38.3% [### ] 4.1 MiB (59.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/xalan/xalan/2.7.0/xalan-2.7.0.jar + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 74.9% [####### ] 130.7 KiB (1.1 MiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? + 50.2% [##### ] 5.3 MiB (60.7 MiB / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? + 43.3% [#### ] 160.0 KiB (7.1 MiB / s) +https://repo1.maven.org/maven2/xalan/xalan/2.7.0/xalan-2.7.0.jar + 25.8% [## ] 688.0 KiB (19.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? + 100.0% [##########] 369.7 KiB (8.6 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 74.9% [####### ] 130.7 KiB (947.3 KiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? + 62.6% [###### ] 6.7 MiB (61.6 MiB / s) +https://repo1.maven.org/maven2/xalan/xalan/2.7.0/xalan-2.7.0.jar + 53.2% [##### ] 1.4 MiB (25.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-scri? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 174.6 KiB (1.1 MiB / s) +https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-scri? + 100.0% [##########] 16.8 KiB (478.7 KiB / s) +https://repo1.maven.org/maven2/xalan/xalan/2.7.0/xalan-2.7.0.jar + 84.9% [######## ] 2.2 MiB (29.5 MiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? + 75.5% [####### ] 8.0 MiB (62.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalastyle/scalastyle-sbt-plu? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0? + 100.0% [##########] 807 B (24.6 KiB / s) +https://repo1.maven.org/maven2/org/scalastyle/scalastyle-sbt-plu? + 100.0% [##########] 34.9 KiB (968.8 KiB / s) +https://repo1.maven.org/maven2/xalan/xalan/2.7.0/xalan-2.7.0.jar + 100.0% [##########] 2.6 MiB (27.7 MiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? + 92.1% [######### ] 9.8 MiB (66.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/sbt-mima-plugin_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-compon? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/javax/inject/javax.inject/1/javax? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 12s +https://repo1.maven.org/maven2/com/headius/options/1.4/options-1? + 100.0% [##########] 13.9 KiB (769.7 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/sbt-mima-plugin_2.12? + 100.0% [##########] 54.9 KiB (1.5 MiB / s) +https://repo1.maven.org/maven2/javax/inject/javax.inject/1/javax? + 100.0% [##########] 2.4 KiB (84.1 KiB / s) +https://repo1.maven.org/maven2/joda-time/joda-time/2.9.9/joda-ti? + 100.0% [##########] 619.2 KiB (35.6 MiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? + 100.0% [##########] 10.6 MiB (63.3 MiB / s) +https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-compon? + 100.0% [##########] 4.1 KiB (124.6 KiB / s) +https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.3.? + 100.0% [##########] 189.3 KiB (12.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 1.3 MiB (8.5 MiB / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-knockof? + 100.0% [##########] 50.5 KiB (4.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.? + 100.0% [##########] 2.5 KiB (109.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/? + 100.0% [##########] 25.9 KiB (589.4 KiB / s) +https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-directiv? + 100.0% [##########] 127.6 KiB (7.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.7.2/jarja? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.7.2/jarja? + 100.0% [##########] 122.3 KiB (4.8 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? + 32.0% [### ] 1.0 MiB (37.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? + 100.0% [##########] 156.1 KiB (1.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 100.0% [##########] 542.7 KiB (16.1 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? + 82.5% [######## ] 2.7 MiB (56.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 12s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 126.6 KiB (1.2 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? + 100.0% [##########] 3.3 MiB (48.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? + 43.3% [#### ] 480.0 KiB (3.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? + 100.0% [##########] 1.1 MiB (7.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 12s + + + + + + + + + | => firrtl-build / update 12s + + + + + + + + + | => firrtl-build / update 12s + + + + + + + + + | => firrtl-build / update 12s + + + + + + + + + | => firrtl-build / update 12s + + + + + + + + + | => firrtl-build / update 13s +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + | => firrtl-build / update 13s +https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? + 100.0% [##########] 14.4 KiB (7.7 KiB / s) +[info] Fetched artifacts of  + + + + + + + + | => firrtl-build / update 13s + + + + + + + + | => firrtl-build / update 13s + + + + + + + + | => firrtl-build / update 13s + + + + + + + + | => firrtl-build / update 13s + + + + + + + + | => firrtl-build / update 13s +[warn] There may be incompatibilities among your library dependencies; run 'evicted' to see detailed eviction warnings. + + + + + + + | => firrtl-build / update 13s + + + + + + + | => firrtl-build / update 13s + + + + + + + | => firrtl-build / update 13s + + + + + + + | => firrtl-build / Compile / previousCompile 0s + + + + + + + | => firrtl-build / Compile / compileIncremental 0s + + + + + + + | => firrtl-build / Compile / compileIncremental 0s + + + + + + + +[info] Loading settings for project firrtl from build.sbt ... +[info] Set current project to firrtl (in build file:/home/riscvuser/chipyard/tools/firrtl/) + + + + + + | => Global / fileTreeView 0s + + + + + + + + + + + + + + + + + + | => Global / fileTreeView 0s + + + + + + | => Global / previousCache 0s + + + + + + | => Global / checkBuildSources 0s + + + + + + + + + + + + + + + + + + + + + + | => Zero / checkBuildSources / dynamicInputs 0s + | => Global / fileTreeView 0s + + + + + + | => Zero / checkBuildSources / dynamicInputs 0s + | => Global / fileTreeView 0s + + + + + + | => Global / previousCache 0s + | => Zero / checkBuildSources / dynamicInputs 0s + | => Global / fileTreeView 0s + + + + + + | => Global / checkBuildSources 0s + | => Zero / checkBuildSources / dynamicInputs 0s + | => Global / fileTreeView 0s + + + + + + + + + + + + + + + + + + + + + + + + | => Global / fileTreeView 0s + + + + + + | => Global / fileTreeView 0s + + + + + + | => Global / previousCache 0s + | => Global / fileTreeView 0s + + + + + + + + + + + + + + + + + + + + + + + | => Global / fileTreeView 0s + + + + + + | => Global / fileTreeView 0s + + + + + + | => Global / previousCache 0s + | => Global / fileTreeView 0s + + + + + + + + + + + + + + + + + + + + + + + | => Global / fileTreeView 0s + + + + + + | => Global / previousCache 0s + | => Global / fileTreeView 0s + + + + + + | => Global / previousCache 0s + | => Global / fileTreeView 0s + + + + + + + + + + + + + | => firrtl / assembly / assemblyOutputPath 0s + + + + + + | => firrtl / assembly / assemblyOutputPath 0s + + + + + + + + + + + + | => firrtl / transitiveUpdate 0s + | => firrtl / transitiveUpdate 0s + + + + + + | => firrtl / transitiveUpdate 0s + | => firrtl / transitiveUpdate 0s + + + + + + + | => Global / previousCache 0s + + + + + + + | => Global / previousCache 0s + + + + + + + | => Global / previousCache 0s + + + + + + + | => Global / previousCache 0s + + + + + + + | => Global / previousCache 0s + + + + + + | => firrtl / Compile / externalHooks 0s + | => firrtl / Compile / externalHooks 0s + + + + + + | => firrtl / Compile / externalHooks 0s + | => firrtl / Compile / externalHooks 0s +[info] Updating  + + + + + + | => firrtl / Compile / externalHooks 0s + | => firrtl / Compile / externalHooks 0s +https://repo1.maven.org/maven2/org/apache/commons/commons-text/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/an? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-text/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/an? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) + + + + + + + | => firrtl / update 0s +https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1? + 100.0% [##########] 2.1 KiB (140.4 KiB / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? + 100.0% [##########] 5.2 KiB (345.6 KiB / s) +https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0? + 100.0% [##########] 2.2 KiB (30.0 KiB / s) +https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/an? + 100.0% [##########] 3.5 KiB (46.7 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-text/1? + 100.0% [##########] 16.0 KiB (216.7 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? + 100.0% [##########] 1.8 KiB (24.1 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 100.0% [##########] 1.8 KiB (110.7 KiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 100.0% [##########] 3.7 KiB (50.6 KiB / s) +https://repo1.maven.org/maven2/org/scalatestplus/scalacheck-1-14? + 100.0% [##########] 2.2 KiB (140.0 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-pare? + 100.0% [##########] 7.3 KiB (807.8 KiB / s) +https://repo1.maven.org/maven2/org/antlr/antlr4-master/4.7.1/ant? + 100.0% [##########] 4.3 KiB (474.3 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 100.0% [##########] 1.6 KiB (16.6 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-parent? + 57.1% [##### ] 40.2 KiB (3.9 MiB / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-bom/? + 100.0% [##########] 3.5 KiB (267.1 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-parent? + 100.0% [##########] 70.3 KiB (2.4 MiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? + 100.0% [##########] 27.7 KiB (2.5 MiB / s) +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 100.0% [##########] 1.6 KiB (141.2 KiB / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 100.0% [##########] 2.2 KiB (198.8 KiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest-compatibl? + 100.0% [##########] 1.6 KiB (146.8 KiB / s) +https://repo1.maven.org/maven2/com/github/nscala-time/nscala-tim? + 100.0% [##########] 1.7 KiB (145.5 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.7? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/nscala-time/nscala-tim? + 100.0% [##########] 1.7 KiB (56.3 KiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest-compatibl? + 100.0% [##########] 1.6 KiB (52.1 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.7? + 0.0% [ ] 0 B (0 B / s) + + + + + + + | => firrtl / update 0s +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.7? + 100.0% [##########] 2.1 KiB (23.7 KiB / s) +https://repo1.maven.org/maven2/com/thoughtworks/paranamer/parana? + 100.0% [##########] 5.2 KiB (396.2 KiB / s) +https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-t? + 100.0% [##########] 35.7 KiB (2.7 MiB / s) +https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-? + 100.0% [##########] 8.3 KiB (636.8 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.7/? + 100.0% [##########] 1.6 KiB (123.3 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? + 100.0% [##########] 1.6 KiB (124.0 KiB / s) +https://repo1.maven.org/maven2/com/thoughtworks/paranamer/parana? + 100.0% [##########] 11.3 KiB (662.9 KiB / s) +https://repo1.maven.org/maven2/org/joda/joda-parent/1.4.0/joda-p? + 100.0% [##########] 31.8 KiB (1.8 MiB / s) +[info] Resolved dependencies + + + + + + | => firrtl / update 0s + + + + + + | => firrtl / update 0s +[info] Updating  + + + + + + | => firrtl / update 0s +https://repo1.maven.org/maven2/org/antlr/antlr4/4.7.1/antlr4-4.7? + 100.0% [##########] 6.0 KiB (336.1 KiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 100.0% [##########] 4.8 KiB (440.9 KiB / s) +https://repo1.maven.org/maven2/org/abego/treelayout/org.abego.tr? + 100.0% [##########] 5.1 KiB (459.7 KiB / s) +https://repo1.maven.org/maven2/org/antlr/ST4/4.0.8/ST4-4.0.8.pom + 100.0% [##########] 13.4 KiB (1.2 MiB / s) +https://repo1.maven.org/maven2/org/glassfish/javax.json/1.0.4/ja? + 100.0% [##########] 9.0 KiB (819.6 KiB / s) +[info] Resolved dependencies + + + + + + | => firrtl / update 0s +[info] Updating  + + + + + + | => firrtl / update 0s +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 100.0% [##########] 2.7 KiB (161.2 KiB / s) +[info] Resolved dependencies + + + + + + | => firrtl / update 0s + + + + + + | => firrtl / update 0s +[info] Updating  + + + + + + | => firrtl / update 0s +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 100.0% [##########] 2.6 KiB (145.9 KiB / s) +[info] Resolved dependencies + + + + + + | => firrtl / update 0s +[info] Fetching artifacts of  + + + + + + | => firrtl / update 0s +https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-? + 85.7% [######## ] 121.8 KiB (7.4 MiB / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? + 7.9% [ ] 125.9 KiB (7.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 1.2% [ ] 123.2 KiB (7.5 MiB / s) +https://repo1.maven.org/maven2/org/abego/treelayout/org.abego.tr? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/nscala-time/nscala-tim? + 100.0% [##########] 197.1 KiB (10.7 MiB / s) +https://repo1.maven.org/maven2/org/abego/treelayout/org.abego.tr? + 100.0% [##########] 26.1 KiB (747.0 KiB / s) +https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-? + 100.0% [##########] 142.2 KiB (4.0 MiB / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? + 47.2% [#### ] 753.9 KiB (21.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 8.6% [ ] 449.3 KiB (24.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 6.3% [ ] 660.3 KiB (18.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.7/? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => firrtl / update 0s +https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.7/? + 100.0% [##########] 81.7 KiB (3.5 MiB / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? + 89.4% [######## ] 1.4 MiB (25.4 MiB / s) +https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/an? + 29.2% [## ] 96.0 KiB (7.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 25.7% [## ] 1.3 MiB (34.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 11.5% [# ] 1.2 MiB (21.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? + 100.0% [##########] 1.6 MiB (20.8 MiB / s) +https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/an? + 100.0% [##########] 328.9 KiB (10.0 MiB / s) +https://repo1.maven.org/maven2/org/antlr/ST4/4.0.8/ST4-4.0.8.jar + 61.6% [###### ] 144.0 KiB (11.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 46.4% [#### ] 2.4 MiB (41.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 17.8% [# ] 1.8 MiB (24.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest-compatibl? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/antlr/ST4/4.0.8/ST4-4.0.8.jar + 100.0% [##########] 233.9 KiB (7.1 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? + 100.0% [##########] 91.1 KiB (5.6 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest-compatibl? + 100.0% [##########] 1.4 KiB (49.1 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 69.9% [###### ] 3.6 MiB (45.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 24.5% [## ] 2.5 MiB (26.4 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 1.7% [ ] 192.0 KiB (10.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/glassfish/javax.json/1.0.4/ja? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/glassfish/javax.json/1.0.4/ja? + 100.0% [##########] 83.2 KiB (3.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 92.2% [######### ] 4.7 MiB (48.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 30.8% [### ] 3.1 MiB (27.3 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 5.1% [ ] 576.0 KiB (15.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 96.1% [######### ] 4.9 MiB (36.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 32.3% [### ] 3.3 MiB (21.6 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 5.8% [ ] 656.0 KiB (8.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => firrtl / update 0s +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 100.0% [##########] 5.1 MiB (37.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 34.6% [### ] 3.5 MiB (22.8 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 7.0% [ ] 800.0 KiB (10.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-t? + 0.0% [ ] 0 B +https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-t? + 100.0% [##########] 625.7 KiB (30.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 42.7% [#### ] 4.4 MiB (24.9 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 10.8% [# ] 1.2 MiB (12.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 5.4% [ ] 193.9 KiB (1.1 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/thoughtworks/paranamer/parana? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/thoughtworks/paranamer/parana? + 100.0% [##########] 33.8 KiB (1.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 53.2% [##### ] 5.4 MiB (27.9 MiB / s) +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 27.7% [## ] 313.3 KiB (23.5 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 16.4% [# ] 1.8 MiB (15.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 10.9% [# ] 392.0 KiB (2.0 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 100.0% [##########] 1.1 MiB (33.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 61.5% [###### ] 6.3 MiB (29.2 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 20.6% [## ] 2.3 MiB (16.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 14.9% [# ] 536.0 KiB (2.5 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-text/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-text/1? + 100.0% [##########] 202.2 KiB (8.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 72.3% [####### ] 7.4 MiB (31.5 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 26.7% [## ] 3.0 MiB (18.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 20.0% [# ] 718.2 KiB (3.0 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => firrtl / update 0s +https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0? + 100.0% [##########] 308.6 KiB (10.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 82.6% [######## ] 8.4 MiB (33.1 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? + 37.6% [### ] 128.0 KiB (9.6 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 32.2% [### ] 3.6 MiB (20.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 25.3% [## ] 910.2 KiB (3.5 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? + 100.0% [##########] 340.8 KiB (10.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 93.4% [######### ] 9.6 MiB (34.7 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 38.1% [### ] 4.2 MiB (21.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 31.1% [### ] 1.1 MiB (4.0 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.7? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.7? + 100.0% [##########] 478.1 KiB (13.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 100.0% [##########] 10.2 MiB (34.7 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 44.9% [#### ] 5.0 MiB (23.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 37.9% [### ] 1.3 MiB (4.6 MiB / s) +https://repo1.maven.org/maven2/org/antlr/antlr4/4.7.1/antlr4-4.7? + 16.6% [# ] 224.0 KiB (18.2 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/antlr/antlr4/4.7.1/antlr4-4.7? + 94.7% [######### ] 1.3 MiB (39.1 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 53.2% [##### ] 5.9 MiB (25.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 45.2% [#### ] 1.6 MiB (5.1 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/antlr/antlr4/4.7.1/antlr4-4.7? + 100.0% [##########] 1.3 MiB (25.4 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 68.5% [###### ] 7.6 MiB (29.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 58.9% [##### ] 2.1 MiB (6.3 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatestplus/scalacheck-1-14? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 84.5% [######## ] 9.4 MiB (34.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 72.3% [####### ] 2.5 MiB (7.2 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatestplus/scalacheck-1-14? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => firrtl / update 0s +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 100.0% [##########] 11.1 MiB (37.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 87.4% [######## ] 3.1 MiB (8.3 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatestplus/scalacheck-1-14? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1? + 100.0% [##########] 77.0 KiB (4.0 MiB / s) +https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? + 100.0% [##########] 11.1 MiB (35.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 100.0% [##########] 3.5 MiB (9.0 MiB / s) +https://repo1.maven.org/maven2/org/scalatestplus/scalacheck-1-14? + 100.0% [##########] 97.9 KiB (1.2 MiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? + 68.3% [###### ] 336.0 KiB (27.3 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? + 100.0% [##########] 492.1 KiB (15.5 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 14.9% [# ] 229.6 KiB (1.5 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 100.0% [##########] 1.5 MiB (9.1 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => firrtl / update 0s + + + + + + | => firrtl / update 1s + + + + + + | => firrtl / update 1s + + + + + + | => firrtl / update 1s + + + + + + | => firrtl / update 1s +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 8.7% [ ] 578.8 KiB (748.7 KiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 32.2% [### ] 2.1 MiB (2.6 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 63.6% [###### ] 4.1 MiB (5.1 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 97.8% [######### ] 6.4 MiB (7.7 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 100.0% [##########] 6.5 MiB (7.6 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => firrtl / update 1s + + + + + + | => firrtl / update 1s + + + + + + | => firrtl / update 1s + + + + + + | => firrtl / update 1s +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 4.2% [ ] 528.2 KiB (391.0 KiB / s) + + + + + + | => firrtl / update 1s +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 13.3% [# ] 1.6 MiB (1.2 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 26.3% [## ] 3.2 MiB (2.3 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 44.0% [#### ] 5.4 MiB (3.8 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 62.3% [###### ] 7.6 MiB (5.3 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 80.8% [######## ] 9.8 MiB (6.8 MiB / s) + + + + + + | => firrtl / update 1s +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 99.2% [######### ] 12.1 MiB (8.2 MiB / s) +https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? + 100.0% [##########] 12.2 MiB (8.2 MiB / s) +[info] Fetched artifacts of  + + + + + + | => firrtl / update 1s + + + + + + | => firrtl / update 2s +[info] Compiling 1 protobuf files to /home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/src_managed/main + + + + + + | => firrtl / update 2s +[info] Compiling schema /home/riscvuser/chipyard/tools/firrtl/src/main/proto/firrtl.proto + + + + + + | => firrtl / update 2s +protoc-jar: protoc version: 3.5.1, detected platform: linux-x86_64 (linux/amd64) +protoc-jar: java.io.IOException: java.io.FileNotFoundException: /home/riscvuser/.m2/settings.xml (No such file or directory) +protoc-jar: downloading: https://repo.maven.apache.org/maven2/com/google/protobuf/protoc/maven-metadata.xml +protoc-jar: saved: /tmp/protocjar.webcache/com/google/protobuf/protoc/maven-metadata.xml +protoc-jar: java.io.IOException: java.io.FileNotFoundException: /home/riscvuser/.m2/settings.xml (No such file or directory) +protoc-jar: downloading: https://repo.maven.apache.org/maven2/com/github/os72/protoc/maven-metadata.xml +protoc-jar: saved: /tmp/protocjar.webcache/com/github/os72/protoc/maven-metadata.xml +protoc-jar: java.io.IOException: java.io.FileNotFoundException: /home/riscvuser/.m2/settings.xml (No such file or directory) +protoc-jar: cached: /tmp/protocjar.webcache/com/google/protobuf/protoc/maven-metadata.xml + + + + + + | => firrtl / Protobuf / protobufGenerate 0s + | => firrtl / Antlr4 / antlr4Generate 0s +protoc-jar: downloading: https://repo.maven.apache.org/maven2/com/google/protobuf/protoc/3.5.1/protoc-3.5.1-linux-x86_64.exe + + + + + + | => firrtl / Protobuf / protobufGenerate 0s + | => firrtl / Antlr4 / antlr4Generate 0s +protoc-jar: saved: /tmp/protocjar.webcache/com/google/protobuf/protoc/3.5.1/protoc-3.5.1-linux-x86_64.exe +protoc-jar: executing: [/tmp/protocjar14928688499957470691/bin/protoc.exe, -I/home/riscvuser/chipyard/tools/firrtl/src/main/proto, -I/home/riscvuser/chipyard/tools/firrtl/target/protobuf_external, --java_out=/home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/src_managed/main, /home/riscvuser/chipyard/tools/firrtl/src/main/proto/firrtl.proto] + + + + + + | => firrtl / Protobuf / protobufGenerate 0s + | => firrtl / Antlr4 / antlr4Generate 0s +[info] Compiling protobuf + + + + + + | => firrtl / Protobuf / protobufGenerate 0s + | => firrtl / Antlr4 / antlr4Generate 0s +[info] Protoc target directory: /home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/src_managed/main + + + + + + | => firrtl / Protobuf / protobufGenerate 0s + | => firrtl / Antlr4 / antlr4Generate 0s + + + + + + + | => firrtl / Antlr4 / antlr4Generate 0s + + + + + + + | => firrtl / Antlr4 / antlr4Generate 0s + + + + + + + | => firrtl / Antlr4 / antlr4Generate 0s + + + + + + + | => firrtl / Antlr4 / antlr4Generate 0s + + + + + + + | => firrtl / Antlr4 / antlr4Generate 0s + + + + + + | => firrtl / Compile / managedSourcePaths / outputFileStamps 0s +[info] Compiling 191 Scala sources and 5 Java sources to /home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/classes ... + + + + + + | => firrtl / Compile / managedSourcePaths / outputFileStamps 0s + + + + + + + | => firrtl / Compile / compileIncremental 0s +https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.? + 0.0% [ ] 0 B (0 B / s) +[info] Non-compiled module 'compiler-bridge_2.12' for Scala 2.12.11. Compiling... + + + + + + | => firrtl / Compile / compileIncremental 0s + + + + + + | => firrtl / Compile / compileIncremental 0s + + + + + + | => firrtl / Compile / compileIncremental 0s + + + + + + | => firrtl / Compile / compileIncremental 0s + + + + + + | => firrtl / Compile / compileIncremental 0s + + + + + + | => firrtl / Compile / compileIncremental 0s + + + + + + | => firrtl / Compile / compileIncremental 0s + + + + + + | => firrtl / Compile / compileIncremental 0s + + + + + + | => firrtl / Compile / compileIncremental 0s + + + + + + | => firrtl / Compile / compileIncremental 1s + + + + + + | => firrtl / Compile / compileIncremental 1s + + + + + + | => firrtl / Compile / compileIncremental 1s + + + + + + | => firrtl / Compile / compileIncremental 1s + + + + + + | => firrtl / Compile / compileIncremental 1s + + + + + + | => firrtl / Compile / compileIncremental 1s + + + + + + | => firrtl / Compile / compileIncremental 1s + + + + + + | => firrtl / Compile / compileIncremental 1s + + + + + + | => firrtl / Compile / compileIncremental 1s + + + + + + | => firrtl / Compile / compileIncremental 1s + + + + + + | => firrtl / Compile / compileIncremental 2s + + + + + + | => firrtl / Compile / compileIncremental 2s + + + + + + | => firrtl / Compile / compileIncremental 2s + + + + + + | => firrtl / Compile / compileIncremental 2s + + + + + + | => firrtl / Compile / compileIncremental 2s + + + + + + | => firrtl / Compile / compileIncremental 2s + + + + + + | => firrtl / Compile / compileIncremental 2s + + + + + + | => firrtl / Compile / compileIncremental 2s + + + + + + | => firrtl / Compile / compileIncremental 2s + + + + + + | => firrtl / Compile / compileIncremental 2s + + + + + + | => firrtl / Compile / compileIncremental 3s + + + + + + | => firrtl / Compile / compileIncremental 3s + + + + + + | => firrtl / Compile / compileIncremental 3s + + + + + + | => firrtl / Compile / compileIncremental 3s + + + + + + | => firrtl / Compile / compileIncremental 3s + + + + + + | => firrtl / Compile / compileIncremental 3s + + + + + + | => firrtl / Compile / compileIncremental 3s + + + + + + | => firrtl / Compile / compileIncremental 3s + + + + + + | => firrtl / Compile / compileIncremental 3s + + + + + + | => firrtl / Compile / compileIncremental 3s + + + + + + | => firrtl / Compile / compileIncremental 4s + + + + + + | => firrtl / Compile / compileIncremental 4s + + + + + + | => firrtl / Compile / compileIncremental 4s + + + + + + | => firrtl / Compile / compileIncremental 4s + + + + + + | => firrtl / Compile / compileIncremental 4s + + + + + + | => firrtl / Compile / compileIncremental 4s + + + + + + | => firrtl / Compile / compileIncremental 4s + + + + + + | => firrtl / Compile / compileIncremental 4s + + + + + + | => firrtl / Compile / compileIncremental 4s + + + + + + | => firrtl / Compile / compileIncremental 4s + + + + + + | => firrtl / Compile / compileIncremental 5s + + + + + + | => firrtl / Compile / compileIncremental 5s + + + + + + | => firrtl / Compile / compileIncremental 5s + + + + + + | => firrtl / Compile / compileIncremental 5s + + + + + + | => firrtl / Compile / compileIncremental 5s + + + + + + | => firrtl / Compile / compileIncremental 5s + + + + + + | => firrtl / Compile / compileIncremental 5s + + + + + + | => firrtl / Compile / compileIncremental 5s + + + + + + | => firrtl / Compile / compileIncremental 5s + + + + + + | => firrtl / Compile / compileIncremental 5s + + + + + + | => firrtl / Compile / compileIncremental 6s + + + + + + | => firrtl / Compile / compileIncremental 6s + + + + + + | => firrtl / Compile / compileIncremental 6s + + + + + + | => firrtl / Compile / compileIncremental 6s + + + + + + | => firrtl / Compile / compileIncremental 6s + + + + + + | => firrtl / Compile / compileIncremental 6s + + + + + + | => firrtl / Compile / compileIncremental 6s + + + + + + | => firrtl / Compile / compileIncremental 6s + + + + + + | => firrtl / Compile / compileIncremental 6s + + + + + + | => firrtl / Compile / compileIncremental 6s + + + + + + | => firrtl / Compile / compileIncremental 7s + + + + + + | => firrtl / Compile / compileIncremental 7s + + + + + + | => firrtl / Compile / compileIncremental 7s + + + + + + | => firrtl / Compile / compileIncremental 7s + + + + + + | => firrtl / Compile / compileIncremental 7s + + + + + + | => firrtl / Compile / compileIncremental 7s + + + + + + | => firrtl / Compile / compileIncremental 7s + + + + + + | => firrtl / Compile / compileIncremental 7s + + + + + + | => firrtl / Compile / compileIncremental 7s + + + + + + | => firrtl / Compile / compileIncremental 7s + + + + + + | => firrtl / Compile / compileIncremental 8s + + + + + + | => firrtl / Compile / compileIncremental 8s + + + + + + | => firrtl / Compile / compileIncremental 8s + + + + + + | => firrtl / Compile / compileIncremental 8s + + + + + + | => firrtl / Compile / compileIncremental 8s + + + + + + | => firrtl / Compile / compileIncremental 8s + + + + + + | => firrtl / Compile / compileIncremental 8s + + + + + + | => firrtl / Compile / compileIncremental 8s + + + + + + | => firrtl / Compile / compileIncremental 8s + + + + + + | => firrtl / Compile / compileIncremental 8s + + + + + + | => firrtl / Compile / compileIncremental 9s + + + + + + | => firrtl / Compile / compileIncremental 9s + + + + + + | => firrtl / Compile / compileIncremental 9s + + + + + + | => firrtl / Compile / compileIncremental 9s +[info]  Compilation completed in 9.291s. + + + + + + | => firrtl / Compile / compileIncremental 9s + + + + + + | => firrtl / Compile / compileIncremental 9s + + + + + + | => firrtl / Compile / compileIncremental 9s + + + + + + | => firrtl / Compile / compileIncremental 9s + + + + + + | => firrtl / Compile / compileIncremental 9s + + + + + + | => firrtl / Compile / compileIncremental 9s + + + + + + | => firrtl / Compile / compileIncremental 10s + + + + + + | => firrtl / Compile / compileIncremental 10s + + + + + + | => firrtl / Compile / compileIncremental 10s + + + + + + | => firrtl / Compile / compileIncremental 10s + + + + + + | => firrtl / Compile / compileIncremental 10s + + + + + + | => firrtl / Compile / compileIncremental 10s + + + + + + | => firrtl / Compile / compileIncremental 10s + + + + + + | => firrtl / Compile / compileIncremental 10s + + + + + + | => firrtl / Compile / compileIncremental 10s + + + + + + | => firrtl / Compile / compileIncremental 10s + + + + + + | => firrtl / Compile / compileIncremental 11s + + + + + + | => firrtl / Compile / compileIncremental 11s + + + + + + | => firrtl / Compile / compileIncremental 11s + + + + + + | => firrtl / Compile / compileIncremental 11s + + + + + + | => firrtl / Compile / compileIncremental 11s + + + + + + | => firrtl / Compile / compileIncremental 11s + + + + + + | => firrtl / Compile / compileIncremental 11s + + + + + + | => firrtl / Compile / compileIncremental 11s + + + + + + | => firrtl / Compile / compileIncremental 11s + + + + + + | => firrtl / Compile / compileIncremental 11s + + + + + + | => firrtl / Compile / compileIncremental 12s + + + + + + | => firrtl / Compile / compileIncremental 12s + + + + + + | => firrtl / Compile / compileIncremental 12s + + + + + + | => firrtl / Compile / compileIncremental 12s + + + + + + | => firrtl / Compile / compileIncremental 12s + + + + + + | => firrtl / Compile / compileIncremental 12s + + + + + + | => firrtl / Compile / compileIncremental 12s + + + + + + | => firrtl / Compile / compileIncremental 12s + + + + + + | => firrtl / Compile / compileIncremental 12s + + + + + + | => firrtl / Compile / compileIncremental 12s + + + + + + | => firrtl / Compile / compileIncremental 13s + + + + + + | => firrtl / Compile / compileIncremental 13s + + + + + + | => firrtl / Compile / compileIncremental 13s + + + + + + | => firrtl / Compile / compileIncremental 13s + + + + + + | => firrtl / Compile / compileIncremental 13s + + + + + + | => firrtl / Compile / compileIncremental 13s + + + + + + | => firrtl / Compile / compileIncremental 13s + + + + + + | => firrtl / Compile / compileIncremental 13s + + + + + + | => firrtl / Compile / compileIncremental 13s + + + + + + | => firrtl / Compile / compileIncremental 13s + + + + + + | => firrtl / Compile / compileIncremental 14s + + + + + + | => firrtl / Compile / compileIncremental 14s + + + + + + | => firrtl / Compile / compileIncremental 14s + + + + + + | => firrtl / Compile / compileIncremental 14s + + + + + + | => firrtl / Compile / compileIncremental 14s + + + + + + | => firrtl / Compile / compileIncremental 14s + + + + + + | => firrtl / Compile / compileIncremental 14s + + + + + + | => firrtl / Compile / compileIncremental 14s + + + + + + | => firrtl / Compile / compileIncremental 14s + + + + + + | => firrtl / Compile / compileIncremental 14s + + + + + + | => firrtl / Compile / compileIncremental 15s + + + + + + | => firrtl / Compile / compileIncremental 15s + + + + + + | => firrtl / Compile / compileIncremental 15s + + + + + + | => firrtl / Compile / compileIncremental 15s + + + + + + | => firrtl / Compile / compileIncremental 15s + + + + + + | => firrtl / Compile / compileIncremental 15s + + + + + + | => firrtl / Compile / compileIncremental 15s + + + + + + | => firrtl / Compile / compileIncremental 15s + + + + + + | => firrtl / Compile / compileIncremental 15s + + + + + + | => firrtl / Compile / compileIncremental 15s + + + + + + | => firrtl / Compile / compileIncremental 16s + + + + + + | => firrtl / Compile / compileIncremental 16s + + + + + + | => firrtl / Compile / compileIncremental 16s + + + + + + | => firrtl / Compile / compileIncremental 16s + + + + + + | => firrtl / Compile / compileIncremental 16s + + + + + + | => firrtl / Compile / compileIncremental 16s + + + + + + | => firrtl / Compile / compileIncremental 16s + + + + + + | => firrtl / Compile / compileIncremental 16s + + + + + + | => firrtl / Compile / compileIncremental 17s + + + + + + | => firrtl / Compile / compileIncremental 17s + + + + + + | => firrtl / Compile / compileIncremental 17s + + + + + + | => firrtl / Compile / compileIncremental 17s + + + + + + | => firrtl / Compile / compileIncremental 17s + + + + + + | => firrtl / Compile / compileIncremental 17s + + + + + + | => firrtl / Compile / compileIncremental 17s + + + + + + | => firrtl / Compile / compileIncremental 17s + + + + + + | => firrtl / Compile / compileIncremental 17s + + + + + + | => firrtl / Compile / compileIncremental 17s + + + + + + | => firrtl / Compile / compileIncremental 18s + + + + + + | => firrtl / Compile / compileIncremental 18s + + + + + + | => firrtl / Compile / compileIncremental 18s + + + + + + | => firrtl / Compile / compileIncremental 18s + + + + + + | => firrtl / Compile / compileIncremental 18s + + + + + + | => firrtl / Compile / compileIncremental 18s + + + + + + | => firrtl / Compile / compileIncremental 18s + + + + + + | => firrtl / Compile / compileIncremental 18s + + + + + + | => firrtl / Compile / compileIncremental 18s + + + + + + | => firrtl / Compile / compileIncremental 18s + + + + + + | => firrtl / Compile / compileIncremental 19s + + + + + + | => firrtl / Compile / compileIncremental 19s + + + + + + | => firrtl / Compile / compileIncremental 19s + + + + + + | => firrtl / Compile / compileIncremental 19s + + + + + + | => firrtl / Compile / compileIncremental 19s + + + + + + | => firrtl / Compile / compileIncremental 19s + + + + + + | => firrtl / Compile / compileIncremental 19s + + + + + + | => firrtl / Compile / compileIncremental 19s + + + + + + | => firrtl / Compile / compileIncremental 19s + + + + + + | => firrtl / Compile / compileIncremental 19s + + + + + + | => firrtl / Compile / compileIncremental 20s + + + + + + | => firrtl / Compile / compileIncremental 20s + + + + + + | => firrtl / Compile / compileIncremental 20s + + + + + + | => firrtl / Compile / compileIncremental 20s + + + + + + | => firrtl / Compile / compileIncremental 20s + + + + + + | => firrtl / Compile / compileIncremental 20s + + + + + + | => firrtl / Compile / compileIncremental 20s + + + + + + | => firrtl / Compile / compileIncremental 20s + + + + + + | => firrtl / Compile / compileIncremental 20s + + + + + + | => firrtl / Compile / compileIncremental 20s + + + + + + | => firrtl / Compile / compileIncremental 21s + + + + + + | => firrtl / Compile / compileIncremental 21s + + + + + + | => firrtl / Compile / compileIncremental 21s + + + + + + | => firrtl / Compile / compileIncremental 21s + + + + + + | => firrtl / Compile / compileIncremental 21s + + + + + + | => firrtl / Compile / compileIncremental 21s + + + + + + | => firrtl / Compile / compileIncremental 21s + + + + + + | => firrtl / Compile / compileIncremental 21s + + + + + + | => firrtl / Compile / compileIncremental 21s + + + + + + | => firrtl / Compile / compileIncremental 21s + + + + + + | => firrtl / Compile / compileIncremental 22s + + + + + + | => firrtl / Compile / compileIncremental 22s + + + + + + | => firrtl / Compile / compileIncremental 22s + + + + + + | => firrtl / Compile / compileIncremental 22s + + + + + + | => firrtl / Compile / compileIncremental 22s + + + + + + | => firrtl / Compile / compileIncremental 22s + + + + + + | => firrtl / Compile / compileIncremental 22s + + + + + + | => firrtl / Compile / compileIncremental 22s + + + + + + | => firrtl / Compile / compileIncremental 22s + + + + + + | => firrtl / Compile / compileIncremental 22s + + + + + + | => firrtl / Compile / compileIncremental 23s + + + + + + | => firrtl / Compile / compileIncremental 23s + + + + + + | => firrtl / Compile / compileIncremental 23s + + + + + + | => firrtl / Compile / compileIncremental 23s + + + + + + | => firrtl / Compile / compileIncremental 23s + + + + + + | => firrtl / Compile / compileIncremental 23s + + + + + + | => firrtl / Compile / compileIncremental 23s + + + + + + | => firrtl / Compile / compileIncremental 23s + + + + + + | => firrtl / Compile / compileIncremental 23s + + + + + + | => firrtl / Compile / compileIncremental 24s + + + + + + | => firrtl / Compile / compileIncremental 24s + + + + + + | => firrtl / Compile / compileIncremental 24s + + + + + + | => firrtl / Compile / compileIncremental 24s + + + + + + | => firrtl / Compile / compileIncremental 24s + + + + + + | => firrtl / Compile / compileIncremental 24s + + + + + + | => firrtl / Compile / compileIncremental 24s + + + + + + | => firrtl / Compile / compileIncremental 24s + + + + + + | => firrtl / Compile / compileIncremental 24s + + + + + + | => firrtl / Compile / compileIncremental 24s + + + + + + | => firrtl / Compile / compileIncremental 25s + + + + + + | => firrtl / Compile / compileIncremental 25s + + + + + + | => firrtl / Compile / compileIncremental 25s + + + + + + | => firrtl / Compile / compileIncremental 25s + + + + + + | => firrtl / Compile / compileIncremental 25s + + + + + + | => firrtl / Compile / compileIncremental 25s + + + + + + | => firrtl / Compile / compileIncremental 25s + + + + + + | => firrtl / Compile / compileIncremental 25s + + + + + + | => firrtl / Compile / compileIncremental 25s + + + + + + | => firrtl / Compile / compileIncremental 25s + + + + + + | => firrtl / Compile / compileIncremental 26s + + + + + + | => firrtl / Compile / compileIncremental 26s + + + + + + | => firrtl / Compile / compileIncremental 26s + + + + + + | => firrtl / Compile / compileIncremental 26s + + + + + + | => firrtl / Compile / compileIncremental 26s + + + + + + | => firrtl / Compile / compileIncremental 26s + + + + + + | => firrtl / Compile / compileIncremental 26s + + + + + + | => firrtl / Compile / compileIncremental 26s + + + + + + | => firrtl / Compile / compileIncremental 26s + + + + + + | => firrtl / Compile / compileIncremental 26s + + + + + + | => firrtl / Compile / compileIncremental 27s + + + + + + | => firrtl / Compile / compileIncremental 27s + + + + + + | => firrtl / Compile / compileIncremental 27s + + + + + + | => firrtl / Compile / compileIncremental 27s + + + + + + | => firrtl / Compile / compileIncremental 27s + + + + + + | => firrtl / Compile / compileIncremental 27s + + + + + + | => firrtl / Compile / compileIncremental 27s + + + + + + | => firrtl / Compile / compileIncremental 27s + + + + + + | => firrtl / Compile / compileIncremental 27s + + + + + + | => firrtl / Compile / compileIncremental 27s + + + + + + | => firrtl / Compile / compileIncremental 28s + + + + + + | => firrtl / Compile / compileIncremental 28s + + + + + + | => firrtl / Compile / compileIncremental 28s + + + + + + | => firrtl / Compile / compileIncremental 28s + + + + + + | => firrtl / Compile / compileIncremental 28s + + + + + + | => firrtl / Compile / compileIncremental 28s + + + + + + | => firrtl / Compile / compileIncremental 28s + + + + + + | => firrtl / Compile / compileIncremental 28s + + + + + + | => firrtl / Compile / compileIncremental 28s + + + + + + | => firrtl / Compile / compileIncremental 28s + + + + + + | => firrtl / Compile / compileIncremental 29s + + + + + + | => firrtl / Compile / compileIncremental 29s + + + + + + | => firrtl / Compile / compileIncremental 29s + + + + + + | => firrtl / Compile / compileIncremental 29s + + + + + + | => firrtl / Compile / compileIncremental 29s + + + + + + | => firrtl / Compile / compileIncremental 29s + + + + + + | => firrtl / Compile / compileIncremental 29s + + + + + + | => firrtl / Compile / compileIncremental 29s + + + + + + | => firrtl / Compile / compileIncremental 29s + + + + + + | => firrtl / Compile / compileIncremental 29s + + + + + + | => firrtl / Compile / compileIncremental 30s + + + + + + | => firrtl / Compile / compileIncremental 30s + + + + + + | => firrtl / Compile / compileIncremental 30s + + + + + + | => firrtl / Compile / compileIncremental 30s + + + + + + | => firrtl / Compile / compileIncremental 30s + + + + + + | => firrtl / Compile / compileIncremental 30s + + + + + + | => firrtl / Compile / compileIncremental 30s + + + + + + | => firrtl / Compile / compileIncremental 30s + + + + + + | => firrtl / Compile / compileIncremental 30s + + + + + + | => firrtl / Compile / compileIncremental 30s + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Visitor.scala:155:27: match may not be exhaustive. + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] It would fail on the following input: (_, _) + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  val lower = (ctx.lowerBound.getText, ctx.boundValue(0).getText) match { + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Visitor.scala:160:27: match may not be exhaustive. + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] It would fail on the following input: (_, _) + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  val upper = (ctx.upperBound.getText, ctx.boundValue(1).getText) match { + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 31s + + + + + + | => firrtl / Compile / compileIncremental 31s + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/annotations/Annotation.scala:64:57: match may not be exhaustive. + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] It would fail on the following inputs: CircuitName(_), CompleteTarget(), ComponentName(_, _), GenericTarget(_, _, _), ModuleName(_, _) + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  ret.map(_.map(newT => Target.convertTarget2Named(newT: @unchecked) match { + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 31s + + + + + + | => firrtl / Compile / compileIncremental 31s + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/annotations/Target.scala:231:11: match may not be exhaustive. + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] It would fail on the following input: (None, None) + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  (getRef, getInstanceOf) match { + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 31s + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/annotations/Target.scala:457:41: match may not be exhaustive. + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] It would fail on the following inputs: ("", Clock), ("", Init), ("", Instance(_)), ("", OfModule(_)), ("", Reset), (_, Clock), (_, Init), (_, Instance(_)), (_, OfModule(_)), (_, Ref(_)), (_, Reset) + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  val name = tokens.foldLeft(""){ + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/annotations/Target.scala:594:29: match may not be exhaustive. + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] It would fail on the following inputs: Clock, Init, Instance(_), OfModule(_), Reset + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  val headType = tokens.head match { + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 31s + + + + + + | => firrtl / Compile / compileIncremental 31s + + + + + + | => firrtl / Compile / compileIncremental 31s + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/ir/IR.scala:724:33: match may not be exhaustive. + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] It would fail on the following inputs: (??, None), (Closed(_), None), (Open(_), None), (_, None), (_, Some(_)) + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  def max: Option[BigDecimal] = (upper, precision) match { + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 31s + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/CInferMDir.scala:21:42: match may not be exhaustive. + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn] It would fail on the following inputs: (MInfer, _), (MPortDir(), _), (MRead, _), (MReadWrite, _), (MWrite, _), (_, MInfer), (_, MPortDir()), (_, MRead), (_, MReadWrite), (_, MWrite), (_, _) + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  case Some(p) => mports(e.name) = (p, dir) match { + + + + + + | => firrtl / Compile / compileIncremental 31s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 31s + + + + + + | => firrtl / Compile / compileIncremental 32s + + + + + + | => firrtl / Compile / compileIncremental 32s + + + + + + | => firrtl / Compile / compileIncremental 32s + + + + + + | => firrtl / Compile / compileIncremental 32s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/InferWidths.scala:80:104: Exhaustivity analysis reached max recursion depth, not all missing cases are reported. + + + + + + | => firrtl / Compile / compileIncremental 32s +[warn] (Please try with scalac -Ypatmat-exhaust-depth 40 or -Ypatmat-exhaust-depth off.) + + + + + + | => firrtl / Compile / compileIncremental 32s +[warn]  private def addTypeConstraints(r1: ReferenceTarget, r2: ReferenceTarget)(t1: Type, t2: Type): Unit = (t1,t2) match { + + + + + + | => firrtl / Compile / compileIncremental 32s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 32s + + + + + + | => firrtl / Compile / compileIncremental 32s + + + + + + | => firrtl / Compile / compileIncremental 32s + + + + + + | => firrtl / Compile / compileIncremental 32s + + + + + + | => firrtl / Compile / compileIncremental 32s + + + + + + | => firrtl / Compile / compileIncremental 32s + + + + + + | => firrtl / Compile / compileIncremental 32s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/proto/FromProto.scala:137:63: match may not be exhaustive. + + + + + + | => firrtl / Compile / compileIncremental 32s +[warn] It would fail on the following input: UNRECOGNIZED + + + + + + | => firrtl / Compile / compileIncremental 32s +[warn]  def convert(ruw: ReadUnderWrite): ir.ReadUnderWrite.Value = ruw match { + + + + + + | => firrtl / Compile / compileIncremental 32s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 32s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/proto/FromProto.scala:159:76: match may not be exhaustive. + + + + + + | => firrtl / Compile / compileIncremental 32s +[warn] It would fail on the following inputs: MEMORY_PORT_DIRECTION_UNKNOWN, UNRECOGNIZED + + + + + + | => firrtl / Compile / compileIncremental 32s +[warn]  def convert(mportdir: Firrtl.Statement.MemoryPort.Direction): MPortDir = mportdir match { + + + + + + | => firrtl / Compile / compileIncremental 32s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 32s + + + + + + | => firrtl / Compile / compileIncremental 33s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/proto/FromProto.scala:275:5: match may not be exhaustive. + + + + + + | => firrtl / Compile / compileIncremental 33s +[warn] It would fail on the following inputs: PORT_DIRECTION_UNKNOWN, UNRECOGNIZED + + + + + + | => firrtl / Compile / compileIncremental 33s +[warn]  dir match { + + + + + + | => firrtl / Compile / compileIncremental 33s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 33s + + + + + + | => firrtl / Compile / compileIncremental 33s + + + + + + | => firrtl / Compile / compileIncremental 33s + + + + + + | => firrtl / Compile / compileIncremental 33s + + + + + + | => firrtl / Compile / compileIncremental 33s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/transforms/RemoveKeywordCollisions.scala:47:59: match may not be exhaustive. + + + + + + | => firrtl / Compile / compileIncremental 33s +[warn] It would fail on the following inputs: Some(CompleteTarget()), Some(GenericTarget(_, _, _)) + + + + + + | => firrtl / Compile / compileIncremental 33s +[warn]  def wrap(name: String, scope: Option[Named]): Named = scope match { + + + + + + | => firrtl / Compile / compileIncremental 33s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 33s + + + + + + | => firrtl / Compile / compileIncremental 33s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/transforms/SimplifyMems.scala:43:67: match may not be exhaustive. + + + + + + | => firrtl / Compile / compileIncremental 33s +[warn] It would fail on the following inputs: Field(_, (x: firrtl.ir.Orientation forSome x not in Flip), (x: firrtl.ir.Type forSome x not in firrtl.ir.BundleType)), Field(_, (x: firrtl.ir.Orientation forSome x not in Flip), BundleType(_)), Field(_, Flip, (x: firrtl.ir.Type forSome x not in firrtl.ir.BundleType)) + + + + + + | => firrtl / Compile / compileIncremental 33s +[warn]  val adapterConnects = memType(simpleMemDecl).fields.flatMap { + + + + + + | => firrtl / Compile / compileIncremental 33s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 33s + + + + + + | => firrtl / Compile / compileIncremental 33s + + + + + + | => firrtl / Compile / compileIncremental 33s + + + + + + | => firrtl / Compile / compileIncremental 33s + + + + + + | => firrtl / Compile / compileIncremental 33s + + + + + + | => firrtl / Compile / compileIncremental 34s + + + + + + | => firrtl / Compile / compileIncremental 34s + + + + + + | => firrtl / Compile / compileIncremental 34s + + + + + + | => firrtl / Compile / compileIncremental 34s + + + + + + | => firrtl / Compile / compileIncremental 34s + + + + + + | => firrtl / Compile / compileIncremental 34s + + + + + + | => firrtl / Compile / compileIncremental 34s + + + + + + | => firrtl / Compile / compileIncremental 34s + + + + + + | => firrtl / Compile / compileIncremental 34s + + + + + + | => firrtl / Compile / compileIncremental 34s + + + + + + | => firrtl / Compile / compileIncremental 35s + + + + + + | => firrtl / Compile / compileIncremental 35s + + + + + + | => firrtl / Compile / compileIncremental 35s + + + + + + | => firrtl / Compile / compileIncremental 35s + + + + + + | => firrtl / Compile / compileIncremental 35s + + + + + + | => firrtl / Compile / compileIncremental 35s + + + + + + | => firrtl / Compile / compileIncremental 35s + + + + + + | => firrtl / Compile / compileIncremental 35s + + + + + + | => firrtl / Compile / compileIncremental 35s + + + + + + | => firrtl / Compile / compileIncremental 35s + + + + + + | => firrtl / Compile / compileIncremental 36s + + + + + + | => firrtl / Compile / compileIncremental 36s + + + + + + | => firrtl / Compile / compileIncremental 36s + + + + + + | => firrtl / Compile / compileIncremental 36s + + + + + + | => firrtl / Compile / compileIncremental 36s + + + + + + | => firrtl / Compile / compileIncremental 36s + + + + + + | => firrtl / Compile / compileIncremental 36s + + + + + + | => firrtl / Compile / compileIncremental 36s + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:37:11: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  form: CircuitForm, + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:89:37: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  def apply(circuit: Circuit, form: CircuitForm): CircuitState = apply(circuit, form, Seq()) + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:90:37: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  def apply(circuit: Circuit, form: CircuitForm, annotations: AnnotationSeq): CircuitState = + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:93:31: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  new CircuitState(circuit, UnknownForm, annotations, None) + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:226:60: method inputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  override def prerequisites: Seq[Dependency[Transform]] = inputForm match { + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:227:10: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case C => Nil + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:228:10: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case H => Forms.Deduped + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:229:10: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case M => Forms.MidForm + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:230:10: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case L => Forms.LowForm + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:231:10: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case U => Nil + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:234:68: method inputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  override def optionalPrerequisites: Seq[Dependency[Transform]] = inputForm match { + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:235:10: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case L => Forms.LowFormOptimized + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:245:20: method inputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  val emitters = inputForm match { + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:246:12: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case C => Dependency[ChirrtlEmitter] :: Dependency[HighFirrtlEmitter] :: Dependency[MiddleFirrtlEmitter] :: lowEmitters + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:247:12: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case H => Dependency[HighFirrtlEmitter] :: Dependency[MiddleFirrtlEmitter] :: lowEmitters + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:248:12: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case M => Dependency[MiddleFirrtlEmitter] :: lowEmitters + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:249:12: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case L => lowEmitters + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:250:12: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case U => Nil + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:255:5: method inputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  inputForm match { + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:256:12: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case C => (fullCompilerSet ++ emitters - selfDep).toSeq + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:257:12: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case H => (fullCompilerSet -- Forms.Deduped ++ emitters - selfDep).toSeq + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:258:12: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case M => (fullCompilerSet -- Forms.MidForm ++ emitters - selfDep).toSeq + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:259:12: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case L => (fullCompilerSet -- Forms.LowFormOptimized ++ emitters - selfDep).toSeq + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:260:12: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case U => Nil + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:268:6: method inputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  (inputForm, outputForm) match { + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:268:17: method outputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  (inputForm, outputForm) match { + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:269:13: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case (U, _) | (_, U) => true // invalidate everything + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:269:25: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case (U, _) | (_, U) => true // invalidate everything + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:271:16: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case (_, C) => true // invalidate everything + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:272:16: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case (_, H) => highOutputInvalidates(Dependency.fromTransform(a)) + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:273:16: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case (_, M) => midOutputInvalidates(Dependency.fromTransform(a)) + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:274:16: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  case (_, L) => false // invalidate nothing + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:378:31: method outputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  CircuitState(ret.circuit, outputForm, ret.annotations, ret.renames) + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:112:43: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] sealed abstract class FirrtlEmitter(form: CircuitForm) extends Transform with Emitter { + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:162:44: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] class ChirrtlEmitter extends FirrtlEmitter(ChirrtlForm) + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:163:47: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] class HighFirrtlEmitter extends FirrtlEmitter(HighForm) + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:164:49: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] class MiddleFirrtlEmitter extends FirrtlEmitter(MidForm) + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:165:46: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] class LowFirrtlEmitter extends FirrtlEmitter(LowForm) + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:181:19: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  def inputForm = LowForm + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:182:20: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  def outputForm = LowForm + + + + + + | => firrtl / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 36s + + + + + + | => firrtl / Compile / compileIncremental 37s + + + + + + | => firrtl / Compile / compileIncremental 37s + + + + + + | => firrtl / Compile / compileIncremental 37s + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/annotations/JsonProtocol.scala:47:62: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  Class.forName(s).asInstanceOf[Class[_ <: Transform]].newInstance() + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/graph/DiGraph.scala:223:29: class Stack in package mutable is deprecated (since 2.12.0): Stack is an inelegant and potentially poorly-performing wrapper around List. Use a List assigned to a var instead. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  val stack = new mutable.Stack[T] + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/graph/DiGraph.scala:239:33: class Stack in package mutable is deprecated (since 2.12.0): Stack is an inelegant and potentially poorly-performing wrapper around List. Use a List assigned to a var instead. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  val callStack = new mutable.Stack[StrongConnectFrame[T]] + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/options/Phase.scala:58:63: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  private def safeConstruct[A](a: Class[_ <: A]): A = try { a.newInstance } catch { + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/options/Phase.scala:172:52: method dependents in trait DependencyAPI is deprecated (since FIRRTL 1.3): Due to confusion, 'dependents' is being renamed to 'optionalPrerequisiteOf'. Override the latter instead. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  def optionalPrerequisiteOf: Seq[Dependency[A]] = dependents + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/Inline.scala:310:31: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  CircuitState(flatCircuit, LowForm, annos, Some(renames)) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/LowerTypes.scala:292:26: method outputForm in trait DependencyAPIMigration is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  CircuitState(result, outputForm, state.annotations, Some(renames)) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/ZeroWidth.scala:194:26: method outputForm in trait DependencyAPIMigration is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  CircuitState(result, outputForm, state.annotations, Some(renames)) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/clocklist/ClockList.scala:21:23: method getChildrenMap in object WiringUtils is deprecated (since 1.1.1): Use DiGraph/InstanceGraph + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  val childrenMap = getChildrenMap(c) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/clocklist/ClockList.scala:23:20: method getLineage in object WiringUtils is deprecated (since 1.1.1): Use DiGraph/InstanceGraph + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  val lineages = getLineage(childrenMap, top) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/clocklist/ClockListUtils.scala:15:61: class Lineage in package wiring is deprecated (since 1.1.1): Use DiGraph/InstanceGraph + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  def getSourceList(moduleMap: Map[String, DefModule])(lin: Lineage): Seq[String] = { + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/clocklist/ClockListUtils.scala:33:90: class Lineage in package wiring is deprecated (since 1.1.1): Use DiGraph/InstanceGraph + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  def getOrigins(connects: Connects, me: String, moduleMap: Map[String, DefModule])(lin: Lineage): Map[String, String] = { + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/memlib/ReplaceMemMacros.scala:263:68: method getMyAnnotations in trait Transform is deprecated (since 1.1): Just collect the actual Annotation types the transform wants + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  case _ => throwInternalError(s"execute: getMyAnnotations - ${getMyAnnotations(state)}") + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/memlib/ReplaceMemTransform.scala:103:43: class SimpleTransform in package memlib is deprecated (since FIRRTL 1.3): Migrate to a transform that does not take arguments. This will be removed in 1.4. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] class SimpleMidTransform(p: Pass) extends SimpleTransform(p, MidForm) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/memlib/ReplaceMemTransform.scala:103:62: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] class SimpleMidTransform(p: Pass) extends SimpleTransform(p, MidForm) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:143:41: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] case class CompilerAnnotation(compiler: Compiler = new VerilogCompiler()) extends NoTargetAnnotation with FirrtlOption + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:143:56: class VerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[VerilogEmitter]) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] case class CompilerAnnotation(compiler: Compiler = new VerilogCompiler()) extends NoTargetAnnotation with FirrtlOption + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:149:31: class NoneCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} or stage.transforms.Compiler(Seq(Dependency[ChirrtlEmitter])) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  case "none" => new NoneCompiler() + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:150:31: class HighFirrtlCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Seq(Dependency[HighFirrtlEmitter])) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  case "high" => new HighFirrtlCompiler() + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:151:31: class LowFirrtlCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[LowFirrtlEmitter]) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  case "low" => new LowFirrtlCompiler() + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:152:31: class MiddleFirrtlCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[MiddleFirrtlEmitter]) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  case "middle" => new MiddleFirrtlCompiler() + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:153:31: class VerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[VerilogEmitter]) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  case "verilog" => new VerilogCompiler() + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:154:31: class MinimumVerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[MinimumVerilogEmitter]) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  case "mverilog" => new MinimumVerilogCompiler() + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:155:31: class SystemVerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[SystemVerilogEmitter]) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  case "sverilog" => new SystemVerilogCompiler() + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:185:78: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  val tx = Class.forName(txName).asInstanceOf[Class[_ <: Transform]].newInstance() + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlOptions.scala:16:29: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  val compiler: Compiler = CompilerAnnotation().compiler, + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlOptions.scala:22:27: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  compiler: Compiler = compiler, + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/package.scala:39:70: trait FirrtlExecutionResult in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  private [firrtl] implicit object FirrtlExecutionResultView extends OptionsView[FirrtlExecutionResult] { + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/package.scala:43:39: trait FirrtlExecutionResult in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  def view(options: AnnotationSeq): FirrtlExecutionResult = { + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/package.scala:50:22: class FirrtlExecutionFailure in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  case None => FirrtlExecutionFailure("No circuit found in AnnotationSeq!") + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/package.scala:51:25: object FirrtlExecutionSuccess in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  case Some(a) => FirrtlExecutionSuccess( + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/package.scala:56:35: method outputForm in trait DependencyAPIMigration is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  form = fopts.compiler.outputForm, + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/AddImplicitEmitter.scala:20:38: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  val compiler = annos.collectFirst{ case CompilerAnnotation(a) => a } + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/Compiler.scala:17:13: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  compiler: Option[FirrtlCompiler] ) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/Compiler.scala:13:28: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] private [stage] case class CompilerRun( + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/Compiler.scala:23:13: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  compiler: Option[FirrtlCompiler] = None) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/Compiler.scala:20:28: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] private [stage] case class Defaults( + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/Compiler.scala:63:43: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  CompilerRun(CircuitState(circuit, ChirrtlForm, d.annotations, None), None, d.transforms, d.compiler) +=: c + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/Compiler.scala:116:39: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  private def compilerToTransforms(a: FirrtlCompiler): Seq[TransformDependency] = a match { + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/transforms/CatchCustomTransformExceptions.scala:21:15: method getPackage in class Package is deprecated: see corresponding Javadoc for more information. + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  Package.getPackage(pack.getName.split('.').head) + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/transforms/CatchCustomTransformExceptions.scala:24:34: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  getTopPackage(p) != firrtl.Driver.getClass.getPackage + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/transforms/WrappedTransform.scala:26:39: method inputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  override def inputForm = underlying.inputForm + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/transforms/WrappedTransform.scala:27:40: method outputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  override def outputForm = underlying.outputForm + + + + + + | => firrtl / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 37s + + + + + + | => firrtl / Compile / compileIncremental 37s + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/logger/LoggerOptions.scala:33:47: method logToFile in class LoggerOptions is deprecated (since 1.2): logToFile was removed, use logFileName.nonEmpty + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn]  def getLogFileName(): Option[String] = if (!logToFile) None else logFileName.orElse(Some("a.log")) + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/tutorial/lesson1-circuit-traversal/AnalyzeCircuit.scala:72:19: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn]  def inputForm = LowForm + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/tutorial/lesson1-circuit-traversal/AnalyzeCircuit.scala:74:20: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn]  def outputForm = LowForm + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/tutorial/lesson2-working-ir/AnalyzeCircuit.scala:98:19: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn]  def inputForm = LowForm + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/tutorial/lesson2-working-ir/AnalyzeCircuit.scala:99:20: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn]  def outputForm = LowForm + + + + + + | => firrtl / Compile / compileIncremental 38s +[warn]  ^ + + + + + + | => firrtl / Compile / compileIncremental 38s + + + + + + | => firrtl / Compile / compileIncremental 38s + + + + + + | => firrtl / Compile / compileIncremental 38s + + + + + + | => firrtl / Compile / compileIncremental 38s + + + + + + | => firrtl / Compile / compileIncremental 38s + + + + + + | => firrtl / Compile / compileIncremental 38s + + + + + + | => firrtl / Compile / compileIncremental 38s + + + + + + | => firrtl / Compile / compileIncremental 38s + + + + + + | => firrtl / Compile / compileIncremental 38s + + + + + + | => firrtl / Compile / compileIncremental 39s + + + + + + | => firrtl / Compile / compileIncremental 39s + + + + + + | => firrtl / Compile / compileIncremental 39s + + + + + + | => firrtl / Compile / compileIncremental 39s + + + + + + | => firrtl / Compile / compileIncremental 39s + + + + + + | => firrtl / Compile / compileIncremental 39s + + + + + + | => firrtl / Compile / compileIncremental 39s + + + + + + | => firrtl / Compile / compileIncremental 39s + + + + + + | => firrtl / Compile / compileIncremental 39s + + + + + + | => firrtl / Compile / compileIncremental 39s + + + + + + | => firrtl / Compile / compileIncremental 40s + + + + + + | => firrtl / Compile / compileIncremental 40s + + + + + + | => firrtl / Compile / compileIncremental 40s + + + + + + | => firrtl / Compile / compileIncremental 40s + + + + + + | => firrtl / Compile / compileIncremental 40s + + + + + + | => firrtl / Compile / compileIncremental 40s + + + + + + | => firrtl / Compile / compileIncremental 40s + + + + + + | => firrtl / Compile / compileIncremental 40s + + + + + + | => firrtl / Compile / compileIncremental 40s + + + + + + | => firrtl / Compile / compileIncremental 40s + + + + + + | => firrtl / Compile / compileIncremental 41s + + + + + + | => firrtl / Compile / compileIncremental 41s + + + + + + | => firrtl / Compile / compileIncremental 41s + + + + + + | => firrtl / Compile / compileIncremental 41s + + + + + + | => firrtl / Compile / compileIncremental 41s + + + + + + | => firrtl / Compile / compileIncremental 41s + + + + + + | => firrtl / Compile / compileIncremental 41s + + + + + + | => firrtl / Compile / compileIncremental 41s + + + + + + | => firrtl / Compile / compileIncremental 41s + + + + + + | => firrtl / Compile / compileIncremental 41s + + + + + + | => firrtl / Compile / compileIncremental 42s + + + + + + | => firrtl / Compile / compileIncremental 42s + + + + + + | => firrtl / Compile / compileIncremental 42s + + + + + + | => firrtl / Compile / compileIncremental 42s + + + + + + | => firrtl / Compile / compileIncremental 42s + + + + + + | => firrtl / Compile / compileIncremental 42s + + + + + + | => firrtl / Compile / compileIncremental 42s + + + + + + | => firrtl / Compile / compileIncremental 42s + + + + + + | => firrtl / Compile / compileIncremental 42s + + + + + + | => firrtl / Compile / compileIncremental 42s + + + + + + | => firrtl / Compile / compileIncremental 43s + + + + + + | => firrtl / Compile / compileIncremental 43s + + + + + + | => firrtl / Compile / compileIncremental 43s + + + + + + | => firrtl / Compile / compileIncremental 43s + + + + + + | => firrtl / Compile / compileIncremental 43s + + + + + + | => firrtl / Compile / compileIncremental 43s + + + + + + | => firrtl / Compile / compileIncremental 43s + + + + + + | => firrtl / Compile / compileIncremental 43s + + + + + + | => firrtl / Compile / compileIncremental 43s + + + + + + | => firrtl / Compile / compileIncremental 43s + + + + + + | => firrtl / Compile / compileIncremental 44s + + + + + + | => firrtl / Compile / compileIncremental 44s + + + + + + | => firrtl / Compile / compileIncremental 44s + + + + + + | => firrtl / Compile / compileIncremental 44s + + + + + + | => firrtl / Compile / compileIncremental 44s + + + + + + | => firrtl / Compile / compileIncremental 44s + + + + + + | => firrtl / Compile / compileIncremental 44s + + + + + + | => firrtl / Compile / compileIncremental 44s + + + + + + | => firrtl / Compile / compileIncremental 44s + + + + + + | => firrtl / Compile / compileIncremental 44s + + + + + + | => firrtl / Compile / compileIncremental 45s + + + + + + | => firrtl / Compile / compileIncremental 45s + + + + + + | => firrtl / Compile / compileIncremental 45s + + + + + + | => firrtl / Compile / compileIncremental 45s + + + + + + | => firrtl / Compile / compileIncremental 45s + + + + + + | => firrtl / Compile / compileIncremental 45s + + + + + + | => firrtl / Compile / compileIncremental 45s + + + + + + | => firrtl / Compile / compileIncremental 45s + + + + + + | => firrtl / Compile / compileIncremental 45s + + + + + + | => firrtl / Compile / compileIncremental 45s + + + + + + | => firrtl / Compile / compileIncremental 46s + + + + + + | => firrtl / Compile / compileIncremental 46s + + + + + + | => firrtl / Compile / compileIncremental 46s + + + + + + | => firrtl / Compile / compileIncremental 46s + + + + + + | => firrtl / Compile / compileIncremental 46s + + + + + + | => firrtl / Compile / compileIncremental 46s + + + + + + | => firrtl / Compile / compileIncremental 46s + + + + + + | => firrtl / Compile / compileIncremental 46s + + + + + + | => firrtl / Compile / compileIncremental 46s + + + + + + | => firrtl / Compile / compileIncremental 46s + + + + + + | => firrtl / Compile / compileIncremental 47s + + + + + + | => firrtl / Compile / compileIncremental 47s + + + + + + | => firrtl / Compile / compileIncremental 47s + + + + + + | => firrtl / Compile / compileIncremental 47s + + + + + + | => firrtl / Compile / compileIncremental 47s + + + + + + | => firrtl / Compile / compileIncremental 47s + + + + + + | => firrtl / Compile / compileIncremental 47s + + + + + + | => firrtl / Compile / compileIncremental 47s + + + + + + | => firrtl / Compile / compileIncremental 47s + + + + + + | => firrtl / Compile / compileIncremental 47s + + + + + + | => firrtl / Compile / compileIncremental 48s + + + + + + | => firrtl / Compile / compileIncremental 48s + + + + + + | => firrtl / Compile / compileIncremental 48s + + + + + + | => firrtl / Compile / compileIncremental 48s + + + + + + | => firrtl / Compile / compileIncremental 48s + + + + + + | => firrtl / Compile / compileIncremental 48s + + + + + + | => firrtl / Compile / compileIncremental 48s + + + + + + | => firrtl / Compile / compileIncremental 48s + + + + + + | => firrtl / Compile / compileIncremental 48s + + + + + + | => firrtl / Compile / compileIncremental 48s + + + + + + | => firrtl / Compile / compileIncremental 49s + + + + + + | => firrtl / Compile / compileIncremental 49s + + + + + + | => firrtl / Compile / compileIncremental 49s + + + + + + | => firrtl / Compile / compileIncremental 49s + + + + + + | => firrtl / Compile / compileIncremental 49s + + + + + + | => firrtl / Compile / compileIncremental 49s + + + + + + | => firrtl / Compile / compileIncremental 49s + + + + + + | => firrtl / Compile / compileIncremental 49s + + + + + + | => firrtl / Compile / compileIncremental 49s + + + + + + | => firrtl / Compile / compileIncremental 49s + + + + + + | => firrtl / Compile / compileIncremental 50s + + + + + + | => firrtl / Compile / compileIncremental 50s + + + + + + | => firrtl / Compile / compileIncremental 50s + + + + + + | => firrtl / Compile / compileIncremental 50s + + + + + + | => firrtl / Compile / compileIncremental 50s + + + + + + | => firrtl / Compile / compileIncremental 50s +[warn] 102 warnings found + + + + + + | => firrtl / Compile / compileIncremental 50s + + + + + + | => firrtl / Compile / compileIncremental 50s +[warn] bootstrap class path not set in conjunction with -source 8 + + + + + + | => firrtl / Compile / compileIncremental 50s + + + + + + | => firrtl / Compile / compileIncremental 50s + + + + + + | => firrtl / Compile / compileIncremental 50s + + + + + + | => firrtl / Compile / compileIncremental 50s + + + + + + | => firrtl / Compile / compileIncremental 51s + + + + + + | => firrtl / Compile / compileIncremental 51s + + + + + + | => firrtl / Compile / compileIncremental 51s + + + + + + | => firrtl / Compile / compileIncremental 51s + + + + + + | => firrtl / Compile / compileIncremental 51s + + + + + + | => firrtl / Compile / compileIncremental 51s + + + + + + | => firrtl / Compile / compileIncremental 51s + + + + + + | => firrtl / Compile / compileIncremental 51s + + + + + + | => firrtl / Compile / compileIncremental 51s + + + + + + | => firrtl / Compile / compileIncremental 51s + + + + + + | => firrtl / Compile / compileIncremental 52s + + + + + + | => firrtl / Compile / compileIncremental 52s + + + + + + | => firrtl / Compile / compileIncremental 52s + + + + + + | => firrtl / Compile / compileIncremental 52s + + + + + + | => firrtl / Compile / compileIncremental 52s + + + + + + | => firrtl / Compile / compileIncremental 52s + + + + + + | => firrtl / Compile / compileIncremental 52s + + + + + + | => firrtl / Compile / compileIncremental 52s + + + + + + | => firrtl / Compile / compileIncremental 52s + + + + + + | => firrtl / Compile / compileIncremental 52s + + + + + + | => firrtl / Compile / compileIncremental 53s + + + + + + | => firrtl / Compile / compileIncremental 53s + + + + + + | => firrtl / Compile / compileIncremental 53s + + + + + + | => firrtl / Compile / compileIncremental 53s + + + + + + | => firrtl / Compile / compileIncremental 53s + + + + + + | => firrtl / Compile / compileIncremental 53s + + + + + + | => firrtl / Compile / compileIncremental 53s + + + + + + | => firrtl / Compile / compileIncremental 53s + + + + + + | => firrtl / Compile / compileIncremental 53s + + + + + + | => firrtl / Compile / compileIncremental 53s + + + + + + | => firrtl / Compile / compileIncremental 54s + + + + + + | => firrtl / Compile / compileIncremental 54s + + + + + + | => firrtl / Compile / compileIncremental 54s + + + + + + | => firrtl / Compile / compileIncremental 54s + + + + + + | => firrtl / Compile / compileIncremental 54s + + + + + + | => firrtl / Compile / compileIncremental 54s + + + + + + | => firrtl / Compile / compileIncremental 54s + + + + + + | => firrtl / Compile / compileIncremental 54s + + + + + + | => firrtl / Compile / compileIncremental 54s + + + + + + | => firrtl / Compile / compileIncremental 55s + + + + + + | => firrtl / Compile / compileIncremental 55s + + + + + + | => firrtl / Compile / compileIncremental 55s + + + + + + | => firrtl / Compile / compileIncremental 55s + + + + + + | => firrtl / Compile / compileIncremental 55s + + + + + + | => firrtl / Compile / compileIncremental 55s + + + + + + | => firrtl / Compile / compileIncremental 55s + + + + + + | => firrtl / Compile / compileIncremental 55s + + + + + + | => firrtl / Compile / compileIncremental 55s + + + + + + | => firrtl / Compile / compileIncremental 55s + + + + + + | => firrtl / Compile / compileIncremental 56s + + + + + + | => firrtl / Compile / compileIncremental 56s + + + + + + | => firrtl / Compile / compileIncremental 56s + + + + + + | => firrtl / Compile / compileIncremental 56s + + + + + + | => firrtl / Compile / compileIncremental 56s + + + + + + | => firrtl / Compile / compileIncremental 56s + + + + + + | => firrtl / Compile / compileIncremental 56s + + + + + + | => firrtl / Compile / compileIncremental 56s + + + + + + | => firrtl / Compile / compileIncremental 56s + + + + + + | => firrtl / Compile / compileIncremental 56s +[info] /home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/src_managed/main/firrtl/FirrtlProtos.java: /home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/src_managed/main/firrtl/FirrtlProtos.java uses or overrides a deprecated API. + + + + + + | => firrtl / Compile / compileIncremental 56s +[info] /home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/src_managed/main/firrtl/FirrtlProtos.java: Recompile with -Xlint:deprecation for details. + + + + + + | => firrtl / Compile / compileIncremental 56s + + + + + + | => firrtl / Compile / compileIncremental 57s + + + + + + | => firrtl / Compile / compileIncremental 57s + + + + + + | => firrtl / Compile / compileIncremental 57s + + + + + + | => firrtl / Compile / compileIncremental 57s + + + + + + | => firrtl / Compile / compileIncremental 57s + + + + + + | => firrtl / Compile / compileIncremental 57s + + + + + + | => firrtl / Compile / compileIncremental 57s + + + + + + | => firrtl / Compile / compileIncremental 57s + + + + + + | => firrtl / Compile / compileIncremental 57s + + + + + + | => firrtl / Compile / compileIncremental 57s + + + + + + | => firrtl / Compile / compileIncremental 58s + + + + + + | => firrtl / Compile / compileIncremental 58s + + + + + + | => firrtl / Compile / compileIncremental 58s + + + + + + | => firrtl / Compile / compileIncremental 58s + + + + + + | => firrtl / Compile / compileIncremental 58s + + + + + + | => firrtl / Compile / compileIncremental 58s + + + + + + | => firrtl / Compile / compileIncremental 58s + + + + + + | => firrtl / Compile / compile 0s + + + + + + | => firrtl / Compile / compile 0s + + + + + + | => firrtl / Compile / compile 0s + + + + + + | => firrtl / Compile / compile 0s + + + + + + | => firrtl / Compile / compile 0s + + + + + + | => firrtl / Compile / compile 0s + + + + + + | => firrtl / Compile / compile 0s + + + + + + | => firrtl / Compile / compile 0s + + + + + + | => firrtl / Compile / compile 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + | => firrtl / Compile / compile 0s + + + + + + | => firrtl / assembly / assembledMappings 0s + + + + + + | => firrtl / assembly / assembledMappings 0s + + + + + + | => firrtl / assembly / assembledMappings 0s + + + + + + | => firrtl / assembly / assembledMappings 0s + + + + + + | => firrtl / assembly / assembledMappings 0s + + + + + + | => firrtl / assembly / assembledMappings 0s + + + + + + | => firrtl / assembly / assembledMappings 0s + + + + + + | => firrtl / assembly / assembledMappings 0s + + + + + + | => firrtl / assembly / assembledMappings 0s + + + + + + | => firrtl / assembly / assembledMappings 0s + + + + + + | => firrtl / assembly / assembledMappings 1s + + + + + + | => firrtl / assembly / assembledMappings 1s + + + + + + | => firrtl / assembly 0s + + + + + + | => firrtl / assembly 0s + + + + + + | => firrtl / assembly 0s + + + + + + | => firrtl / assembly 0s + + + + + + | => firrtl / assembly 0s + + + + + + | => firrtl / assembly 0s + + + + + + | => firrtl / assembly 0s + + + + + + | => firrtl / assembly 0s + + + + + + | => firrtl / assembly 0s + + + + + + | => firrtl / assembly 0s +[info] Strategy 'discard' was applied to 17 files (Run the task at debug level to see details) + + + + + + | => firrtl / assembly 0s +[info] Strategy 'rename' was applied to 4 files (Run the task at debug level to see details) + + + + + + | => firrtl / assembly 0s + + + + + + | => firrtl / assembly 1s + + + + + + | => firrtl / assembly 1s + + + + + + | => firrtl / assembly 1s + + + + + + | => firrtl / assembly 1s + + + + + + | => firrtl / assembly 1s + + + + + + | => firrtl / assembly 1s + + + + + + | => firrtl / assembly 1s + + + + + + | => firrtl / assembly 1s + + + + + + | => firrtl / assembly 1s + + + + + + | => firrtl / assembly 2s + + + + + + | => firrtl / assembly 2s + + + + + + | => firrtl / assembly 2s + + + + + + | => firrtl / assembly 2s + + + + + + | => firrtl / assembly 2s + + + + + + | => firrtl / assembly 2s + + + + + + | => firrtl / assembly 2s + + + + + + | => firrtl / assembly 2s + + + + + + | => firrtl / assembly 2s + + + + + + | => firrtl / assembly 2s + + + + + + | => firrtl / assembly 3s + + + + + + | => firrtl / assembly 3s + + + + + + | => firrtl / assembly 3s + + + + + + | => firrtl / assembly 3s + + + + + + | => firrtl / assembly 3s + + + + + + | => firrtl / assembly 3s + + + + + + | => firrtl / assembly 3s + + + + + + | => firrtl / assembly 3s + + + + + + +[success] Total time: 67 s (01:07), completed Dec 23, 2020, 3:40:35 AM +make[1]: Leaving directory '/home/riscvuser/chipyard/tools/firrtl' +mkdir -p /home/riscvuser/chipyard/lib +cp -p /home/riscvuser/chipyard/tools/firrtl/utils/bin/firrtl.jar /home/riscvuser/chipyard/lib/firrtl.jar +touch /home/riscvuser/chipyard/lib/firrtl.jar +cd /home/riscvuser/chipyard && java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar "project utilities" "runMain utilities.GenerateSimFiles -td /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -sim verilator" +OpenJDK 64-Bit Server VM warning: Ignoring option MaxPermSize; support was removed in 8.0 +Getting org.scala-sbt sbt 1.3.2 (this may take some time)... +downloading https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#sbt;1.3.2!sbt.jar (21ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/main_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#main_2.12;1.3.2!main_2.12.jar (107ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.1/io_2.12-1.3.1.jar ... + [SUCCESSFUL ] org.scala-sbt#io_2.12;1.3.1!io_2.12.jar (118ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.2/logic_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#logic_2.12;1.3.2!logic_2.12.jar (17ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.2/actions_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#actions_2.12;1.3.2!actions_2.12.jar (24ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.2/main-settings_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#main-settings_2.12;1.3.2!main-settings_2.12.jar (38ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.2/run_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#run_2.12;1.3.2!run_2.12.jar (21ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.2/command_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#command_2.12;1.3.2!command_2.12.jar (29ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.2/collections_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#collections_2.12;1.3.2!collections_2.12.jar (29ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.2/scripted-sbt-redux_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#scripted-sbt-redux_2.12;1.3.2!scripted-sbt-redux_2.12.jar (20ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.2/scripted-plugin_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#scripted-plugin_2.12;1.3.2!scripted-plugin_2.12.jar (100ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.2/zinc-lm-integration_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-lm-integration_2.12;1.3.2!zinc-lm-integration_2.12.jar (16ms) +downloading https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC3-4/lm-coursier-shaded_2.12-2.0.0-RC3-4.jar ... + [SUCCESSFUL ] io.get-coursier#lm-coursier-shaded_2.12;2.0.0-RC3-4!lm-coursier-shaded_2.12.jar (141ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.0/util-logging_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#util-logging_2.12;1.3.0!util-logging_2.12.jar (22ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.0/librarymanagement-core_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#librarymanagement-core_2.12;1.3.0!librarymanagement-core_2.12.jar (29ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.0/librarymanagement-ivy_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#librarymanagement-ivy_2.12;1.3.0!librarymanagement-ivy_2.12.jar (28ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.0/compiler-interface-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#compiler-interface;1.3.0!compiler-interface.jar (17ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.0/zinc-compile_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-compile_2.12;1.3.0!zinc-compile_2.12.jar (16ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.0/util-relation_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#util-relation_2.12;1.3.0!util-relation_2.12.jar (15ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.0/util-position_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#util-position_2.12;1.3.0!util-position_2.12.jar (16ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.2/completion_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#completion_2.12;1.3.2!completion_2.12.jar (36ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.2/task-system_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#task-system_2.12;1.3.2!task-system_2.12.jar (17ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.2/tasks_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#tasks_2.12;1.3.2!tasks_2.12.jar (16ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.2/testing_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#testing_2.12;1.3.2!testing_2.12.jar (19ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.0/util-tracking_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#util-tracking_2.12;1.3.0!util-tracking_2.12.jar (70ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.0/zinc-classpath_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-classpath_2.12;1.3.0!zinc-classpath_2.12.jar (17ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.0/zinc-apiinfo_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-apiinfo_2.12;1.3.0!zinc-apiinfo_2.12.jar (48ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.0/zinc_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc_2.12;1.3.0!zinc_2.12.jar (19ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.0/util-control_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#util-control_2.12;1.3.0!util-control_2.12.jar (17ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.0/util-interface-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#util-interface;1.3.0!util-interface.jar (17ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.0/util-cache_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#util-cache_2.12;1.3.0!util-cache_2.12.jar (18ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.2/test-agent-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#test-agent;1.3.2!test-agent.jar (17ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.0/compiler-bridge_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#compiler-bridge_2.12;1.3.0!compiler-bridge_2.12.jar (19ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.0/zinc-classfile_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-classfile_2.12;1.3.0!zinc-classfile_2.12.jar (17ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.0/zinc-core_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-core_2.12;1.3.0!zinc-core_2.12.jar (23ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.0/zinc-persist_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-persist_2.12;1.3.0!zinc-persist_2.12.jar (39ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.0/zinc-compile-core_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#zinc-compile-core_2.12;1.3.0!zinc-compile-core_2.12.jar (16ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.4.4/sbinary_2.12-0.4.4.jar ... + [SUCCESSFUL ] org.scala-sbt#sbinary_2.12;0.4.4!sbinary_2.12.jar (21ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.2/core-macros_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#core-macros_2.12;1.3.2!core-macros_2.12.jar (19ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.2/protocol_2.12-1.3.2.jar ... + [SUCCESSFUL ] org.scala-sbt#protocol_2.12;1.3.2!protocol_2.12.jar (23ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.0/util-scripted_2.12-1.3.0.jar ... + [SUCCESSFUL ] org.scala-sbt#util-scripted_2.12;1.3.0!util-scripted_2.12.jar (65ms) +downloading https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-cb9cc189e9f3af519f9f102e6c5d446488ff6832/ivy-2.3.0-sbt-cb9cc189e9f3af519f9f102e6c5d446488ff6832.jar ... + [SUCCESSFUL ] org.scala-sbt.ivy#ivy;2.3.0-sbt-cb9cc189e9f3af519f9f102e6c5d446488ff6832!ivy.jar (33ms) +:: retrieving :: org.scala-sbt#boot-app + confs: [default] + 81 artifacts copied, 0 already retrieved (37984kB/94ms) +[info] Loading settings for project chipyard-build from plugins.sbt ... +[info] Loading project definition from /home/riscvuser/chipyard/project + + + + + + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s +[info] Updating  + + + + + + | => chipyard-build / update 0s +https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-site_2.12_1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/sbt-mima-plugin_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/gseitz/sbt-protobuf_2.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-unidoc_2.12_1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-unidoc_2.12_1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/net/virtual-void/sbt-dependency-g? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-assembly_2.12_1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/net/virtual-void/sbt-dependency-g? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-assembly_2.12_1.? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 0s +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 100.0% [##########] 3.1 KiB (23.0 KiB / s) +https://repo1.maven.org/maven2/net/virtual-void/sbt-dependency-g? + 100.0% [##########] 2.6 KiB (45.8 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? + 100.0% [##########] 4.5 KiB (34.0 KiB / s) +https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? + 100.0% [##########] 2.9 KiB (21.9 KiB / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-assembly_2.12_1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-ghpages_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/simplytyped/sbt-antlr4_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? + 100.0% [##########] 2.9 KiB (100.3 KiB / s) +https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? + 100.0% [##########] 1.9 KiB (64.1 KiB / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-assembly_2.12_1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/simplytyped/sbt-antlr4_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-unidoc_2.12_1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/simplytyped/sbt-antlr4_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/simplytyped/sbt-antlr4_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 1s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/simplytyped/sbt-antlr4_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 1s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 1s + + + + + + | => chipyard-build / update 1s + + + + + + | => chipyard-build / update 1s + + + + + + | => chipyard-build / update 1s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 1s + + + + + + | => chipyard-build / update 1s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 1s + + + + + + | => chipyard-build / update 2s + + + + + + | => chipyard-build / update 2s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 2s +https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 2s +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 2s +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 2s +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 2s + + + + + + | => chipyard-build / update 2s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 2s +https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 2s + + + + + + | => chipyard-build / update 3s + + + + + + | => chipyard-build / update 3s + + + + + + | => chipyard-build / update 3s +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 3s +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 3s + + + + + + | => chipyard-build / update 3s +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 3s +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 3s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 2.6 KiB (5.8 KiB / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 2.8 KiB (6.9 KiB / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 2.8 KiB (6.6 KiB / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 1.5 KiB (6.1 KiB / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 3s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 1.5 KiB (14.1 KiB / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 1.7 KiB (5.3 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 1.7 KiB (13.9 KiB / s) +https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 3s +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 4s +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 100.0% [##########] 1.4 KiB (11.7 KiB / s) +https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 4s + + + + + + | => chipyard-build / update 4s + + + + + + | => chipyard-build / update 4s + + + + + + | => chipyard-build / update 4s + + + + + + | => chipyard-build / update 4s + + + + + + | => chipyard-build / update 4s + + + + + + | => chipyard-build / update 4s + + + + + + | => chipyard-build / update 4s + + + + + + | => chipyard-build / update 4s + + + + + + | => chipyard-build / update 5s + + + + + + | => chipyard-build / update 5s + + + + + + | => chipyard-build / update 5s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 5s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 100.0% [##########] 1.9 KiB (16.8 KiB / s) + + + + + + | => chipyard-build / update 5s + + + + + + | => chipyard-build / update 5s +https://repo1.maven.org/maven2/org/slf4j/slf4j-simple/1.7.5/slf4? + 100.0% [##########] 1.4 KiB (49.6 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/config/1.2.1/config-? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox-the? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.13/snakeyaml? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.6.5/jarja? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/config/1.2.1/config-? + 100.0% [##########] 1.8 KiB (46.7 KiB / s) +https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.6.5/jarja? + 100.0% [##########] 2.1 KiB (96.5 KiB / s) +https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.13/snakeyaml? + 100.0% [##########] 22.0 KiB (549.6 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.1/io_2.? + 100.0% [##########] 3.8 KiB (291.2 KiB / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox-the? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/play/twirl-api_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? + 100.0% [##########] 2.9 KiB (49.0 KiB / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox-the? + 100.0% [##########] 2.1 KiB (36.1 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/mima-core_2.12/0.6.1? + 100.0% [##########] 2.2 KiB (138.7 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/play/twirl-api_2.12/? + 100.0% [##########] 1.9 KiB (64.0 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-compre? + 100.0% [##########] 11.2 KiB (587.6 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.1/io_2.? + 100.0% [##########] 3.8 KiB (111.3 KiB / s) +https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-core? + 100.0% [##########] 5.5 KiB (323.1 KiB / s) +https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? + 100.0% [##########] 2.7 KiB (99.9 KiB / s) +https://repo1.maven.org/maven2/org/tukaani/xz/1.5/xz-1.5.pom + 100.0% [##########] 1.9 KiB (116.2 KiB / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.5.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/sbt-paradox? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.5.? + 100.0% [##########] 2.1 KiB (84.5 KiB / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 100.0% [##########] 9.3 KiB (356.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? + 100.0% [##########] 7.8 KiB (279.6 KiB / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? + 100.0% [##########] 2.2 KiB (78.0 KiB / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? + 100.0% [##########] 2.3 KiB (19.7 KiB / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 5s + + + + + + | => chipyard-build / update 5s + + + + + + | => chipyard-build / update 5s + + + + + + | => chipyard-build / update 5s + + + + + + | => chipyard-build / update 6s + + + + + + | => chipyard-build / update 6s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 6s + + + + + + | => chipyard-build / update 6s + + + + + + | => chipyard-build / update 6s + + + + + + | => chipyard-build / update 6s + + + + + + | => chipyard-build / update 6s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/dwijnand/sbt-compat_? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/lightbend/paradox/sb? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/dwijnand/sbt-compat_? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/lightbend/paradox/sb? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-git? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 6s + + + + + + | => chipyard-build / update 6s + + + + + + | => chipyard-build / update 6s + + + + + + | => chipyard-build / update 7s +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-git? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/lightbend/paradox/sb? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/dwijnand/sbt-compat_? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 7s + + + + + + | => chipyard-build / update 7s + + + + + + | => chipyard-build / update 7s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 7s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 100.0% [##########] 2.0 KiB (16.9 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 100.0% [##########] 1.8 KiB (15.5 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 1.7 KiB (14.2 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-parent? + 100.0% [##########] 54.6 KiB (4.9 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 5.0% [ ] 1.3 KiB (121.7 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.5/slf4? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 7s +https://repo1.maven.org/maven2/org/apache/commons/commons-parent? + 100.0% [##########] 54.6 KiB (1.8 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 100.0% [##########] 26.8 KiB (892.3 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.5/slf4? + 100.0% [##########] 11.5 KiB (384.2 KiB / s) +https://repo1.maven.org/maven2/org/apache/apache/13/apache-13.pom + 100.0% [##########] 13.6 KiB (852.9 KiB / s) + + + + + + | => chipyard-build / update 7s +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.53/jsch-0.1.5? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-web_2.12_1.0? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.53/jsch-0.1.5? + 100.0% [##########] 3.2 KiB (150.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? + 100.0% [##########] 4.7 KiB (222.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? + 100.0% [##########] 5.9 KiB (488.0 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.1? + 100.0% [##########] 3.1 KiB (142.3 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? + 100.0% [##########] 4.9 KiB (222.1 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/? + 100.0% [##########] 3.0 KiB (250.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? + 20.9% [## ] 1.3 KiB (111.6 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.2/? + 20.5% [## ] 1.3 KiB (121.7 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-web_2.12_1.0? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 100.0% [##########] 2.2 KiB (110.3 KiB / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-knockof? + 100.0% [##########] 1.8 KiB (90.8 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 100.0% [##########] 8.1 KiB (197.3 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.2/? + 100.0% [##########] 6.5 KiB (217.8 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.2/? + 100.0% [##########] 6.0 KiB (463.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/? + 100.0% [##########] 3.0 KiB (93.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? + 100.0% [##########] 6.4 KiB (200.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.2/run_? + 100.0% [##########] 4.8 KiB (239.0 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_? + 100.0% [##########] 4.4 KiB (220.0 KiB / s) +https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-util? + 100.0% [##########] 4.1 KiB (345.4 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.5/slf4j-a? + 100.0% [##########] 2.6 KiB (202.0 KiB / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? + 100.0% [##########] 2.4 KiB (219.8 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-web_2.12_1.0? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcli? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.2/lo? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/googlecode/javaewah/JavaEWAH/? + 100.0% [##########] 4.2 KiB (303.0 KiB / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? + 100.0% [##########] 2.4 KiB (83.4 KiB / s) +https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcli? + 100.0% [##########] 5.1 KiB (212.0 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.? + 100.0% [##########] 4.3 KiB (305.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.2/lo? + 100.0% [##########] 4.3 KiB (177.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1? + 100.0% [##########] 3.8 KiB (250.0 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? + 100.0% [##########] 4.7 KiB (293.7 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-web_2.12_1.0? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/6.0/asm-c? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm/6.0/asm-6.0.pom + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/6.0/asm-c? + 100.0% [##########] 2.0 KiB (75.7 KiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm/6.0/asm-6.0.pom + 100.0% [##########] 1.9 KiB (75.5 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-web_2.12_1.0? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 7s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 7s + + + + + + | => chipyard-build / update 7s + + + + + + | => chipyard-build / update 8s + + + + + + | => chipyard-build / update 8s + + + + + + | => chipyard-build / update 8s +https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 8s + + + + + + | => chipyard-build / update 8s + + + + + + | => chipyard-build / update 8s + + + + + + | => chipyard-build / update 8s + + + + + + | => chipyard-build / update 8s + + + + + + | => chipyard-build / update 8s +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-web? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 8s + + + + + + | => chipyard-build / update 9s + + + + + + | => chipyard-build / update 9s +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-web? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 9s + + + + + + | => chipyard-build / update 9s + + + + + + | => chipyard-build / update 9s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 9s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 1.9 KiB (16.8 KiB / s) + + + + + + | => chipyard-build / update 9s +https://repo1.maven.org/maven2/org/ow2/asm/asm-parent/6.0/asm-pa? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/jruby/jruby-artifacts/1.7.21/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcom? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcom? + 100.0% [##########] 15.6 KiB (648.8 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-artifacts/1.7.21/? + 100.0% [##########] 3.4 KiB (143.3 KiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-parent/6.0/asm-pa? + 100.0% [##########] 5.4 KiB (223.5 KiB / s) +https://repo1.maven.org/maven2/org/apache/httpcomponents/project? + 100.0% [##########] 26.6 KiB (1.3 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-parent/1.7.21/jru? + 100.0% [##########] 23.6 KiB (1.2 MiB / s) +https://repo1.maven.org/maven2/org/ow2/ow2/1.3/ow2-1.3.pom + 100.0% [##########] 9.3 KiB (464.3 KiB / s) + + + + + + | => chipyard-build / update 9s +https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? + 100.0% [##########] 2.0 KiB (109.9 KiB / s) +https://repo1.maven.org/maven2/commons-logging/commons-logging/1? + 100.0% [##########] 17.9 KiB (995.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3? + 100.0% [##########] 3.8 KiB (212.6 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/? + 100.0% [##########] 3.3 KiB (367.0 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/? + 100.0% [##########] 3.5 KiB (192.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? + 100.0% [##########] 2.9 KiB (162.4 KiB / s) +https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.5? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.0/zin? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.5? + 100.0% [##########] 3.2 KiB (85.4 KiB / s) +https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcor? + 100.0% [##########] 4.5 KiB (224.5 KiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-tree/6.0/asm-tree? + 100.0% [##########] 2.0 KiB (203.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3? + 100.0% [##########] 4.8 KiB (227.3 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.? + 100.0% [##########] 3.5 KiB (124.1 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-c? + 100.0% [##########] 3.2 KiB (323.1 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.2? + 100.0% [##########] 4.8 KiB (252.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? + 100.0% [##########] 4.8 KiB (251.8 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? + 100.0% [##########] 2.9 KiB (74.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? + 100.0% [##########] 3.9 KiB (261.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? + 100.0% [##########] 4.1 KiB (314.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2? + 100.0% [##########] 5.4 KiB (300.8 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.0/zin? + 100.0% [##########] 4.3 KiB (143.0 KiB / s) +https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? + 100.0% [##########] 7.7 KiB (264.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? + 36.8% [### ] 1.3 KiB (133.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.2/ta? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 9s +https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.2/ta? + 100.0% [##########] 4.3 KiB (157.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.2/? + 100.0% [##########] 4.7 KiB (335.1 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/? + 100.0% [##########] 3.1 KiB (208.8 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? + 100.0% [##########] 3.6 KiB (129.8 KiB / s) +https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcom? + 100.0% [##########] 12.9 KiB (990.7 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-parent? + 100.0% [##########] 49.0 KiB (2.7 MiB / s) + + + + + + | => chipyard-build / update 9s +https://repo1.maven.org/maven2/com/typesafe/config/1.3.1/config-? + 100.0% [##########] 1.8 KiB (101.1 KiB / s) +https://repo1.maven.org/maven2/org/parboiled/parboiled-java/1.1.? + 100.0% [##########] 2.5 KiB (279.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? + 100.0% [##########] 3.0 KiB (167.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 100.0% [##########] 5.0 KiB (265.3 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? + 100.0% [##########] 3.9 KiB (206.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.2/te? + 100.0% [##########] 3.1 KiB (389.0 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 100.0% [##########] 4.9 KiB (197.8 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? + 100.0% [##########] 21.7 KiB (555.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.2/te? + 100.0% [##########] 3.1 KiB (111.2 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? + 100.0% [##########] 3.9 KiB (100.4 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-par? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-parent? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-par? + 100.0% [##########] 8.0 KiB (331.5 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-parent? + 100.0% [##########] 61.6 KiB (2.5 MiB / s) + + + + + + | => chipyard-build / update 10s +https://repo1.maven.org/maven2/org/apache/apache/16/apache-16.pom + 100.0% [##########] 15.0 KiB (1.3 MiB / s) +https://repo1.maven.org/maven2/com/fasterxml/oss-parent/25/oss-p? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/oss-parent/25/oss-p? + 100.0% [##########] 18.9 KiB (611.0 KiB / s) +https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-analysis/5.0.3/as? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.4.4/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-util/5.0.3/asm-ut? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-analysis/5.0.3/as? + 100.0% [##########] 2.0 KiB (93.0 KiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-util/5.0.3/asm-ut? + 100.0% [##########] 2.0 KiB (92.7 KiB / s) +https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.1.? + 100.0% [##########] 1.7 KiB (76.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.4.4/? + 100.0% [##########] 2.5 KiB (114.5 KiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-parent/5.0.3/asm-? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 10s +https://repo1.maven.org/maven2/org/ow2/asm/asm-parent/5.0.3/asm-? + 100.0% [##########] 5.4 KiB (206.4 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-? + 100.0% [##########] 1.8 KiB (165.0 KiB / s) +[info] Resolved dependencies + + + + + + | => chipyard-build / update 10s + + + + + + | => chipyard-build / update 10s + + + + + + | => chipyard-build / update 10s +[info] Fetching artifacts of  + + + + + + | => chipyard-build / update 10s +https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.2/lo? + 100.0% [##########] 48.7 KiB (2.3 MiB / s) +https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? + 76.5% [####### ] 129.9 KiB (9.8 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/net/virtual-void/sbt-dependency-g? + 100.0% [##########] 137.1 KiB (13.4 MiB / s) +https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? + 100.0% [##########] 169.7 KiB (5.3 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? + 7.4% [ ] 230.3 KiB (9.0 MiB / s) +https://repo1.maven.org/maven2/org/tukaani/xz/1.5/xz-1.5.jar + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcli? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-c? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-c? + 100.0% [##########] 1.1 MiB (52.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1? + 100.0% [##########] 16.6 KiB (1.2 MiB / s) +https://repo1.maven.org/maven2/org/tukaani/xz/1.5/xz-1.5.jar + 100.0% [##########] 97.2 KiB (3.8 MiB / s) +https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcli? + 72.9% [####### ] 421.6 KiB (16.5 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? + 17.8% [# ] 550.8 KiB (11.7 MiB / s) +https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/mima-core_2.12/0.6.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/mima-core_2.12/0.6.1? + 100.0% [##########] 309.7 KiB (15.1 MiB / s) +https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcli? + 100.0% [##########] 578.1 KiB (12.8 MiB / s) +https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-util? + 100.0% [##########] 184.0 KiB (18.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? + 49.0% [#### ] 304.0 KiB (12.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? + 37.4% [### ] 229.6 KiB (14.9 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? + 34.1% [### ] 1.0 MiB (15.7 MiB / s) +https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? + 100.0% [##########] 8.1 KiB (213.6 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? + 100.0% [##########] 614.1 KiB (17.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? + 100.0% [##########] 620.8 KiB (13.5 MiB / s) +https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-util? + 100.0% [##########] 184.0 KiB (5.8 MiB / s) +https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.13/snakeyaml? + 100.0% [##########] 267.0 KiB (13.0 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? + 56.7% [##### ] 1.7 MiB (20.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3? + 45.1% [#### ] 93.7 KiB (5.4 MiB / s) +https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox-the? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.2/run_? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 10s +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox-the? + 100.0% [##########] 92.1 KiB (3.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.2/run_? + 100.0% [##########] 76.4 KiB (3.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3? + 100.0% [##########] 208.0 KiB (5.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/? + 100.0% [##########] 25.9 KiB (1.7 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? + 89.7% [######## ] 2.7 MiB (25.6 MiB / s) +https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? + 65.9% [###### ] 57.6 KiB (710.8 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? + 49.7% [#### ] 48.0 KiB (4.7 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/6.0/asm-c? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? + 100.0% [##########] 3.0 MiB (24.2 MiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/6.0/asm-c? + 100.0% [##########] 75.6 KiB (3.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.? + 100.0% [##########] 78.1 KiB (5.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.2/ta? + 100.0% [##########] 83.6 KiB (5.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.2/te? + 100.0% [##########] 22.9 KiB (1.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? + 100.0% [##########] 96.5 KiB (3.1 MiB / s) +https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? + 100.0% [##########] 87.3 KiB (864.4 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/googlecode/javaewah/JavaEWAH/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/googlecode/javaewah/JavaEWAH/? + 100.0% [##########] 122.2 KiB (5.4 MiB / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-knockof? + 100.0% [##########] 50.3 KiB (4.1 MiB / s) +https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? + 100.0% [##########] 23.8 KiB (989.6 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? + 69.2% [###### ] 293.6 KiB (11.9 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 2.1% [ ] 198.7 KiB (12.1 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? + 100.0% [##########] 424.5 KiB (9.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.1? + 100.0% [##########] 1.3 KiB (89.6 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? + 100.0% [##########] 76.1 KiB (3.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.? + 72.5% [####### ] 240.0 KiB (15.6 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 8.1% [ ] 758.7 KiB (20.6 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.? + 100.0% [##########] 330.9 KiB (9.5 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 44.7% [#### ] 13.1 KiB (121.7 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 16.3% [# ] 1.5 MiB (26.6 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 10s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? + 100.0% [##########] 29.4 KiB (229.5 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 27.1% [## ] 2.5 MiB (33.0 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 15.4% [# ] 13.1 KiB (115.3 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 86.7% [######## ] 13.1 KiB (112.3 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 47.3% [#### ] 40.5 KiB (302.1 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 41.4% [#### ] 3.8 MiB (39.9 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 85.5 KiB (555.4 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 15.1 KiB (110.6 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 61.2% [###### ] 5.6 MiB (48.7 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? + 83.5% [######## ] 575.4 KiB (20.8 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 80.2% [######## ] 7.3 MiB (54.3 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 42.3% [#### ] 26.8 KiB (253.0 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 100.0% [##########] 3.6 KiB (30.9 KiB / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? + 100.0% [##########] 689.0 KiB (14.0 MiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 100.0% [##########] 9.1 MiB (58.6 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 63.5 KiB (499.6 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.2/? + 31.3% [### ] 64.0 KiB (4.8 MiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.5.? + 27.0% [## ] 186.5 KiB (11.4 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 10s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 63.5 KiB (434.6 KiB / s) +https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? + 100.0% [##########] 9.1 MiB (52.3 MiB / s) +https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.5? + 100.0% [##########] 273.9 KiB (14.1 MiB / s) +https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.5.? + 100.0% [##########] 690.8 KiB (18.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.2/? + 100.0% [##########] 204.4 KiB (6.0 MiB / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? + 30.4% [### ] 96.0 KiB (6.7 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 12.8% [# ] 962.9 KiB (47.0 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.0/zin? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 100.0% [##########] 487 B (3.9 KiB / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? + 100.0% [##########] 316.3 KiB (9.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/? + 100.0% [##########] 14.2 KiB (887.6 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.0/zin? + 100.0% [##########] 63.2 KiB (2.3 MiB / s) +https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? + 100.0% [##########] 29.0 KiB (1.0 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 34.9% [### ] 2.6 MiB (64.1 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 0.8% [ ] 192.0 KiB (11.7 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.1/io_2.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? + 100.0% [##########] 203.0 KiB (6.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/? + 100.0% [##########] 89.7 KiB (3.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.1/io_2.? + 100.0% [##########] 608.6 KiB (23.8 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 49.6% [#### ] 3.6 MiB (60.7 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 2.6% [ ] 607.0 KiB (16.5 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-analysis/5.0.3/as? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? + 100.0% [##########] 150.2 KiB (7.0 MiB / s) +https://repo1.maven.org/maven2/commons-logging/commons-logging/1? + 100.0% [##########] 60.6 KiB (4.2 MiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-analysis/5.0.3/as? + 100.0% [##########] 20.0 KiB (739.4 KiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 70.0% [###### ] 5.1 MiB (65.1 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 5.0% [ ] 1.1 MiB (20.1 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.1.? + 100.0% [##########] 182.2 KiB (7.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? + 100.0% [##########] 48.4 KiB (2.4 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 90.6% [######### ] 6.7 MiB (67.3 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 7.1% [ ] 1.6 MiB (21.2 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/play/twirl-api_2.12/? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 10s +https://repo1.maven.org/maven2/com/typesafe/play/twirl-api_2.12/? + 100.0% [##########] 74.3 KiB (2.9 MiB / s) +https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? + 100.0% [##########] 7.4 MiB (61.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? + 100.0% [##########] 773.9 KiB (26.1 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 9.9% [ ] 2.2 MiB (23.3 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm/6.0/asm-6.0.jar + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm/6.0/asm-6.0.jar + 100.0% [##########] 93.0 KiB (3.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.2/? + 100.0% [##########] 200.4 KiB (13.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? + 100.0% [##########] 64.2 KiB (2.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/? + 100.0% [##########] 33.5 KiB (1.4 MiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-compre? + 69.3% [###### ] 256.0 KiB (13.2 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 15.6% [# ] 3.5 MiB (30.3 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-core? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-compre? + 100.0% [##########] 369.4 KiB (9.5 MiB / s) +https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-core? + 45.4% [#### ] 553.3 KiB (20.8 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 44.3% [#### ] 1.0 MiB (30.5 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 18.2% [# ] 4.1 MiB (30.2 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? + 100.0% [##########] 110.8 KiB (3.9 MiB / s) +https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-core? + 100.0% [##########] 1.2 MiB (25.9 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 89.6% [######## ] 2.0 MiB (38.4 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 41.3% [#### ] 67.8 KiB (510.0 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 20.3% [## ] 4.6 MiB (29.3 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 164.3 KiB (1.0 MiB / s) +https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? + 100.0% [##########] 2.3 MiB (31.1 MiB / s) +https://repo1.maven.org/maven2/org/parboiled/parboiled-java/1.1.? + 100.0% [##########] 71.6 KiB (4.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? + 100.0% [##########] 222.0 KiB (8.7 MiB / s) +https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcor? + 86.9% [######## ] 240.0 KiB (18.0 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 26.0% [## ] 5.9 MiB (33.4 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 15.6% [# ] 101.0 KiB (390.0 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 10s +https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcor? + 100.0% [##########] 276.2 KiB (8.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_? + 100.0% [##########] 73.3 KiB (4.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? + 100.0% [##########] 272.4 KiB (11.6 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 88.3% [######## ] 570.3 KiB (2.0 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 32.2% [### ] 7.3 MiB (37.1 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? + 100.0% [##########] 645.8 KiB (2.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.4.4/? + 100.0% [##########] 144.6 KiB (7.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? + 100.0% [##########] 272.4 KiB (6.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.2? + 65.0% [###### ] 281.3 KiB (22.9 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 40.0% [#### ] 9.0 MiB (42.0 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-util/5.0.3/asm-ut? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-util/5.0.3/asm-ut? + 100.0% [##########] 42.3 KiB (1.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3? + 100.0% [##########] 271.2 KiB (16.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.2? + 100.0% [##########] 432.9 KiB (13.2 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 47.7% [#### ] 10.8 MiB (45.8 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 100.0% [##########] 246.6 KiB (7.8 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-? + 100.0% [##########] 279.4 KiB (12.4 MiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 55.6% [##### ] 12.5 MiB (49.2 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 98.3% [######### ] 118.4 KiB (978.6 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 64.1% [###### ] 14.5 MiB (52.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? + 7.8% [ ] 192.0 KiB (7.5 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 11s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 120.4 KiB (854.0 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? + 100.0% [##########] 44.2 KiB (362.5 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 72.0% [####### ] 16.2 MiB (55.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? + 24.0% [## ] 591.4 KiB (12.6 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-simple/1.7.5/slf4? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-simple/1.7.5/slf4? + 100.0% [##########] 10.4 KiB (336.4 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 79.9% [####### ] 18.0 MiB (57.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? + 41.4% [#### ] 1019.7 KiB (15.3 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 31.1% [### ] 39.1 KiB (308.0 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 98.6% [######### ] 123.9 KiB (842.8 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 87.7% [######## ] 19.8 MiB (59.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? + 58.2% [##### ] 1.4 MiB (16.5 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 98.6% [######### ] 123.9 KiB (741.8 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 95.9% [######### ] 21.6 MiB (61.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? + 74.4% [####### ] 1.8 MiB (17.0 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 100.0% [##########] 12.8 KiB (103.2 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 125.6 KiB (671.9 KiB / s) +https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? + 100.0% [##########] 22.6 MiB (60.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? + 100.0% [##########] 2.4 MiB (19.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? + 100.0% [##########] 149.7 KiB (16.2 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.6.5/jarja? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 11s +https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.6.5/jarja? + 100.0% [##########] 114.5 KiB (3.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? + 100.0% [##########] 12.3 KiB (472.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? + 100.0% [##########] 149.7 KiB (4.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2? + 100.0% [##########] 222.3 KiB (9.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.2/? + 100.0% [##########] 357.2 KiB (23.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 65.9% [###### ] 357.6 KiB (20.5 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 100.0% [##########] 1.9 KiB (14.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.2/? + 100.0% [##########] 357.2 KiB (10.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? + 100.0% [##########] 64.9 KiB (4.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? + 100.0% [##########] 542.7 KiB (15.1 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 76.5% [####### ] 499.4 KiB (4.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? + 72.6% [####### ] 1.3 MiB (50.2 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-tree/6.0/asm-tree? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? + 100.0% [##########] 652.4 KiB (4.8 MiB / s) +https://repo1.maven.org/maven2/org/ow2/asm/asm-tree/6.0/asm-tree? + 100.0% [##########] 47.0 KiB (1.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? + 100.0% [##########] 1.7 MiB (39.3 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 100.0% [##########] 30.0 KiB (272.7 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chipyard-build / update 11s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 60.0 KiB (618.4 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 60.0 KiB (512.7 KiB / s) +[info] Fetched artifacts of  + + + + + + | => chipyard-build / update 11s + + + + + + | => chipyard-build / update 11s + + + + + + | => chipyard-build / update 11s + + + + + + | => chipyard-build / update 11s + + + + + + | => chipyard-build / update 11s + + + + + + | => chipyard-build / update 11s +[warn] There may be incompatibilities among your library dependencies; run 'evicted' to see detailed eviction warnings. + + + + + + | => chipyard-build / update 11s + + + + + + | => chipyard-build / update 11s + + + + + + | => chipyard-build / Compile / compile / compileOptions 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + +[info] Loading settings for project chipyardRoot from build.sbt ... +[info] Loading settings for project barstoolsMacros from build.sbt ... +[info] Loading settings for project mdf from build.sbt ... +[info] Loading settings for project gemmini from build.sbt ... +[info] Loading settings for project ariane from build.sbt ... +[info] Loading settings for project boom from build.sbt ... +[info] Loading settings for project hwacha from build.sbt ... +[info] Loading settings for project icenet from build.sbt ... +[info] Loading settings for project testchipip from build.sbt ... +[info] Loading settings for project rocketConfig from build.sbt ... +[info] Loading settings for project hardfloat from build.sbt ... +[info] Loading settings for project chisel_testers from build.sbt ... +[info] Loading settings for project treadle from build.sbt ... +[info] Loading settings for project firrtl_interpreter from build.sbt ... +[info] Loading settings for project chisel from build.sbt ... +[info] Loading settings for project sim-build from plugins.sbt ... +[info] Loading project definition from /home/riscvuser/chipyard/sims/firesim/sim/project + + + + + +[info] Updating  +https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-ghpages_2.12? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 0s +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / update 0s +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / update 0s +https://simplytyped.github.io/repo/releases/com/typesafe/sbt/sbt? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 0s +https://simplytyped.github.io/repo/releases/com/typesafe/sbt/sbt? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / update 1s + + + + + + | => sim-build / update 1s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 1.7 KiB (5.2 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 1.7 KiB (4.9 KiB / s) +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 1s + + + + + + | => sim-build / update 1s + + + + + + | => sim-build / update 1s + + + + + + | => sim-build / update 1s +https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 1s + + + + + + | => sim-build / update 1s + + + + + + | => sim-build / update 1s +https://simplytyped.github.io/repo/releases/com/typesafe/sbt/sbt? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 1s +https://simplytyped.github.io/repo/releases/com/typesafe/sbt/sbt? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 2s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 3.5 KiB (33.0 KiB / s) + + + + + + | => sim-build / update 2s +https://repo1.maven.org/maven2/io/github/jonas/paradox-material-? + 100.0% [##########] 3.1 KiB (172.1 KiB / s) +https://repo1.maven.org/maven2/com/lightbend/paradox/sbt-paradox? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/planet42/laika-sbt_2.12_1.0/0? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/org/planet42/laika-sbt_2? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/lightbend/paradox/sb? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 2s + + + + + + | => sim-build / update 2s + + + + + + | => sim-build / update 2s + + + + + + | => sim-build / update 2s +https://download.eclipse.org/jgit/maven/com/lightbend/paradox/sb? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/org/planet42/laika-sbt_2? + 0.0% [ ] 0 B (0 B / s) +https://download.eclipse.org/jgit/maven/com/lightbend/paradox/sb? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 2s + + + + + + | => sim-build / update 2s + + + + + + | => sim-build / update 2s +https://simplytyped.github.io/repo/releases/com/lightbend/parado? + 0.0% [ ] 0 B (0 B / s) +https://simplytyped.github.io/repo/releases/org/planet42/laika-s? + 0.0% [ ] 0 B (0 B / s) +https://simplytyped.github.io/repo/releases/org/planet42/laika-s? + 0.0% [ ] 0 B (0 B / s) +https://simplytyped.github.io/repo/releases/com/lightbend/parado? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 2s +https://simplytyped.github.io/repo/releases/org/planet42/laika-s? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 3s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 100.0% [##########] 1.8 KiB (16.0 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 3s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 100.0% [##########] 2.5 KiB (10.3 KiB / s) + + + + + + | => sim-build / update 3s +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.7.? + 100.0% [##########] 2.3 KiB (141.0 KiB / s) +https://repo1.maven.org/maven2/org/planet42/laika-pdf_2.12/0.7.5? + 100.0% [##########] 2.0 KiB (127.6 KiB / s) + + + + + + | => sim-build / update 3s + + + + + + | => sim-build / update 3s +[info] Resolved dependencies + + + + + + | => sim-build / update 3s + + + + + + | => sim-build / update 3s +[info] Fetching artifacts of  + + + + + + | => sim-build / update 3s +https://repo1.maven.org/maven2/org/planet42/laika-pdf_2.12/0.7.5? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/planet42/laika-pdf_2.12/0.7.5? + 100.0% [##########] 31.8 KiB (1.4 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/github/jonas/paradox-material-? + 100.0% [##########] 123.9 KiB (8.6 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.7.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.7.? + 19.3% [# ] 393.3 KiB (17.5 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 3s +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.7.? + 54.1% [##### ] 1.1 MiB (25.7 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.7.? + 100.0% [##########] 2.0 MiB (32.1 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 43.8% [#### ] 13.1 KiB (127.6 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? + 100.0% [##########] 30.0 KiB (243.9 KiB / s) +https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.7.? + 100.0% [##########] 2.0 MiB (24.3 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 3s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 7.7% [ ] 13.1 KiB (66.4 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 100.0% [##########] 55.9 KiB (465.7 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 23.6% [## ] 40.5 KiB (184.9 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 54.0% [##### ] 92.4 KiB (388.4 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 171.3 KiB (663.8 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => sim-build / update 3s +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 98.4% [######### ] 15.9 KiB (68.4 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 16.1 KiB (64.0 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 100.0% [##########] 6.0 KiB (30.0 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 0.0% [ ] 0 B (0 B / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 97.3% [######### ] 24.1 KiB (71.2 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 22.8% [## ] 157.3 KiB (1.2 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 5.8% [ ] 49.1 KiB (146.9 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 846.3 KiB (2.3 MiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? + 100.0% [##########] 24.7 KiB (68.9 KiB / s) +https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? + 100.0% [##########] 690.0 KiB (4.6 MiB / s) +[info] Fetched artifacts of  + + + + + + | => sim-build / update 3s + + + + + + | => sim-build / update 3s + + + + + + | => sim-build / update 4s + + + + + + | => sim-build / update 4s +[warn] There may be incompatibilities among your library dependencies; run 'evicted' to see detailed eviction warnings. + + + + + + | => sim-build / update 4s + + + + + + | => sim-build / update 4s + + + + + + | => sim-build / Compile / compileIncremental 0s + + + + + + +[info] Loading settings for project firesim from build.sbt ... +[info] Loading settings for project midas from build.sbt ... +[info] Loading settings for project targetutils from build.sbt ... +[info] Resolving key references (32007 settings) ... +[info] Set current project to chipyardRoot (in build file:/home/riscvuser/chipyard/) + + + + + + + + + + + | => Global / checkBuildSources 0s + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +[info] Set current project to utilities (in build file:/home/riscvuser/chipyard/) + + + + + + + + + + + + + + + + + + + + + | => macros / update 0s + | => core / projectDescriptors 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +[info] Updating  + + + + + + | => macros / update 0s + | => core / projectDescriptors 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.16.2/s? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-jackson_2.12/3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jlin? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.0? + 100.0% [##########] 1.6 KiB (126.2 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-jackson_2.12/3.? + 100.0% [##########] 2.0 KiB (58.1 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jlin? + 100.0% [##########] 2.0 KiB (59.8 KiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 100.0% [##########] 4.5 KiB (132.2 KiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 100.0% [##########] 5.0 KiB (147.0 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.16.2/s? + 100.0% [##########] 2.7 KiB (79.6 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? + 100.0% [##########] 4.4 KiB (81.1 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/wendykierp/JTransforms? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? + 100.0% [##########] 2.2 KiB (75.8 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? + 100.0% [##########] 4.4 KiB (152.7 KiB / s) +https://repo1.maven.org/maven2/com/github/fommil/netlib/core/1.1? + 100.0% [##########] 5.3 KiB (407.7 KiB / s) +https://repo1.maven.org/maven2/com/github/wendykierp/JTransforms? + 100.0% [##########] 6.4 KiB (221.1 KiB / s) +https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? + 100.0% [##########] 1.3 KiB (45.1 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? + 100.0% [##########] 17.0 KiB (1.1 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.1? + 100.0% [##########] 2.1 KiB (73.4 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/algebra_2.12/2.0.0-? + 100.0% [##########] 2.4 KiB (143.8 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/machinist_2.12/0.6.? + 100.0% [##########] 1.7 KiB (96.6 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire-platform_2.12? + 100.0% [##########] 2.5 KiB (195.8 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 100.0% [##########] 2.7 KiB (93.8 KiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze-macros_2.12/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/net/sf/opencsv/opencsv/2.3/opencs? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/typelevel/macro-compat_2.12/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/typelevel/spire-util_2.12/0.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-coll? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => macros / update 0s + | => core / projectDescriptors 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 100.0% [##########] 2.2 KiB (82.9 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 100.0% [##########] 1.8 KiB (180.7 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 100.0% [##########] 5.3 KiB (440.8 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 100.0% [##########] 6.2 KiB (410.6 KiB / s) +https://repo1.maven.org/maven2/com/typesafe/play/play-functional? + 100.0% [##########] 1.5 KiB (88.5 KiB / s) +https://repo1.maven.org/maven2/net/sf/opencsv/opencsv/2.3/opencs? + 100.0% [##########] 5.3 KiB (212.7 KiB / s) +https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/j? + 100.0% [##########] 3.5 KiB (195.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-coll? + 100.0% [##########] 1.9 KiB (92.6 KiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze-macros_2.12/1? + 100.0% [##########] 2.1 KiB (74.2 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/macro-compat_2.12/1? + 100.0% [##########] 1.9 KiB (77.9 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire-macros_2.12/0? + 100.0% [##########] 3.0 KiB (159.8 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire-util_2.12/0.1? + 100.0% [##########] 2.4 KiB (102.6 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bas? + 100.0% [##########] 5.2 KiB (327.7 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-par? + 100.0% [##########] 7.8 KiB (486.6 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/module/jack? + 100.0% [##########] 2.5 KiB (154.5 KiB / s) +https://repo1.maven.org/maven2/com/github/fommil/netlib/parent/1? + 100.0% [##########] 9.3 KiB (582.4 KiB / s) +https://repo1.maven.org/maven2/org/fusesource/jansi/jansi-projec? + 100.0% [##########] 11.2 KiB (657.6 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/oss-parent/27/oss-p? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/fusesource/fusesource-pom/1.8? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? + 100.0% [##########] 10.2 KiB (1.2 MiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? + 100.0% [##########] 12.0 KiB (545.7 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/oss-parent/27/oss-p? + 100.0% [##########] 19.2 KiB (871.8 KiB / s) +https://repo1.maven.org/maven2/org/fusesource/fusesource-pom/1.8? + 100.0% [##########] 14.3 KiB (650.5 KiB / s) + + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 100.0% [##########] 1.9 KiB (99.8 KiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? + 100.0% [##########] 27.5 KiB (2.4 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/algebra_2.12/2.0.0-? + 100.0% [##########] 2.4 KiB (122.0 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? + 100.0% [##########] 4.0 KiB (197.5 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/machinist_2.12/0.6.? + 100.0% [##########] 1.7 KiB (86.9 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire-macros_2.12/0? + 100.0% [##########] 3.0 KiB (276.4 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire-platform_2.12? + 100.0% [##########] 2.6 KiB (255.5 KiB / s) +https://repo1.maven.org/maven2/pl/edu/icm/JLargeArrays/1.5/JLarg? + 100.0% [##########] 6.0 KiB (298.6 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.1/? + 100.0% [##########] 1.6 KiB (178.2 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/typelevel/spire-util_2.12/0.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 100.0% [##########] 4.0 KiB (99.1 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-par? + 100.0% [##########] 7.6 KiB (955.2 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.1/? + 100.0% [##########] 1.6 KiB (55.3 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? + 100.0% [##########] 1.6 KiB (59.7 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire-util_2.12/0.1? + 100.0% [##########] 2.4 KiB (112.6 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/oss-parent/28/oss-p? + 100.0% [##########] 19.5 KiB (1.1 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? + 100.0% [##########] 4.0 KiB (329.2 KiB / s) +[info] Resolved dependencies + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +[info] Updating  + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/? + 100.0% [##########] 2.0 KiB (116.0 KiB / s) +[info] Resolved dependencies + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +[info] Updating  + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.11/? + 100.0% [##########] 2.0 KiB (109.6 KiB / s) +[info] Resolved dependencies + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +[info] Fetching artifacts of  + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-coll? + 52.5% [##### ] 57.6 KiB (5.1 MiB / s) +https://repo1.maven.org/maven2/com/github/wendykierp/JTransforms? + 3.5% [ ] 40.2 KiB (3.3 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-coll? + 100.0% [##########] 109.6 KiB (3.5 MiB / s) +https://repo1.maven.org/maven2/com/github/fommil/netlib/core/1.1? + 65.9% [###### ] 105.8 KiB (6.5 MiB / s) +https://repo1.maven.org/maven2/com/github/wendykierp/JTransforms? + 49.0% [#### ] 563.1 KiB (17.7 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? + 45.2% [#### ] 154.0 KiB (9.4 MiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 7.7% [ ] 101.8 KiB (5.0 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 3.7% [ ] 275.2 KiB (8.7 MiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 100.0% [##########] 65.0 KiB (5.8 MiB / s) +https://repo1.maven.org/maven2/com/github/fommil/netlib/core/1.1? + 100.0% [##########] 160.6 KiB (4.4 MiB / s) +https://repo1.maven.org/maven2/com/github/wendykierp/JTransforms? + 100.0% [##########] 1.1 MiB (22.0 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? + 100.0% [##########] 340.6 KiB (9.2 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire-platform_2.12? + 100.0% [##########] 8.1 KiB (537.8 KiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 33.3% [### ] 439.1 KiB (10.7 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 13.7% [# ] 1008.0 KiB (19.3 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-jackson_2.12/3.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 0.0% [ ] 0 B +https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/j? + 100.0% [##########] 111.7 KiB (5.7 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-jackson_2.12/3.? + 100.0% [##########] 35.4 KiB (1.3 MiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 65.8% [###### ] 867.6 KiB (14.1 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? + 42.4% [#### ] 273.1 KiB (10.3 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 24.5% [## ] 1.8 MiB (24.9 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.1? + 18.5% [# ] 85.7 KiB (3.0 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 4.3% [ ] 304.0 KiB (14.8 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 1.0% [ ] 129.5 KiB (10.5 MiB / s) + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 91.7% [######### ] 1.2 MiB (14.8 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? + 85.0% [######## ] 547.6 KiB (11.6 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.1? + 53.7% [##### ] 249.3 KiB (5.1 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 32.1% [### ] 2.3 MiB (25.4 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 8.9% [ ] 624.0 KiB (15.2 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 5.1% [ ] 689.5 KiB (21.0 MiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 100.0% [##########] 1.3 MiB (12.9 MiB / s) +https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? + 100.0% [##########] 644.0 KiB (9.5 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.1? + 100.0% [##########] 464.4 KiB (6.7 MiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.5/slf4j-a? + 100.0% [##########] 25.5 KiB (2.3 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 42.8% [#### ] 3.1 MiB (27.7 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 14.6% [# ] 1.0 MiB (16.7 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 9.8% [ ] 1.3 MiB (25.0 MiB / s) +https://repo1.maven.org/maven2/pl/edu/icm/JLargeArrays/1.5/JLarg? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/net/sf/opencsv/opencsv/2.3/opencs? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? + 100.0% [##########] 33.1 KiB (1.7 MiB / s) +https://repo1.maven.org/maven2/net/sf/opencsv/opencsv/2.3/opencs? + 100.0% [##########] 19.4 KiB (922.0 KiB / s) +https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.5/slf4j-a? + 100.0% [##########] 25.5 KiB (821.7 KiB / s) +https://repo1.maven.org/maven2/pl/edu/icm/JLargeArrays/1.5/JLarg? + 100.0% [##########] 227.0 KiB (7.2 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 54.5% [##### ] 3.9 MiB (29.9 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 20.7% [## ] 1.4 MiB (17.8 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 15.5% [# ] 2.0 MiB (28.4 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze-macros_2.12/1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/typelevel/spire-util_2.12/0.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/typelevel/machinist_2.12/0.6.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze-macros_2.12/1? + 100.0% [##########] 131.5 KiB (4.6 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/machinist_2.12/0.6.? + 100.0% [##########] 33.0 KiB (1.3 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/macro-compat_2.12/1? + 100.0% [##########] 3.1 KiB (238.9 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire-util_2.12/0.1? + 100.0% [##########] 33.8 KiB (1.2 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire-macros_2.12/0? + 82.3% [######## ] 64.0 KiB (4.5 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 65.8% [###### ] 4.7 MiB (31.4 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 26.9% [## ] 1.8 MiB (18.4 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 21.3% [## ] 2.8 MiB (30.6 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/play/play-functional? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/typesafe/play/play-functional? + 100.0% [##########] 173.8 KiB (7.7 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire-macros_2.12/0? + 100.0% [##########] 77.7 KiB (2.2 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 77.7% [####### ] 5.6 MiB (32.7 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 33.1% [### ] 2.3 MiB (18.9 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 26.6% [## ] 3.5 MiB (31.3 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 89.0% [######## ] 6.4 MiB (33.5 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 39.0% [### ] 2.7 MiB (19.1 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 32.4% [### ] 4.3 MiB (32.3 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 7.1% [ ] 224.0 KiB (4.8 MiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? + 4.8% [ ] 96.0 KiB (4.5 MiB / s) +https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 99.2% [######### ] 7.1 MiB (33.8 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 44.4% [#### ] 3.0 MiB (19.0 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 37.2% [### ] 4.9 MiB (32.3 MiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? + 15.6% [# ] 309.6 KiB (7.4 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 12.6% [# ] 400.0 KiB (5.9 MiB / s) +https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? + 8.2% [ ] 96.0 KiB (1.7 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? + 100.0% [##########] 7.2 MiB (31.2 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 51.5% [##### ] 3.5 MiB (19.6 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 43.4% [#### ] 5.7 MiB (33.3 MiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? + 31.1% [### ] 619.7 KiB (9.9 MiB / s) +https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? + 21.1% [## ] 245.6 KiB (3.2 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 20.7% [## ] 656.0 KiB (7.4 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? + 0.5% [ ] 16.0 KiB (888.9 KiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 57.0% [##### ] 3.9 MiB (19.5 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 48.4% [#### ] 6.4 MiB (33.2 MiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? + 43.0% [#### ] 856.0 KiB (10.3 MiB / s) +https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? + 32.0% [### ] 373.6 KiB (3.8 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? + 27.6% [## ] 869.5 KiB (22.3 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 26.6% [## ] 841.2 KiB (7.8 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 62.5% [###### ] 4.3 MiB (19.5 MiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? + 55.4% [##### ] 1.1 MiB (10.7 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 53.1% [##### ] 7.0 MiB (33.0 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? + 49.7% [#### ] 1.5 MiB (26.4 MiB / s) +https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? + 43.5% [#### ] 507.7 KiB (4.3 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 32.8% [### ] 1.0 MiB (8.1 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? + 71.8% [####### ] 2.2 MiB (28.3 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 68.2% [###### ] 4.7 MiB (19.5 MiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? + 67.7% [###### ] 1.3 MiB (10.9 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 58.2% [##### ] 7.7 MiB (33.1 MiB / s) +https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? + 54.5% [##### ] 635.7 KiB (4.6 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 37.9% [### ] 1.2 MiB (8.0 MiB / s) + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? + 92.0% [######### ] 2.8 MiB (28.9 MiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? + 80.1% [######## ] 1.6 MiB (11.0 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 73.6% [####### ] 5.0 MiB (19.4 MiB / s) +https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? + 64.7% [###### ] 753.9 KiB (4.7 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 63.3% [###### ] 8.3 MiB (33.1 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 43.9% [#### ] 1.4 MiB (8.2 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? + 100.0% [##########] 3.1 MiB (26.1 MiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? + 94.9% [######### ] 1.8 MiB (11.5 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 80.9% [######## ] 5.5 MiB (19.8 MiB / s) +https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? + 78.9% [####### ] 920.0 KiB (5.1 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 69.6% [###### ] 9.2 MiB (33.7 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 51.7% [##### ] 1.6 MiB (8.6 MiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? + 100.0% [##########] 87.9 KiB (2.6 MiB / s) +https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? + 100.0% [##########] 1.9 MiB (10.7 MiB / s) +https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? + 95.9% [######### ] 1.1 MiB (5.6 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 89.4% [######## ] 6.1 MiB (20.4 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 77.2% [####### ] 10.2 MiB (34.8 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 61.3% [###### ] 1.9 MiB (9.2 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/algebra_2.12/2.0.0-? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.1/? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? + 100.0% [##########] 1.1 MiB (5.3 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.1/? + 100.0% [##########] 82.0 KiB (2.5 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 96.0% [######### ] 6.6 MiB (20.6 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 83.7% [######## ] 11.0 MiB (35.4 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 68.4% [###### ] 2.1 MiB (9.4 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/algebra_2.12/2.0.0-? + 51.8% [##### ] 591.4 KiB (17.0 MiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.0? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.0? + 100.0% [##########] 76.8 KiB (2.3 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/algebra_2.12/2.0.0-? + 100.0% [##########] 1.1 MiB (21.0 MiB / s) +https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? + 100.0% [##########] 6.9 MiB (20.2 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 90.8% [######### ] 12.0 MiB (36.1 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 76.4% [####### ] 2.4 MiB (9.6 MiB / s) +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 60.7% [###### ] 192.0 KiB (5.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jlin? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s +https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? + 100.0% [##########] 316.3 KiB (5.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jlin? + 100.0% [##########] 118.2 KiB (4.1 MiB / s) +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/? + 100.0% [##########] 144.8 KiB (5.2 MiB / s) +https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? + 100.0% [##########] 13.2 MiB (37.5 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 93.5% [######### ] 2.9 MiB (10.9 MiB / s) +https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? + 100.0% [##########] 3.1 MiB (10.8 MiB / s) +[info] Fetched artifacts of  + + + + + + | => macros / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s + + + + + + | => macros / update 1s + | => rocketMacros / update 1s + | => rocketConfig / update 1s + + + + + + | => macros / update 1s + | => rocketMacros / update 1s + | => rocketConfig / update 1s +[info] Fetching artifacts of  + + + + + + | => macros / update 1s + | => rocketMacros / update 1s + | => rocketConfig / update 1s +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.11/? + 0.0% [ ] 0 B (0 B / s) + + + + + + + | => macros / update 1s + | => rocketConfig / update 1s +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.11/? + 100.0% [##########] 144.8 KiB (916.3 KiB / s) +[info] Fetched artifacts of  + + + + + + | => macros / update 1s + | => rocketConfig / update 1s + + + + + + | => macros / update 1s + | => rocketConfig / update 1s + + + + + + + | => core / update 0s + + + + + + + | => chisel / update 0s +[info] Updating  + + + + + + | => chisel / update 0s +https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.pom + 100.0% [##########] 24.5 KiB (1.3 MiB / s) +https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/ha? + 0.0% [ ] 0 B (0 B / s) +[info] Resolved dependencies + + + + + + | => chisel / update 0s +[info] Fetching artifacts of  + + + + + + | => chisel / update 0s +https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/ha? + 100.0% [##########] 44.0 KiB (4.8 MiB / s) +https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar + 0.0% [ ] 0 B (0 B / s) + + + + + + | => chisel / update 0s +https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar + 100.0% [##########] 372.8 KiB (13.0 MiB / s) +[info] Fetched artifacts of  + + + + + + | => chisel / update 0s +[info] Updating  + + + + + + | => chisel / update 0s +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 100.0% [##########] 1.6 KiB (97.3 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 100.0% [##########] 1.8 KiB (110.1 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => targetutils / update 0s + + + + + + | => targetutils / update 0s +https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? + 100.0% [##########] 1.8 KiB (8.3 KiB / s) +[info] Resolved dependencies + + + + + + | => targetutils / update 0s + + + + + + | => targetutils / update 0s +[info] Updating  + + + + + + | => targetutils / update 0s +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.4/2? + 100.0% [##########] 2.0 KiB (115.8 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => targetutils / update 0s +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 100.0% [##########] 2.2 KiB (18.4 KiB / s) +[info] Resolved dependencies + + + + + + | => targetutils / update 0s +[info] Updating  + + + + + + | => targetutils / update 0s +https://repo1.maven.org/maven2/jline/jline/2.14.5/jline-2.14.5.p? + 100.0% [##########] 19.4 KiB (1.3 MiB / s) +[info] Resolved dependencies + + + + + + | => targetutils / update 0s +[info] Fetching artifacts of  + + + + + + | => targetutils / update 0s +https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? + 100.0% [##########] 91.2 KiB (7.4 MiB / s) +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.4/2? + 100.0% [##########] 145.6 KiB (14.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 6.2% [ ] 319.0 KiB (18.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 3.0% [ ] 282.3 KiB (19.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/jline/jline/2.14.5/jline-2.14.5.j? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => targetutils / update 0s +https://repo1.maven.org/maven2/jline/jline/2.14.5/jline-2.14.5.j? + 100.0% [##########] 262.3 KiB (11.1 MiB / s) +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.4/2? + 100.0% [##########] 145.6 KiB (4.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 28.0% [## ] 980.3 KiB (25.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 16.5% [# ] 845.5 KiB (22.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 8.1% [ ] 774.4 KiB (22.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 71.7% [####### ] 2.5 MiB (43.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 25.0% [## ] 1.3 MiB (21.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 11.3% [# ] 1.1 MiB (19.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 100.0% [##########] 3.4 MiB (45.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 39.4% [### ] 2.0 MiB (25.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 17.0% [# ] 1.6 MiB (21.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 65.6% [###### ] 3.3 MiB (34.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 26.8% [## ] 2.5 MiB (26.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 92.7% [######### ] 4.6 MiB (40.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 36.0% [### ] 3.4 MiB (29.5 MiB / s) + + + + + + | => targetutils / update 0s +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 100.0% [##########] 5.0 MiB (36.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 55.6% [##### ] 5.2 MiB (39.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 79.6% [####### ] 7.4 MiB (48.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 100.0% [##########] 9.3 MiB (54.0 MiB / s) +[info] Fetched artifacts of  + + + + + + | => targetutils / update 0s + + + + + + | => targetutils / update 0s +[info] Updating  + + + + + + | => targetutils / update 0s +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 100.0% [##########] 1.6 KiB (111.4 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 100.0% [##########] 1.8 KiB (126.0 KiB / s) + + + + + + | => hardfloat / update 0s +[info] Resolved dependencies + + + + + + | => hardfloat / update 0s +[info] Updating  + + + + + + | => hardfloat / update 0s +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.8/2? + 100.0% [##########] 2.0 KiB (103.6 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 0.0% [ ] 0 B (0 B / s) +[info] Resolved dependencies + + + + + + | => hardfloat / update 0s + + + + + + | => hardfloat / update 0s +[info] Fetching artifacts of  + + + + + + | => hardfloat / update 0s +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 7.9% [ ] 404.8 KiB (32.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.8/2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.8/2? + 100.0% [##########] 144.8 KiB (5.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 20.9% [## ] 1.1 MiB (33.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 19.3% [# ] 688.0 KiB (22.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 8.3% [ ] 848.0 KiB (34.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 41.4% [#### ] 1.4 MiB (28.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 31.8% [### ] 1.6 MiB (31.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 17.3% [# ] 1.7 MiB (39.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 61.7% [###### ] 2.1 MiB (30.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 42.4% [#### ] 2.1 MiB (30.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 27.3% [## ] 2.7 MiB (42.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 83.5% [######## ] 2.9 MiB (32.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 52.6% [##### ] 2.6 MiB (29.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 37.1% [### ] 3.7 MiB (44.3 MiB / s) + + + + + + | => hardfloat / update 0s +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 100.0% [##########] 3.5 MiB (31.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 62.9% [###### ] 3.2 MiB (28.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 48.8% [#### ] 4.9 MiB (47.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 75.9% [####### ] 3.8 MiB (29.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 64.5% [###### ] 6.5 MiB (52.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 88.7% [######## ] 4.5 MiB (29.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 80.5% [######## ] 8.1 MiB (56.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 100.0% [##########] 5.0 MiB (29.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 97.1% [######### ] 9.7 MiB (59.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 100.0% [##########] 10.0 MiB (54.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 100.0% [##########] 5.0 MiB (26.3 MiB / s) + + + + + + | => hardfloat / update 0s +[info] Fetched artifacts of  + + + + + + | => hardfloat / update 0s + + + + + + | => rocketchip / update 0s + + + + + + | => rocketchip / update 0s + + + + + + | => rocketchip / update 0s + + + + + + | => sifive_blocks / update 0s + + + + + + | => sifive_blocks / update 0s + + + + + + | => sifive_blocks / update 0s + + + + + + | => testchipip / update 0s + + + + + + | => testchipip / update 0s + + + + + + | => utilities / update 0s + + + + + + | => utilities / update 0s + + + + + + + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s +[info] Compiling 1 Scala source to /home/riscvuser/chipyard/generators/rocket-chip/api-config-chipsalliance/build-rules/sbt/target/scala-2.12/classes ... + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s +[info] Compiling 1 Scala source to /home/riscvuser/chipyard/generators/rocket-chip/macros/target/scala-2.12/classes ... + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s +[info] Compiling 5 Scala sources to /home/riscvuser/chipyard/tools/chisel3/macros/target/scala-2.12/classes ... + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s +https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? + 0.0% [ ] 0 B (0 B / s) + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s +[info] Non-compiled module 'compiler-bridge_2.12' for Scala 2.12.10. Compiling... + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + + + + + + | => rocketMacros / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + + + + + + | => rocketMacros / Compile / compileIncremental 1s + | => rocketConfig / Compile / compileIncremental 1s + | => macros / Compile / compileIncremental 1s + + + + + + | => rocketMacros / Compile / compileIncremental 1s + | => rocketConfig / Compile / compileIncremental 1s + | => macros / Compile / compileIncremental 1s + + + + + + | => rocketMacros / Compile / compileIncremental 1s + | => rocketConfig / Compile / compileIncremental 1s + | => macros / Compile / compileIncremental 1s + + + + + + | => rocketMacros / Compile / compileIncremental 1s + | => rocketConfig / Compile / compileIncremental 1s + | => macros / Compile / compileIncremental 1s + + + + + + | => rocketMacros / Compile / compileIncremental 1s + | => rocketConfig / Compile / compileIncremental 1s + | => macros / Compile / compileIncremental 1s + + + + + + | => rocketMacros / Compile / compileIncremental 1s + | => rocketConfig / Compile / compileIncremental 1s + | => macros / Compile / compileIncremental 1s + + + + + + | => rocketMacros / Compile / compileIncremental 1s + | => rocketConfig / Compile / compileIncremental 1s + | => macros / Compile / compileIncremental 1s + + + + + + | => rocketMacros / Compile / compileIncremental 1s + | => rocketConfig / Compile / compileIncremental 1s + | => macros / Compile / compileIncremental 1s + + + + + + | => rocketMacros / Compile / compileIncremental 1s + | => rocketConfig / Compile / compileIncremental 1s + | => macros / Compile / compileIncremental 1s + + + + + + | => rocketMacros / Compile / compileIncremental 1s + | => rocketConfig / Compile / compileIncremental 1s + | => macros / Compile / compileIncremental 1s + + + + + + | => rocketMacros / Compile / compileIncremental 2s + | => rocketConfig / Compile / compileIncremental 2s + | => macros / Compile / compileIncremental 2s + + + + + + | => rocketMacros / Compile / compileIncremental 2s + | => rocketConfig / Compile / compileIncremental 2s + | => macros / Compile / compileIncremental 2s + + + + + + | => rocketMacros / Compile / compileIncremental 2s + | => rocketConfig / Compile / compileIncremental 2s + | => macros / Compile / compileIncremental 2s + + + + + + | => rocketMacros / Compile / compileIncremental 2s + | => rocketConfig / Compile / compileIncremental 2s + | => macros / Compile / compileIncremental 2s + + + + + + | => rocketMacros / Compile / compileIncremental 2s + | => rocketConfig / Compile / compileIncremental 2s + | => macros / Compile / compileIncremental 2s + + + + + + | => rocketMacros / Compile / compileIncremental 2s + | => rocketConfig / Compile / compileIncremental 2s + | => macros / Compile / compileIncremental 2s + + + + + + | => rocketMacros / Compile / compileIncremental 2s + | => rocketConfig / Compile / compileIncremental 2s + | => macros / Compile / compileIncremental 2s + + + + + + | => rocketMacros / Compile / compileIncremental 2s + | => rocketConfig / Compile / compileIncremental 2s + | => macros / Compile / compileIncremental 2s + + + + + + | => rocketMacros / Compile / compileIncremental 2s + | => rocketConfig / Compile / compileIncremental 2s + | => macros / Compile / compileIncremental 2s + + + + + + | => rocketMacros / Compile / compileIncremental 2s + | => rocketConfig / Compile / compileIncremental 2s + | => macros / Compile / compileIncremental 2s + + + + + + | => rocketMacros / Compile / compileIncremental 3s + | => rocketConfig / Compile / compileIncremental 3s + | => macros / Compile / compileIncremental 3s + + + + + + | => rocketMacros / Compile / compileIncremental 3s + | => rocketConfig / Compile / compileIncremental 3s + | => macros / Compile / compileIncremental 3s + + + + + + | => rocketMacros / Compile / compileIncremental 3s + | => rocketConfig / Compile / compileIncremental 3s + | => macros / Compile / compileIncremental 3s + + + + + + | => rocketMacros / Compile / compileIncremental 3s + | => rocketConfig / Compile / compileIncremental 3s + | => macros / Compile / compileIncremental 3s + + + + + + | => rocketMacros / Compile / compileIncremental 3s + | => rocketConfig / Compile / compileIncremental 3s + | => macros / Compile / compileIncremental 3s + + + + + + | => rocketMacros / Compile / compileIncremental 3s + | => rocketConfig / Compile / compileIncremental 3s + | => macros / Compile / compileIncremental 3s + + + + + + | => rocketMacros / Compile / compileIncremental 3s + | => rocketConfig / Compile / compileIncremental 3s + | => macros / Compile / compileIncremental 3s + + + + + + | => rocketMacros / Compile / compileIncremental 3s + | => rocketConfig / Compile / compileIncremental 3s + | => macros / Compile / compileIncremental 3s + + + + + + | => rocketMacros / Compile / compileIncremental 3s + | => rocketConfig / Compile / compileIncremental 3s + | => macros / Compile / compileIncremental 3s + + + + + + | => rocketMacros / Compile / compileIncremental 3s + | => rocketConfig / Compile / compileIncremental 3s + | => macros / Compile / compileIncremental 3s + + + + + + | => rocketMacros / Compile / compileIncremental 4s + | => rocketConfig / Compile / compileIncremental 4s + | => macros / Compile / compileIncremental 4s + + + + + + | => rocketMacros / Compile / compileIncremental 4s + | => rocketConfig / Compile / compileIncremental 4s + | => macros / Compile / compileIncremental 4s + + + + + + | => rocketMacros / Compile / compileIncremental 4s + | => rocketConfig / Compile / compileIncremental 4s + | => macros / Compile / compileIncremental 4s + + + + + + | => rocketMacros / Compile / compileIncremental 4s + | => rocketConfig / Compile / compileIncremental 4s + | => macros / Compile / compileIncremental 4s + + + + + + | => rocketMacros / Compile / compileIncremental 4s + | => rocketConfig / Compile / compileIncremental 4s + | => macros / Compile / compileIncremental 4s + + + + + + | => rocketMacros / Compile / compileIncremental 4s + | => rocketConfig / Compile / compileIncremental 4s + | => macros / Compile / compileIncremental 4s + + + + + + | => rocketMacros / Compile / compileIncremental 4s + | => rocketConfig / Compile / compileIncremental 4s + | => macros / Compile / compileIncremental 4s + + + + + + | => rocketMacros / Compile / compileIncremental 4s + | => rocketConfig / Compile / compileIncremental 4s + | => macros / Compile / compileIncremental 4s + + + + + + | => rocketMacros / Compile / compileIncremental 4s + | => rocketConfig / Compile / compileIncremental 4s + | => macros / Compile / compileIncremental 4s + + + + + + | => rocketMacros / Compile / compileIncremental 4s + | => rocketConfig / Compile / compileIncremental 4s + | => macros / Compile / compileIncremental 4s +[info]  Compilation completed in 4.734s. + + + + + + | => rocketMacros / Compile / compileIncremental 4s + | => rocketConfig / Compile / compileIncremental 4s + | => macros / Compile / compileIncremental 4s + + + + + + | => rocketMacros / Compile / compileIncremental 5s + | => rocketConfig / Compile / compileIncremental 5s + | => macros / Compile / compileIncremental 5s +[info] Non-compiled module 'compiler-bridge_2.12' for Scala 2.12.11. Compiling... + + + + + + | => rocketMacros / Compile / compileIncremental 5s + | => rocketConfig / Compile / compileIncremental 5s + | => macros / Compile / compileIncremental 5s + + + + + + | => rocketMacros / Compile / compileIncremental 5s + | => rocketConfig / Compile / compileIncremental 5s + | => macros / Compile / compileIncremental 5s + + + + + + | => rocketMacros / Compile / compileIncremental 5s + | => rocketConfig / Compile / compileIncremental 5s + | => macros / Compile / compileIncremental 5s + + + + + + | => rocketMacros / Compile / compileIncremental 5s + | => rocketConfig / Compile / compileIncremental 5s + | => macros / Compile / compileIncremental 5s + + + + + + | => rocketMacros / Compile / compileIncremental 5s + | => rocketConfig / Compile / compileIncremental 5s + | => macros / Compile / compileIncremental 5s + + + + + + | => rocketMacros / Compile / compileIncremental 5s + | => rocketConfig / Compile / compileIncremental 5s + | => macros / Compile / compileIncremental 5s + + + + + + | => rocketMacros / Compile / compileIncremental 5s + | => rocketConfig / Compile / compileIncremental 5s + | => macros / Compile / compileIncremental 5s + + + + + + | => rocketMacros / Compile / compileIncremental 5s + | => rocketConfig / Compile / compileIncremental 5s + | => macros / Compile / compileIncremental 5s + + + + + + | => rocketMacros / Compile / compileIncremental 5s + | => rocketConfig / Compile / compileIncremental 5s + | => macros / Compile / compileIncremental 5s + + + + + + | => rocketMacros / Compile / compileIncremental 5s + | => rocketConfig / Compile / compileIncremental 5s + | => macros / Compile / compileIncremental 5s + + + + + + | => rocketMacros / Compile / compileIncremental 6s + | => rocketConfig / Compile / compileIncremental 6s + | => macros / Compile / compileIncremental 6s + + + + + + | => rocketMacros / Compile / compileIncremental 6s + | => rocketConfig / Compile / compileIncremental 6s + | => macros / Compile / compileIncremental 6s + + + + + + | => rocketMacros / Compile / compileIncremental 6s + | => rocketConfig / Compile / compileIncremental 6s + | => macros / Compile / compileIncremental 6s + + + + + + | => rocketMacros / Compile / compileIncremental 6s + | => rocketConfig / Compile / compileIncremental 6s + | => macros / Compile / compileIncremental 6s + + + + + + | => rocketMacros / Compile / compileIncremental 6s + | => rocketConfig / Compile / compileIncremental 6s + | => macros / Compile / compileIncremental 6s + + + + + + | => rocketMacros / Compile / compileIncremental 6s + | => rocketConfig / Compile / compileIncremental 6s + | => macros / Compile / compileIncremental 6s + + + + + + | => rocketMacros / Compile / compileIncremental 6s + | => rocketConfig / Compile / compileIncremental 6s + | => macros / Compile / compileIncremental 6s + + + + + + | => rocketMacros / Compile / compileIncremental 6s + | => rocketConfig / Compile / compileIncremental 6s + | => macros / Compile / compileIncremental 6s + + + + + + | => rocketMacros / Compile / compileIncremental 6s + | => rocketConfig / Compile / compileIncremental 6s + | => macros / Compile / compileIncremental 6s + + + + + + | => rocketMacros / Compile / compileIncremental 7s + | => rocketConfig / Compile / compileIncremental 7s + | => macros / Compile / compileIncremental 7s + + + + + + | => rocketMacros / Compile / compileIncremental 7s + | => rocketConfig / Compile / compileIncremental 7s + | => macros / Compile / compileIncremental 7s + + + + + + | => rocketMacros / Compile / compileIncremental 7s + | => rocketConfig / Compile / compileIncremental 7s + | => macros / Compile / compileIncremental 7s + + + + + + | => rocketMacros / Compile / compileIncremental 7s + | => rocketConfig / Compile / compileIncremental 7s + | => macros / Compile / compileIncremental 7s + + + + + + | => rocketMacros / Compile / compileIncremental 7s + | => rocketConfig / Compile / compileIncremental 7s + | => macros / Compile / compileIncremental 7s + + + + + + | => rocketMacros / Compile / compileIncremental 7s + | => rocketConfig / Compile / compileIncremental 7s + | => macros / Compile / compileIncremental 7s + + + + + + | => rocketMacros / Compile / compileIncremental 7s + | => rocketConfig / Compile / compileIncremental 7s + | => macros / Compile / compileIncremental 7s + + + + + + | => rocketMacros / Compile / compileIncremental 7s + | => rocketConfig / Compile / compileIncremental 7s + | => macros / Compile / compileIncremental 7s + + + + + + | => rocketMacros / Compile / compileIncremental 7s + | => rocketConfig / Compile / compileIncremental 7s + | => macros / Compile / compileIncremental 7s + + + + + + | => rocketMacros / Compile / compileIncremental 7s + | => rocketConfig / Compile / compileIncremental 7s + | => macros / Compile / compileIncremental 7s + + + + + + | => rocketMacros / Compile / compileIncremental 8s + | => rocketConfig / Compile / compileIncremental 8s + | => macros / Compile / compileIncremental 8s + + + + + + | => rocketMacros / Compile / compileIncremental 8s + | => rocketConfig / Compile / compileIncremental 8s + | => macros / Compile / compileIncremental 8s + + + + + + | => rocketMacros / Compile / compileIncremental 8s + | => rocketConfig / Compile / compileIncremental 8s + | => macros / Compile / compileIncremental 8s + + + + + + | => rocketMacros / Compile / compileIncremental 8s + | => rocketConfig / Compile / compileIncremental 8s + | => macros / Compile / compileIncremental 8s + + + + + + | => rocketMacros / Compile / compileIncremental 8s + | => rocketConfig / Compile / compileIncremental 8s + | => macros / Compile / compileIncremental 8s + + + + + + | => rocketMacros / Compile / compileIncremental 8s + | => rocketConfig / Compile / compileIncremental 8s + | => macros / Compile / compileIncremental 8s + + + + + + | => rocketMacros / Compile / compileIncremental 8s + | => rocketConfig / Compile / compileIncremental 8s + | => macros / Compile / compileIncremental 8s + + + + + + | => rocketMacros / Compile / compileIncremental 8s + | => rocketConfig / Compile / compileIncremental 8s + | => macros / Compile / compileIncremental 8s + + + + + + | => rocketMacros / Compile / compileIncremental 8s + | => rocketConfig / Compile / compileIncremental 8s + | => macros / Compile / compileIncremental 8s + + + + + + | => rocketMacros / Compile / compileIncremental 8s + | => rocketConfig / Compile / compileIncremental 8s + | => macros / Compile / compileIncremental 8s + + + + + + | => rocketMacros / Compile / compileIncremental 9s + | => rocketConfig / Compile / compileIncremental 9s + | => macros / Compile / compileIncremental 9s + + + + + + | => rocketMacros / Compile / compileIncremental 9s + | => rocketConfig / Compile / compileIncremental 9s + | => macros / Compile / compileIncremental 9s + + + + + + | => rocketMacros / Compile / compileIncremental 9s + | => rocketConfig / Compile / compileIncremental 9s + | => macros / Compile / compileIncremental 9s + + + + + + | => rocketMacros / Compile / compileIncremental 9s + | => rocketConfig / Compile / compileIncremental 9s + | => macros / Compile / compileIncremental 9s + + + + + + | => rocketMacros / Compile / compileIncremental 9s + | => rocketConfig / Compile / compileIncremental 9s + | => macros / Compile / compileIncremental 9s + + + + + + | => rocketMacros / Compile / compileIncremental 9s + | => rocketConfig / Compile / compileIncremental 9s + | => macros / Compile / compileIncremental 9s + + + + + + | => rocketMacros / Compile / compileIncremental 9s + | => rocketConfig / Compile / compileIncremental 9s + | => macros / Compile / compileIncremental 9s + + + + + + | => rocketMacros / Compile / compileIncremental 9s + | => rocketConfig / Compile / compileIncremental 9s + | => macros / Compile / compileIncremental 9s + + + + + + | => rocketMacros / Compile / compileIncremental 9s + | => rocketConfig / Compile / compileIncremental 9s + | => macros / Compile / compileIncremental 9s + + + + + + | => rocketMacros / Compile / compileIncremental 9s + | => rocketConfig / Compile / compileIncremental 9s + | => macros / Compile / compileIncremental 9s + + + + + + | => rocketMacros / Compile / compileIncremental 10s + | => rocketConfig / Compile / compileIncremental 10s + | => macros / Compile / compileIncremental 10s + + + + + + | => rocketMacros / Compile / compileIncremental 10s + | => rocketConfig / Compile / compileIncremental 10s + | => macros / Compile / compileIncremental 10s + + + + + + | => rocketMacros / Compile / compileIncremental 10s + | => rocketConfig / Compile / compileIncremental 10s + | => macros / Compile / compileIncremental 10s + + + + + + | => rocketMacros / Compile / compileIncremental 10s + | => rocketConfig / Compile / compileIncremental 10s + | => macros / Compile / compileIncremental 10s + + + + + + | => rocketMacros / Compile / compileIncremental 10s + | => rocketConfig / Compile / compileIncremental 10s + | => macros / Compile / compileIncremental 10s + + + + + + | => rocketMacros / Compile / compileIncremental 10s + | => rocketConfig / Compile / compileIncremental 10s + | => macros / Compile / compileIncremental 10s + + + + + + | => rocketMacros / Compile / compileIncremental 10s + | => rocketConfig / Compile / compileIncremental 10s + | => macros / Compile / compileIncremental 10s + + + + + + | => rocketMacros / Compile / compileIncremental 10s + | => rocketConfig / Compile / compileIncremental 10s + | => macros / Compile / compileIncremental 10s + + + + + + | => rocketMacros / Compile / compileIncremental 10s + | => rocketConfig / Compile / compileIncremental 10s + | => macros / Compile / compileIncremental 10s + + + + + + | => rocketMacros / Compile / compileIncremental 10s + | => rocketConfig / Compile / compileIncremental 10s + | => macros / Compile / compileIncremental 10s + + + + + + | => rocketMacros / Compile / compileIncremental 11s + | => rocketConfig / Compile / compileIncremental 11s + | => macros / Compile / compileIncremental 11s + + + + + + | => rocketMacros / Compile / compileIncremental 11s + | => rocketConfig / Compile / compileIncremental 11s + | => macros / Compile / compileIncremental 11s + + + + + + | => rocketMacros / Compile / compileIncremental 11s + | => rocketConfig / Compile / compileIncremental 11s + | => macros / Compile / compileIncremental 11s + + + + + + | => rocketMacros / Compile / compileIncremental 11s + | => rocketConfig / Compile / compileIncremental 11s + | => macros / Compile / compileIncremental 11s + + + + + + | => rocketMacros / Compile / compileIncremental 11s + | => rocketConfig / Compile / compileIncremental 11s + | => macros / Compile / compileIncremental 11s + + + + + + | => rocketMacros / Compile / compileIncremental 11s + | => rocketConfig / Compile / compileIncremental 11s + | => macros / Compile / compileIncremental 11s + + + + + + | => rocketMacros / Compile / compileIncremental 11s + | => rocketConfig / Compile / compileIncremental 11s + | => macros / Compile / compileIncremental 11s + + + + + + | => rocketMacros / Compile / compileIncremental 11s + | => rocketConfig / Compile / compileIncremental 11s + | => macros / Compile / compileIncremental 11s + + + + + + | => rocketMacros / Compile / compileIncremental 11s + | => rocketConfig / Compile / compileIncremental 11s + | => macros / Compile / compileIncremental 11s + + + + + + | => rocketMacros / Compile / compileIncremental 11s + | => rocketConfig / Compile / compileIncremental 11s + | => macros / Compile / compileIncremental 11s + + + + + + | => rocketMacros / Compile / compileIncremental 12s + | => rocketConfig / Compile / compileIncremental 12s + | => macros / Compile / compileIncremental 12s + + + + + + | => rocketMacros / Compile / compileIncremental 12s + | => rocketConfig / Compile / compileIncremental 12s + | => macros / Compile / compileIncremental 12s + + + + + + | => rocketMacros / Compile / compileIncremental 12s + | => rocketConfig / Compile / compileIncremental 12s + | => macros / Compile / compileIncremental 12s + + + + + + | => rocketMacros / Compile / compileIncremental 12s + | => rocketConfig / Compile / compileIncremental 12s + | => macros / Compile / compileIncremental 12s + + + + + + | => rocketMacros / Compile / compileIncremental 12s + | => rocketConfig / Compile / compileIncremental 12s + | => macros / Compile / compileIncremental 12s + + + + + + | => rocketMacros / Compile / compileIncremental 12s + | => rocketConfig / Compile / compileIncremental 12s + | => macros / Compile / compileIncremental 12s + + + + + + | => rocketMacros / Compile / compileIncremental 12s + | => rocketConfig / Compile / compileIncremental 12s + | => macros / Compile / compileIncremental 12s + + + + + + | => rocketMacros / Compile / compileIncremental 12s + | => rocketConfig / Compile / compileIncremental 12s + | => macros / Compile / compileIncremental 12s + + + + + + | => rocketMacros / Compile / compileIncremental 12s + | => rocketConfig / Compile / compileIncremental 12s + | => macros / Compile / compileIncremental 12s + + + + + + | => rocketMacros / Compile / compileIncremental 12s + | => rocketConfig / Compile / compileIncremental 12s + | => macros / Compile / compileIncremental 12s + + + + + + | => rocketMacros / Compile / compileIncremental 13s + | => rocketConfig / Compile / compileIncremental 13s + | => macros / Compile / compileIncremental 13s + + + + + + | => rocketMacros / Compile / compileIncremental 13s + | => rocketConfig / Compile / compileIncremental 13s + | => macros / Compile / compileIncremental 13s + + + + + + | => rocketMacros / Compile / compileIncremental 13s + | => rocketConfig / Compile / compileIncremental 13s + | => macros / Compile / compileIncremental 13s + + + + + + | => rocketMacros / Compile / compileIncremental 13s + | => rocketConfig / Compile / compileIncremental 13s + | => macros / Compile / compileIncremental 13s + + + + + + | => rocketMacros / Compile / compileIncremental 13s + | => rocketConfig / Compile / compileIncremental 13s + | => macros / Compile / compileIncremental 13s + + + + + + | => rocketMacros / Compile / compileIncremental 13s + | => rocketConfig / Compile / compileIncremental 13s + | => macros / Compile / compileIncremental 13s + + + + + + | => rocketMacros / Compile / compileIncremental 13s + | => rocketConfig / Compile / compileIncremental 13s + | => macros / Compile / compileIncremental 13s + + + + + + | => rocketMacros / Compile / compileIncremental 13s + | => rocketConfig / Compile / compileIncremental 13s + | => macros / Compile / compileIncremental 13s + + + + + + | => rocketMacros / Compile / compileIncremental 13s + | => rocketConfig / Compile / compileIncremental 13s + | => macros / Compile / compileIncremental 13s + + + + + + | => rocketMacros / Compile / compileIncremental 14s + | => rocketConfig / Compile / compileIncremental 14s + | => macros / Compile / compileIncremental 14s + + + + + + | => rocketMacros / Compile / compileIncremental 14s + | => rocketConfig / Compile / compileIncremental 14s + | => macros / Compile / compileIncremental 14s + + + + + + | => rocketMacros / Compile / compileIncremental 14s + | => rocketConfig / Compile / compileIncremental 14s + | => macros / Compile / compileIncremental 14s + + + + + + | => rocketMacros / Compile / compileIncremental 14s + | => rocketConfig / Compile / compileIncremental 14s + | => macros / Compile / compileIncremental 14s + + + + + + | => rocketMacros / Compile / compileIncremental 14s + | => rocketConfig / Compile / compileIncremental 14s + | => macros / Compile / compileIncremental 14s + + + + + + | => rocketMacros / Compile / compileIncremental 14s + | => rocketConfig / Compile / compileIncremental 14s + | => macros / Compile / compileIncremental 14s + + + + + + | => rocketMacros / Compile / compileIncremental 14s + | => rocketConfig / Compile / compileIncremental 14s + | => macros / Compile / compileIncremental 14s + + + + + + | => rocketMacros / Compile / compileIncremental 14s + | => rocketConfig / Compile / compileIncremental 14s + | => macros / Compile / compileIncremental 14s + + + + + + | => rocketMacros / Compile / compileIncremental 14s + | => rocketConfig / Compile / compileIncremental 14s + | => macros / Compile / compileIncremental 14s +[info]  Compilation completed in 9.786s. + + + + + + | => rocketMacros / Compile / compileIncremental 14s + | => rocketConfig / Compile / compileIncremental 14s + | => macros / Compile / compileIncremental 14s + + + + + + | => rocketMacros / Compile / compileIncremental 14s + | => rocketConfig / Compile / compileIncremental 14s + | => macros / Compile / compileIncremental 14s + + + + + + | => rocketMacros / Compile / compileIncremental 15s + | => rocketConfig / Compile / compileIncremental 15s + | => macros / Compile / compileIncremental 15s + + + + + + | => rocketMacros / Compile / compileIncremental 15s + | => rocketConfig / Compile / compileIncremental 15s + | => macros / Compile / compileIncremental 15s + + + + + + | => rocketMacros / Compile / compileIncremental 15s + | => rocketConfig / Compile / compileIncremental 15s + | => macros / Compile / compileIncremental 15s + + + + + + | => rocketMacros / Compile / compileIncremental 15s + | => rocketConfig / Compile / compileIncremental 15s + | => macros / Compile / compileIncremental 15s + + + + + + | => rocketMacros / Compile / compileIncremental 15s + | => rocketConfig / Compile / compileIncremental 15s + | => macros / Compile / compileIncremental 15s + + + + + + | => rocketMacros / Compile / compileIncremental 15s + | => rocketConfig / Compile / compileIncremental 15s + | => macros / Compile / compileIncremental 15s + + + + + + | => rocketMacros / Compile / compileIncremental 15s + | => rocketConfig / Compile / compileIncremental 15s + | => macros / Compile / compileIncremental 15s + + + + + + | => rocketMacros / Compile / compileIncremental 15s + | => rocketConfig / Compile / compileIncremental 15s + | => macros / Compile / compileIncremental 15s + + + + + + | => rocketMacros / Compile / compileIncremental 15s + | => rocketConfig / Compile / compileIncremental 15s + | => macros / Compile / compileIncremental 15s + + + + + + | => rocketConfig / Compile / compile 0s + | => rocketMacros / Compile / compileIncremental 15s + | => macros / Compile / compileIncremental 15s + + + + + + | => rocketMacros / Compile / compile 0s + | => rocketConfig / Compile / compile 0s + | => macros / Compile / compileIncremental 16s + + + + + + | => rocketConfig / Compile / packageBin 0s + | => rocketMacros / Compile / packageBin 0s + | => macros / Compile / compileIncremental 16s + + + + + + + + | => macros / Compile / compileIncremental 16s + + + + + + + + | => macros / Compile / compileIncremental 16s + + + + + + + + | => macros / Compile / compileIncremental 16s + + + + + + + + | => macros / Compile / compileIncremental 16s + + + + + + + + | => macros / Compile / compileIncremental 16s + + + + + + + + | => macros / Compile / compileIncremental 16s + + + + + + + + | => macros / Compile / compileIncremental 16s + + + + + + + + | => macros / Compile / compileIncremental 16s + + + + + + + + | => macros / Compile / compileIncremental 17s + + + + + + + + | => macros / Compile / compileIncremental 17s + + + + + + + + | => macros / Compile / compileIncremental 17s + + + + + + + + | => macros / Compile / compileIncremental 17s + + + + + + + + | => macros / Compile / compileIncremental 17s + + + + + + + + | => macros / Compile / compileIncremental 17s + + + + + + + + | => macros / Compile / compileIncremental 17s + + + + + + + + | => macros / Compile / compileIncremental 17s + + + + + + + + | => macros / Compile / compileIncremental 17s + + + + + + + + | => macros / Compile / compileIncremental 17s + + + + + + + + | => macros / Compile / compileIncremental 18s + + + + + + + + | => macros / Compile / compileIncremental 18s + + + + + + + + | => macros / Compile / compileIncremental 18s + + + + + + + + | => macros / Compile / compileIncremental 18s + + + + + + + + | => macros / Compile / compileIncremental 18s + + + + + + + + | => macros / Compile / compileIncremental 18s + + + + + + + + | => macros / Compile / compile 0s +[info] Compiling 41 Scala sources to /home/riscvuser/chipyard/tools/chisel3/core/target/scala-2.12/classes ... + + + + + + + | => macros / Compile / compile 0s + + + + + + + | => core / Compile / compileIncremental 0s + + + + + + + | => core / Compile / compileIncremental 0s + + + + + + + | => core / Compile / compileIncremental 0s + + + + + + + | => core / Compile / compileIncremental 0s + + + + + + + | => core / Compile / compileIncremental 0s + + + + + + + | => core / Compile / compileIncremental 0s + + + + + + + | => core / Compile / compileIncremental 0s + + + + + + + | => core / Compile / compileIncremental 0s + + + + + + + | => core / Compile / compileIncremental 0s + + + + + + + | => core / Compile / compileIncremental 0s + + + + + + + | => core / Compile / compileIncremental 1s + + + + + + + | => core / Compile / compileIncremental 1s + + + + + + + | => core / Compile / compileIncremental 1s + + + + + + + | => core / Compile / compileIncremental 1s + + + + + + + | => core / Compile / compileIncremental 1s + + + + + + + | => core / Compile / compileIncremental 1s + + + + + + + | => core / Compile / compileIncremental 1s + + + + + + + | => core / Compile / compileIncremental 1s + + + + + + + | => core / Compile / compileIncremental 1s + + + + + + + | => core / Compile / compileIncremental 1s + + + + + + + | => core / Compile / compileIncremental 2s + + + + + + + | => core / Compile / compileIncremental 2s + + + + + + + | => core / Compile / compileIncremental 2s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Num.scala:5:25: imported `ChiselException' is permanently hidden by definition of type ChiselException in package chisel3 + + + + + + | => core / Compile / compileIncremental 2s +[warn] import chisel3.internal.ChiselException + + + + + + | => core / Compile / compileIncremental 2s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 2s + + + + + + | => core / Compile / compileIncremental 2s + + + + + + | => core / Compile / compileIncremental 2s + + + + + + | => core / Compile / compileIncremental 2s + + + + + + | => core / Compile / compileIncremental 2s + + + + + + | => core / Compile / compileIncremental 2s + + + + + + | => core / Compile / compileIncremental 2s + + + + + + | => core / Compile / compileIncremental 2s + + + + + + | => core / Compile / compileIncremental 3s + + + + + + | => core / Compile / compileIncremental 3s + + + + + + | => core / Compile / compileIncremental 3s + + + + + + | => core / Compile / compileIncremental 3s + + + + + + | => core / Compile / compileIncremental 3s + + + + + + | => core / Compile / compileIncremental 3s + + + + + + | => core / Compile / compileIncremental 3s + + + + + + | => core / Compile / compileIncremental 3s + + + + + + | => core / Compile / compileIncremental 3s + + + + + + | => core / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/StrongEnum.scala:185:27: inferred existential type Option[chisel3.Vec[_]]( forSome { type _ <: chisel3.Data }), which cannot be expressed by wildcards, should be enabled + + + + + + | => core / Compile / compileIncremental 4s +[warn] by making the implicit value scala.language.existentials visible. + + + + + + | => core / Compile / compileIncremental 4s +[warn] This can be achieved by adding the import clause 'import scala.language.existentials' + + + + + + | => core / Compile / compileIncremental 4s +[warn] or by setting the compiler option -language:existentials. + + + + + + | => core / Compile / compileIncremental 4s +[warn] See the Scaladoc for value scala.language.existentials for a discussion + + + + + + | => core / Compile / compileIncremental 4s +[warn] why the feature should be explicitly enabled. + + + + + + | => core / Compile / compileIncremental 4s +[warn]  val currentVecOpt = d match { + + + + + + | => core / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 4s + + + + + + | => core / Compile / compileIncremental 4s + + + + + + | => core / Compile / compileIncremental 4s + + + + + + | => core / Compile / compileIncremental 4s + + + + + + | => core / Compile / compileIncremental 4s + + + + + + | => core / Compile / compileIncremental 4s + + + + + + | => core / Compile / compileIncremental 4s + + + + + + | => core / Compile / compileIncremental 4s + + + + + + | => core / Compile / compileIncremental 4s + + + + + + | => core / Compile / compileIncremental 4s + + + + + + | => core / Compile / compileIncremental 5s + + + + + + | => core / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Aggregate.scala:231:42: match may not be exhaustive. + + + + + + | => core / Compile / compileIncremental 5s +[warn] It would fail on the following input: Empty + + + + + + | => core / Compile / compileIncremental 5s +[warn]  val reconstructedResolvedDirection = direction match { + + + + + + | => core / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Aggregate.scala:333:15: match may not be exhaustive. + + + + + + | => core / Compile / compileIncremental 5s +[warn] It would fail on the following input: Empty + + + + + + | => core / Compile / compileIncremental 5s +[warn]  elts.head.direction match { + + + + + + | => core / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Aggregate.scala:510:65: match may not be exhaustive. + + + + + + | => core / Compile / compileIncremental 5s +[warn] It would fail on the following inputs: (Data(), _), (Element(), Record()), (Element(), _), (Record(), Element()), (Record(), _), (_, Data()), (_, Element()), (_, Record()), (_, _) + + + + + + | => core / Compile / compileIncremental 5s +[warn]  def getMatchedFields(x: Data, y: Data): Seq[(Data, Data)] = (x, y) match { + + + + + + | => core / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Aggregate.scala:561:24: match may not be exhaustive. + + + + + + | => core / Compile / compileIncremental 5s +[warn] It would fail on the following input: BundleLitBinding(_) + + + + + + | => core / Compile / compileIncremental 5s +[warn]  val litArg = valueBinding match { + + + + + + | => core / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 5s + + + + + + | => core / Compile / compileIncremental 5s + + + + + + | => core / Compile / compileIncremental 5s + + + + + + | => core / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Bits.scala:2183:21: match may not be exhaustive. + + + + + + | => core / Compile / compileIncremental 5s +[warn] It would fail on the following inputs: (??, _), (Closed(_), _), (Open(_), _), (_, ??), (_, Closed(_)), (_, Open(_)), (_, _) + + + + + + | => core / Compile / compileIncremental 5s +[warn]  val inRange = (range.lowerBound, range.upperBound) match { + + + + + + | => core / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 5s + + + + + + | => core / Compile / compileIncremental 5s + + + + + + | => core / Compile / compileIncremental 5s + + + + + + | => core / Compile / compileIncremental 5s + + + + + + | => core / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/StrongEnum.scala:171:60: match may not be exhaustive. + + + + + + | => core / Compile / compileIncremental 5s +[warn] It would fail on the following input: Record() + + + + + + | => core / Compile / compileIncremental 5s +[warn]  private def enumFields(d: Aggregate): Seq[Seq[String]] = d match { + + + + + + | => core / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 5s + + + + + + | => core / Compile / compileIncremental 5s + + + + + + | => core / Compile / compileIncremental 6s + + + + + + | => core / Compile / compileIncremental 6s + + + + + + | => core / Compile / compileIncremental 6s + + + + + + | => core / Compile / compileIncremental 6s + + + + + + | => core / Compile / compileIncremental 6s + + + + + + | => core / Compile / compileIncremental 6s + + + + + + | => core / Compile / compileIncremental 6s + + + + + + | => core / Compile / compileIncremental 6s + + + + + + | => core / Compile / compileIncremental 6s + + + + + + | => core / Compile / compileIncremental 6s + + + + + + | => core / Compile / compileIncremental 7s + + + + + + | => core / Compile / compileIncremental 7s + + + + + + | => core / Compile / compileIncremental 7s + + + + + + | => core / Compile / compileIncremental 7s + + + + + + | => core / Compile / compileIncremental 7s + + + + + + | => core / Compile / compileIncremental 7s + + + + + + | => core / Compile / compileIncremental 7s + + + + + + | => core / Compile / compileIncremental 7s + + + + + + | => core / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Aggregate.scala:64:24: method flatten in class Data is deprecated (since chisel3): pending removal once all instances replaced + + + + + + | => core / Compile / compileIncremental 7s +[warn]  SeqUtils.do_asUInt(flatten.map(_.asUInt())) + + + + + + | => core / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Aggregate.scala:70:15: method flatten in class Data is deprecated (since chisel3): pending removal once all instances replaced + + + + + + | => core / Compile / compileIncremental 7s +[warn]  for (x <- flatten) { + + + + + + | => core / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 7s + + + + + + | => core / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Annotation.scala:38:30: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type + + + + + + | => core / Compile / compileIncremental 7s +[warn]  def toFirrtl: Annotation = Annotation(component.toNamed, transformClass, value) + + + + + + | => core / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Assert.scala:41:57: method lineContent in trait Position is deprecated (since 2.11.0): removed from the public API + + + + + + | => core / Compile / compileIncremental 7s +[warn]  val condStr = s"${p.source.file.name}:${p.line} ${p.lineContent.trim}" + + + + + + | => core / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Assert.scala:49:57: method lineContent in trait Position is deprecated (since 2.11.0): removed from the public API + + + + + + | => core / Compile / compileIncremental 7s +[warn]  val condStr = s"${p.source.file.name}:${p.line} ${p.lineContent.trim}" + + + + + + | => core / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 7s + + + + + + | => core / Compile / compileIncremental 8s + + + + + + | => core / Compile / compileIncremental 8s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/internal/Namer.scala:84:9: object JavaConversions in package collection is deprecated (since 2.12.0): use JavaConverters + + + + + + | => core / Compile / compileIncremental 8s +[warn]  descendants.getOrElseUpdate(ref, ListBuffer[NamingContext]()) += descendant + + + + + + | => core / Compile / compileIncremental 8s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 8s +[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/internal/Namer.scala:114:42: object JavaConversions in package collection is deprecated (since 2.12.0): use JavaConverters + + + + + + | => core / Compile / compileIncremental 8s +[warn]  for (descendant <- descendants.values().flatten) { + + + + + + | => core / Compile / compileIncremental 8s +[warn]  ^ + + + + + + | => core / Compile / compileIncremental 8s + + + + + + | => core / Compile / compileIncremental 8s + + + + + + | => core / Compile / compileIncremental 8s + + + + + + | => core / Compile / compileIncremental 8s + + + + + + | => core / Compile / compileIncremental 8s + + + + + + | => core / Compile / compileIncremental 8s + + + + + + | => core / Compile / compileIncremental 8s + + + + + + | => core / Compile / compileIncremental 8s + + + + + + | => core / Compile / compileIncremental 8s + + + + + + | => core / Compile / compileIncremental 9s + + + + + + | => core / Compile / compileIncremental 9s + + + + + + | => core / Compile / compileIncremental 9s + + + + + + | => core / Compile / compileIncremental 9s + + + + + + | => core / Compile / compileIncremental 9s + + + + + + | => core / Compile / compileIncremental 9s + + + + + + | => core / Compile / compileIncremental 9s + + + + + + | => core / Compile / compileIncremental 9s + + + + + + | => core / Compile / compileIncremental 9s + + + + + + | => core / Compile / compileIncremental 9s + + + + + + | => core / Compile / compileIncremental 10s + + + + + + | => core / Compile / compileIncremental 10s + + + + + + | => core / Compile / compileIncremental 10s + + + + + + | => core / Compile / compileIncremental 10s + + + + + + | => core / Compile / compileIncremental 10s + + + + + + | => core / Compile / compileIncremental 10s + + + + + + | => core / Compile / compileIncremental 10s + + + + + + | => core / Compile / compileIncremental 10s + + + + + + | => core / Compile / compileIncremental 10s + + + + + + | => core / Compile / compileIncremental 10s + + + + + + | => core / Compile / compileIncremental 11s + + + + + + | => core / Compile / compileIncremental 11s + + + + + + | => core / Compile / compileIncremental 11s + + + + + + | => core / Compile / compileIncremental 11s + + + + + + | => core / Compile / compileIncremental 11s + + + + + + | => core / Compile / compileIncremental 11s + + + + + + | => core / Compile / compileIncremental 11s + + + + + + | => core / Compile / compileIncremental 11s + + + + + + | => core / Compile / compileIncremental 11s + + + + + + | => core / Compile / compileIncremental 11s + + + + + + | => core / Compile / compileIncremental 12s + + + + + + | => core / Compile / compileIncremental 12s +[warn] 15 warnings found + + + + + + | => core / Compile / compileIncremental 12s + + + + + + | => core / Compile / compileIncremental 12s + + + + + + | => core / Compile / compile 0s + + + + + + | => core / Compile / compile 0s + + + + + + | => core / Compile / compile 0s +[info] Compiling 57 Scala sources to /home/riscvuser/chipyard/tools/chisel3/target/scala-2.12/classes ... + + + + + + | => core / Compile / compile 0s + + + + + + | => core / Compile / packageBin 0s + | => chisel / Compile / compileIncremental 0s + + + + + + + | => chisel / Compile / compileIncremental 0s + + + + + + + | => chisel / Compile / compileIncremental 0s + + + + + + + | => chisel / Compile / compileIncremental 0s + + + + + + + | => chisel / Compile / compileIncremental 0s + + + + + + + | => chisel / Compile / compileIncremental 0s + + + + + + + | => chisel / Compile / compileIncremental 0s + + + + + + + | => chisel / Compile / compileIncremental 0s + + + + + + + | => chisel / Compile / compileIncremental 0s + + + + + + + | => chisel / Compile / compileIncremental 0s + + + + + + + | => chisel / Compile / compileIncremental 1s + + + + + + + | => chisel / Compile / compileIncremental 1s + + + + + + + | => chisel / Compile / compileIncremental 1s + + + + + + + | => chisel / Compile / compileIncremental 1s + + + + + + + | => chisel / Compile / compileIncremental 1s + + + + + + + | => chisel / Compile / compileIncremental 1s + + + + + + + | => chisel / Compile / compileIncremental 1s + + + + + + + | => chisel / Compile / compileIncremental 1s + + + + + + + | => chisel / Compile / compileIncremental 1s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:24:9: inferred existential type (chisel3.internal.firrtl.Circuit, chisel3.stage.DesignAnnotation[_]) forSome { type _ <: chisel3.RawModule }, which cannot be expressed by wildcards, should be enabled + + + + + + | => chisel / Compile / compileIncremental 1s +[warn] by making the implicit value scala.language.existentials visible. + + + + + + | => chisel / Compile / compileIncremental 1s +[warn] This can be achieved by adding the import clause 'import scala.language.existentials' + + + + + + | => chisel / Compile / compileIncremental 1s +[warn] or by setting the compiler option -language:existentials. + + + + + + | => chisel / Compile / compileIncremental 1s +[warn] See the Scaladoc for value scala.language.existentials for a discussion + + + + + + | => chisel / Compile / compileIncremental 1s +[warn] why the feature should be explicitly enabled. + + + + + + | => chisel / Compile / compileIncremental 1s +[warn]  val (circuit, dut) = new chisel3.stage.ChiselGeneratorAnnotation(finishWrapper(t)).elaborate.toSeq match { + + + + + + | => chisel / Compile / compileIncremental 1s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 1s + + + + + + | => chisel / Compile / compileIncremental 2s + + + + + + | => chisel / Compile / compileIncremental 2s + + + + + + | => chisel / Compile / compileIncremental 2s + + + + + + | => chisel / Compile / compileIncremental 2s + + + + + + | => chisel / Compile / compileIncremental 2s + + + + + + | => chisel / Compile / compileIncremental 2s + + + + + + | => chisel / Compile / compileIncremental 2s + + + + + + | => chisel / Compile / compileIncremental 2s + + + + + + | => chisel / Compile / compileIncremental 2s + + + + + + | => chisel / Compile / compileIncremental 2s + + + + + + | => chisel / Compile / compileIncremental 3s + + + + + + | => chisel / Compile / compileIncremental 3s + + + + + + | => chisel / Compile / compileIncremental 3s + + + + + + | => chisel / Compile / compileIncremental 3s + + + + + + | => chisel / Compile / compileIncremental 3s + + + + + + | => chisel / Compile / compileIncremental 3s + + + + + + | => chisel / Compile / compileIncremental 3s + + + + + + | => chisel / Compile / compileIncremental 3s + + + + + + | => chisel / Compile / compileIncremental 3s + + + + + + | => chisel / Compile / compileIncremental 3s + + + + + + | => chisel / Compile / compileIncremental 4s + + + + + + | => chisel / Compile / compileIncremental 4s + + + + + + | => chisel / Compile / compileIncremental 4s + + + + + + | => chisel / Compile / compileIncremental 4s + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/ChiselExecutionOptions.scala:21:44: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ) extends ComposableOptions { + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/ChiselExecutionOptions.scala:30:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  self: ExecutionOptionsManager => + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:49:30: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  val optionsManager = new ExecutionOptionsManager("chisel3") with HasChiselExecutionOptions with HasFirrtlOptions { + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:49:101: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  val optionsManager = new ExecutionOptionsManager("chisel3") with HasChiselExecutionOptions with HasFirrtlOptions { + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:50:23: class CommonOptions in package firrtl is deprecated (since 1.2): Use a FirrtlOptionsView, LoggerOptionsView, or construct your own view of an AnnotationSeq + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  commonOptions = CommonOptions(topName = prefix, targetDirName = dir.getAbsolutePath) + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:51:23: class FirrtlExecutionOptions in package firrtl is deprecated (since 1.2): Use a FirrtlOptionsView or construct your own view of an AnnotationSeq + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  firrtlOptions = FirrtlExecutionOptions(compilerName = "verilog") + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:54:12: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  firrtl.Driver.execute(optionsManager) match { + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:75:55: trait FirrtlExecutionResult in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  firrtlResultOption: Option[FirrtlExecutionResult] + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:72:12: trait FirrtlExecutionResult in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] case class ChiselExecutionSuccess( + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/aop/AspectLibrary.scala:21:11: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  x.newInstance() + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/aop/injecting/InjectingTransform.scala:14:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  override def inputForm: CircuitForm = ChirrtlForm + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/aop/injecting/InjectingTransform.scala:14:41: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  override def inputForm: CircuitForm = ChirrtlForm + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/aop/injecting/InjectingTransform.scala:15:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  override def outputForm: CircuitForm = ChirrtlForm + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/aop/injecting/InjectingTransform.scala:15:42: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  override def outputForm: CircuitForm = ChirrtlForm + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:396:24: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  val Driver = chisel3.Driver + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:407:28: method elaborate in object Driver is deprecated (since 3.2.4): Use ChiselStage.elaborate or use a ChiselStage class. This will be removed in 3.4. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  val circuit = Driver.elaborate(gen) + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:408:14: method parseArgs in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage with '--target-directory'. This will be removed in 3.4. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  Driver.parseArgs(args) + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:409:41: method targetDir in object Driver is deprecated (since 3.2.2): This has no effect on Chisel3 Driver! This will be removed in 3.4. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  val output_file = new File(Driver.targetDir + "/" + circuit.name + ".fir") + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:410:14: method dumpFirrtl in object Driver is deprecated (since 3.2.4): Migrate to chisel3.stage.ChiselStage. This will be removed in 3.4. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  Driver.dumpFirrtl(circuit, Option(output_file)) + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:475:29: object unless in package util is deprecated (since 3.2): The unless conditional is deprecated, use when(!condition){...} instead + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  val unless = chisel3.util.unless + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:564:29: object LFSR16 in package util is deprecated (since 3.2): LFSR16 is deprecated in favor of the parameterized chisel3.util.random.LFSR + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  val LFSR16 = chisel3.util.LFSR16 + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/internal/firrtl/Emitter.scala:189:16: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  res ++= s";${Driver.chiselVersionString}\n" + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/ChiselAnnotations.scala:70:63: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  Class.forName(name).asInstanceOf[Class[_ <: RawModule]].newInstance() + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/package.scala:45:61: method firrtlResultView in object DriverCompatibility is deprecated (since 1.2): FirrtlExecutionResult is deprecated as part of the Stage/Phase refactor. Migrate to FirrtlStage. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  val fResult = firrtl.stage.phases.DriverCompatibility.firrtlResultView(options) + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/phases/Convert.scala:37:75: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  .map { c: Class[_ <: Transform] => RunFirrtlTransformAnnotation(c.newInstance()) } + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/phases/DriverCompatibility.scala:102:14: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  manager: ExecutionOptionsManager with HasChiselExecutionOptions with HasFirrtlOptions) + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/phases/DriverCompatibility.scala:101:31: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  private[chisel3] case class OptionsManagerAnnotation( + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/phases/DriverCompatibility.scala:118:22: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  .collectFirst{ case OptionsManagerAnnotation(a) => a } + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/phases/DriverCompatibility.scala:145:56: class AddImplicitOutputFile in object DriverCompatibility is deprecated (since 1.2): AddImplicitOutputFile should only be used to build Driver compatibility wrappers. Switch to Stage. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  Seq( new firrtl.stage.phases.DriverCompatibility.AddImplicitOutputFile, + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/phases/DriverCompatibility.scala:146:56: class AddImplicitEmitter in object DriverCompatibility is deprecated (since 1.2): AddImplicitEmitter should only be used to build Driver compatibility wrappers. Switch to Stage. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  new firrtl.stage.phases.DriverCompatibility.AddImplicitEmitter ) + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:36:12: method dumpFirrtl in object Driver is deprecated (since 3.2.4): Migrate to chisel3.stage.ChiselStage. This will be removed in 3.4. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  Driver.dumpFirrtl(circuit, Some(new File(fname.toString + ".fir"))) + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:36:5: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  Driver.dumpFirrtl(circuit, Some(new File(fname.toString + ".fir"))) + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:37:32: method toFirrtl in object Driver is deprecated (since 3.2.4): Use ChiselStage.convert or use a ChiselStage class. This will be removed in 3.4. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  val firrtlCircuit = Driver.toFirrtl(circuit) + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:37:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  val firrtlCircuit = Driver.toFirrtl(circuit) + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:55:69: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  .map { transformClass: Class[_ <: Transform] => transformClass.newInstance() } + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:58:30: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  val optionsManager = new ExecutionOptionsManager("chisel3") with HasChiselExecutionOptions with HasFirrtlOptions { + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:58:101: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  val optionsManager = new ExecutionOptionsManager("chisel3") with HasChiselExecutionOptions with HasFirrtlOptions { + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:59:23: class CommonOptions in package firrtl is deprecated (since 1.2): Use a FirrtlOptionsView, LoggerOptionsView, or construct your own view of an AnnotationSeq + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  commonOptions = CommonOptions(topName = target, targetDirName = path.getAbsolutePath) + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:60:23: class FirrtlExecutionOptions in package firrtl is deprecated (since 1.2): Use a FirrtlOptionsView or construct your own view of an AnnotationSeq + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  firrtlOptions = FirrtlExecutionOptions(compilerName = "verilog", annotations = resolvedAnnotations, + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:64:12: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  firrtl.Driver.execute(optionsManager) match { + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/util/experimental/LoadMemoryTransform.scala:128:18: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  def inputForm: CircuitForm = LowForm + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/util/experimental/LoadMemoryTransform.scala:128:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  def inputForm: CircuitForm = LowForm + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/util/experimental/LoadMemoryTransform.scala:129:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  def outputForm: CircuitForm = LowForm + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/util/experimental/LoadMemoryTransform.scala:129:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  def outputForm: CircuitForm = LowForm + + + + + + | => chisel / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => chisel / Compile / compileIncremental 4s + + + + + + | => chisel / Compile / compileIncremental 4s + + + + + + | => chisel / Compile / compileIncremental 4s + + + + + + | => chisel / Compile / compileIncremental 4s + + + + + + | => chisel / Compile / compileIncremental 5s + + + + + + | => chisel / Compile / compileIncremental 5s + + + + + + | => chisel / Compile / compileIncremental 5s + + + + + + | => chisel / Compile / compileIncremental 5s + + + + + + | => chisel / Compile / compileIncremental 5s + + + + + + | => chisel / Compile / compileIncremental 5s + + + + + + | => chisel / Compile / compileIncremental 5s + + + + + + | => chisel / Compile / compileIncremental 5s + + + + + + | => chisel / Compile / compileIncremental 5s + + + + + + | => chisel / Compile / compileIncremental 5s + + + + + + | => chisel / Compile / compileIncremental 6s + + + + + + | => chisel / Compile / compileIncremental 6s + + + + + + | => chisel / Compile / compileIncremental 6s + + + + + + | => chisel / Compile / compileIncremental 6s + + + + + + | => chisel / Compile / compileIncremental 6s + + + + + + | => chisel / Compile / compileIncremental 6s + + + + + + | => chisel / Compile / compileIncremental 6s + + + + + + | => chisel / Compile / compileIncremental 6s + + + + + + | => chisel / Compile / compileIncremental 6s + + + + + + | => chisel / Compile / compileIncremental 6s + + + + + + | => chisel / Compile / compileIncremental 7s + + + + + + | => chisel / Compile / compileIncremental 7s +[warn] 45 warnings found + + + + + + | => chisel / Compile / compileIncremental 7s + + + + + + | => chisel / Compile / compile 0s + + + + + + | => chisel / Compile / compile 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + | => chisel / Compile / compile 0s +[info] Compiling 1 Scala source to /home/riscvuser/chipyard/sims/firesim/sim/midas/targetutils/target/scala-2.12/classes ... + + + + + + | => chisel / Compile / compile 0s + + + + + + | => chisel / Compile / packageBin 0s + | => targetutils / Compile / compileIncremental 0s +[info] Non-compiled module 'compiler-bridge_2.12' for Scala 2.12.4. Compiling... + + + + + + | => chisel / Compile / packageBin 0s + | => targetutils / Compile / compileIncremental 0s + + + + + + + | => targetutils / Compile / compileIncremental 0s + + + + + + + | => targetutils / Compile / compileIncremental 0s + + + + + + + | => targetutils / Compile / compileIncremental 0s + + + + + + + | => targetutils / Compile / compileIncremental 0s + + + + + + + | => targetutils / Compile / compileIncremental 0s + + + + + + + | => targetutils / Compile / compileIncremental 0s + + + + + + + | => targetutils / Compile / compileIncremental 0s + + + + + + + | => targetutils / Compile / compileIncremental 0s + + + + + + + | => targetutils / Compile / compileIncremental 0s + + + + + + + | => targetutils / Compile / compileIncremental 1s + + + + + + + | => targetutils / Compile / compileIncremental 1s + + + + + + + | => targetutils / Compile / compileIncremental 1s + + + + + + + | => targetutils / Compile / compileIncremental 1s + + + + + + + | => targetutils / Compile / compileIncremental 1s + + + + + + + | => targetutils / Compile / compileIncremental 1s + + + + + + + | => targetutils / Compile / compileIncremental 1s + + + + + + + | => targetutils / Compile / compileIncremental 1s + + + + + + + | => targetutils / Compile / compileIncremental 1s + + + + + + + | => targetutils / Compile / compileIncremental 1s + + + + + + + | => targetutils / Compile / compileIncremental 2s + + + + + + + | => targetutils / Compile / compileIncremental 2s + + + + + + + | => targetutils / Compile / compileIncremental 2s + + + + + + + | => targetutils / Compile / compileIncremental 2s + + + + + + + | => targetutils / Compile / compileIncremental 2s + + + + + + + | => targetutils / Compile / compileIncremental 2s + + + + + + + | => targetutils / Compile / compileIncremental 2s + + + + + + + | => targetutils / Compile / compileIncremental 2s + + + + + + + | => targetutils / Compile / compileIncremental 2s + + + + + + + | => targetutils / Compile / compileIncremental 2s + + + + + + + | => targetutils / Compile / compileIncremental 3s + + + + + + + | => targetutils / Compile / compileIncremental 3s + + + + + + + | => targetutils / Compile / compileIncremental 3s + + + + + + + | => targetutils / Compile / compileIncremental 3s + + + + + + + | => targetutils / Compile / compileIncremental 3s + + + + + + + | => targetutils / Compile / compileIncremental 3s + + + + + + + | => targetutils / Compile / compileIncremental 3s + + + + + + + | => targetutils / Compile / compileIncremental 3s + + + + + + + | => targetutils / Compile / compileIncremental 3s + + + + + + + | => targetutils / Compile / compileIncremental 3s + + + + + + + | => targetutils / Compile / compileIncremental 4s + + + + + + + | => targetutils / Compile / compileIncremental 4s + + + + + + + | => targetutils / Compile / compileIncremental 4s + + + + + + + | => targetutils / Compile / compileIncremental 4s + + + + + + + | => targetutils / Compile / compileIncremental 4s + + + + + + + | => targetutils / Compile / compileIncremental 4s + + + + + + + | => targetutils / Compile / compileIncremental 4s + + + + + + + | => targetutils / Compile / compileIncremental 4s + + + + + + + | => targetutils / Compile / compileIncremental 4s + + + + + + + | => targetutils / Compile / compileIncremental 4s + + + + + + + | => targetutils / Compile / compileIncremental 5s + + + + + + + | => targetutils / Compile / compileIncremental 5s + + + + + + + | => targetutils / Compile / compileIncremental 5s + + + + + + + | => targetutils / Compile / compileIncremental 5s + + + + + + + | => targetutils / Compile / compileIncremental 5s + + + + + + + | => targetutils / Compile / compileIncremental 5s + + + + + + + | => targetutils / Compile / compileIncremental 5s + + + + + + + | => targetutils / Compile / compileIncremental 5s + + + + + + + | => targetutils / Compile / compileIncremental 5s + + + + + + + | => targetutils / Compile / compileIncremental 5s + + + + + + + | => targetutils / Compile / compileIncremental 6s + + + + + + + | => targetutils / Compile / compileIncremental 6s + + + + + + + | => targetutils / Compile / compileIncremental 6s + + + + + + + | => targetutils / Compile / compileIncremental 6s + + + + + + + | => targetutils / Compile / compileIncremental 6s + + + + + + + | => targetutils / Compile / compileIncremental 6s + + + + + + + | => targetutils / Compile / compileIncremental 6s + + + + + + + | => targetutils / Compile / compileIncremental 6s + + + + + + + | => targetutils / Compile / compileIncremental 6s + + + + + + + | => targetutils / Compile / compileIncremental 6s + + + + + + + | => targetutils / Compile / compileIncremental 7s + + + + + + + | => targetutils / Compile / compileIncremental 7s + + + + + + + | => targetutils / Compile / compileIncremental 7s + + + + + + + | => targetutils / Compile / compileIncremental 7s + + + + + + + | => targetutils / Compile / compileIncremental 7s + + + + + + + | => targetutils / Compile / compileIncremental 7s + + + + + + + | => targetutils / Compile / compileIncremental 7s + + + + + + + | => targetutils / Compile / compileIncremental 7s + + + + + + + | => targetutils / Compile / compileIncremental 7s + + + + + + + | => targetutils / Compile / compileIncremental 7s + + + + + + + | => targetutils / Compile / compileIncremental 8s + + + + + + + | => targetutils / Compile / compileIncremental 8s + + + + + + + | => targetutils / Compile / compileIncremental 8s + + + + + + + | => targetutils / Compile / compileIncremental 8s + + + + + + + | => targetutils / Compile / compileIncremental 8s + + + + + + + | => targetutils / Compile / compileIncremental 8s + + + + + + + | => targetutils / Compile / compileIncremental 8s + + + + + + + | => targetutils / Compile / compileIncremental 8s + + + + + + + | => targetutils / Compile / compileIncremental 8s + + + + + + + | => targetutils / Compile / compileIncremental 9s + + + + + + + | => targetutils / Compile / compileIncremental 9s + + + + + + + | => targetutils / Compile / compileIncremental 9s + + + + + + + | => targetutils / Compile / compileIncremental 9s + + + + + + + | => targetutils / Compile / compileIncremental 9s + + + + + + + | => targetutils / Compile / compileIncremental 9s + + + + + + + | => targetutils / Compile / compileIncremental 9s + + + + + + + | => targetutils / Compile / compileIncremental 9s + + + + + + + | => targetutils / Compile / compileIncremental 9s + + + + + + + | => targetutils / Compile / compileIncremental 9s + + + + + + + | => targetutils / Compile / compileIncremental 10s + + + + + + + | => targetutils / Compile / compileIncremental 10s + + + + + + + | => targetutils / Compile / compileIncremental 10s + + + + + + + | => targetutils / Compile / compileIncremental 10s + + + + + + + | => targetutils / Compile / compileIncremental 10s + + + + + + + | => targetutils / Compile / compileIncremental 10s + + + + + + + | => targetutils / Compile / compileIncremental 10s + + + + + + + | => targetutils / Compile / compileIncremental 10s + + + + + + + | => targetutils / Compile / compileIncremental 10s + + + + + + + | => targetutils / Compile / compileIncremental 10s + + + + + + + | => targetutils / Compile / compileIncremental 11s + + + + + + + | => targetutils / Compile / compileIncremental 11s + + + + + + + | => targetutils / Compile / compileIncremental 11s + + + + + + + | => targetutils / Compile / compileIncremental 11s + + + + + + + | => targetutils / Compile / compileIncremental 11s + + + + + + + | => targetutils / Compile / compileIncremental 11s + + + + + + + | => targetutils / Compile / compileIncremental 11s + + + + + + + | => targetutils / Compile / compileIncremental 11s + + + + + + + | => targetutils / Compile / compileIncremental 11s + + + + + + + | => targetutils / Compile / compileIncremental 11s + + + + + + + | => targetutils / Compile / compileIncremental 12s + + + + + + + | => targetutils / Compile / compileIncremental 12s + + + + + + + | => targetutils / Compile / compileIncremental 12s + + + + + + + | => targetutils / Compile / compileIncremental 12s + + + + + + + | => targetutils / Compile / compileIncremental 12s + + + + + + + | => targetutils / Compile / compileIncremental 12s + + + + + + + | => targetutils / Compile / compileIncremental 12s + + + + + + + | => targetutils / Compile / compileIncremental 12s + + + + + + + | => targetutils / Compile / compileIncremental 12s + + + + + + + | => targetutils / Compile / compileIncremental 12s + + + + + + + | => targetutils / Compile / compileIncremental 13s + + + + + + + | => targetutils / Compile / compileIncremental 13s + + + + + + + | => targetutils / Compile / compileIncremental 13s + + + + + + + | => targetutils / Compile / compileIncremental 13s + + + + + + + | => targetutils / Compile / compileIncremental 13s + + + + + + + | => targetutils / Compile / compileIncremental 13s + + + + + + + | => targetutils / Compile / compileIncremental 13s +[info]  Compilation completed in 13.551s. + + + + + + | => targetutils / Compile / compileIncremental 13s + + + + + + | => targetutils / Compile / compileIncremental 13s + + + + + + | => targetutils / Compile / compileIncremental 13s + + + + + + | => targetutils / Compile / compileIncremental 13s + + + + + + | => targetutils / Compile / compileIncremental 14s + + + + + + | => targetutils / Compile / compileIncremental 14s + + + + + + | => targetutils / Compile / compileIncremental 14s + + + + + + | => targetutils / Compile / compileIncremental 14s + + + + + + | => targetutils / Compile / compileIncremental 14s + + + + + + | => targetutils / Compile / compileIncremental 14s + + + + + + | => targetutils / Compile / compileIncremental 14s + + + + + + | => targetutils / Compile / compileIncremental 14s + + + + + + | => targetutils / Compile / compileIncremental 14s + + + + + + | => targetutils / Compile / compileIncremental 14s + + + + + + | => targetutils / Compile / compileIncremental 15s + + + + + + | => targetutils / Compile / compileIncremental 15s + + + + + + | => targetutils / Compile / compileIncremental 15s + + + + + + | => targetutils / Compile / compileIncremental 15s + + + + + + | => targetutils / Compile / compileIncremental 15s + + + + + + | => targetutils / Compile / compileIncremental 15s + + + + + + | => targetutils / Compile / compileIncremental 15s + + + + + + | => targetutils / Compile / compileIncremental 15s + + + + + + | => targetutils / Compile / compileIncremental 15s + + + + + + | => targetutils / Compile / compileIncremental 15s + + + + + + | => targetutils / Compile / compileIncremental 16s + + + + + + | => targetutils / Compile / compileIncremental 16s + + + + + + | => targetutils / Compile / compileIncremental 16s + + + + + + | => targetutils / Compile / compileIncremental 16s + + + + + + | => targetutils / Compile / compileIncremental 16s + + + + + + | => targetutils / Compile / compileIncremental 16s + + + + + + | => targetutils / Compile / packageBin 0s +[info] Compiling 27 Scala sources to /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/target/scala-2.12/classes ... + + + + + + | => targetutils / Compile / packageBin 0s + + + + + + | => hardfloat / Compile / compileIncremental 0s +[info] Non-compiled module 'compiler-bridge_2.12' for Scala 2.12.8. Compiling... + + + + + + | => hardfloat / Compile / compileIncremental 0s + + + + + + | => hardfloat / Compile / compileIncremental 0s + + + + + + | => hardfloat / Compile / compileIncremental 0s + + + + + + | => hardfloat / Compile / compileIncremental 0s + + + + + + | => hardfloat / Compile / compileIncremental 0s + + + + + + | => hardfloat / Compile / compileIncremental 0s + + + + + + | => hardfloat / Compile / compileIncremental 0s + + + + + + | => hardfloat / Compile / compileIncremental 0s + + + + + + | => hardfloat / Compile / compileIncremental 0s + + + + + + | => hardfloat / Compile / compileIncremental 0s + + + + + + | => hardfloat / Compile / compileIncremental 1s + + + + + + | => hardfloat / Compile / compileIncremental 1s + + + + + + | => hardfloat / Compile / compileIncremental 1s + + + + + + | => hardfloat / Compile / compileIncremental 1s + + + + + + | => hardfloat / Compile / compileIncremental 1s + + + + + + | => hardfloat / Compile / compileIncremental 1s + + + + + + | => hardfloat / Compile / compileIncremental 1s + + + + + + | => hardfloat / Compile / compileIncremental 1s + + + + + + | => hardfloat / Compile / compileIncremental 1s + + + + + + | => hardfloat / Compile / compileIncremental 1s + + + + + + | => hardfloat / Compile / compileIncremental 2s + + + + + + | => hardfloat / Compile / compileIncremental 2s + + + + + + | => hardfloat / Compile / compileIncremental 2s + + + + + + | => hardfloat / Compile / compileIncremental 2s + + + + + + | => hardfloat / Compile / compileIncremental 2s + + + + + + | => hardfloat / Compile / compileIncremental 2s + + + + + + | => hardfloat / Compile / compileIncremental 2s + + + + + + | => hardfloat / Compile / compileIncremental 2s + + + + + + | => hardfloat / Compile / compileIncremental 2s + + + + + + | => hardfloat / Compile / compileIncremental 2s + + + + + + | => hardfloat / Compile / compileIncremental 3s + + + + + + | => hardfloat / Compile / compileIncremental 3s + + + + + + | => hardfloat / Compile / compileIncremental 3s + + + + + + | => hardfloat / Compile / compileIncremental 3s + + + + + + | => hardfloat / Compile / compileIncremental 3s + + + + + + | => hardfloat / Compile / compileIncremental 3s + + + + + + | => hardfloat / Compile / compileIncremental 3s + + + + + + | => hardfloat / Compile / compileIncremental 3s + + + + + + | => hardfloat / Compile / compileIncremental 3s + + + + + + | => hardfloat / Compile / compileIncremental 3s + + + + + + | => hardfloat / Compile / compileIncremental 4s + + + + + + | => hardfloat / Compile / compileIncremental 4s + + + + + + | => hardfloat / Compile / compileIncremental 4s + + + + + + | => hardfloat / Compile / compileIncremental 4s + + + + + + | => hardfloat / Compile / compileIncremental 4s + + + + + + | => hardfloat / Compile / compileIncremental 4s + + + + + + | => hardfloat / Compile / compileIncremental 4s + + + + + + | => hardfloat / Compile / compileIncremental 4s + + + + + + | => hardfloat / Compile / compileIncremental 4s + + + + + + | => hardfloat / Compile / compileIncremental 5s + + + + + + | => hardfloat / Compile / compileIncremental 5s + + + + + + | => hardfloat / Compile / compileIncremental 5s + + + + + + | => hardfloat / Compile / compileIncremental 5s + + + + + + | => hardfloat / Compile / compileIncremental 5s + + + + + + | => hardfloat / Compile / compileIncremental 5s + + + + + + | => hardfloat / Compile / compileIncremental 5s + + + + + + | => hardfloat / Compile / compileIncremental 5s + + + + + + | => hardfloat / Compile / compileIncremental 5s + + + + + + | => hardfloat / Compile / compileIncremental 6s + + + + + + | => hardfloat / Compile / compileIncremental 6s + + + + + + | => hardfloat / Compile / compileIncremental 6s + + + + + + | => hardfloat / Compile / compileIncremental 6s + + + + + + | => hardfloat / Compile / compileIncremental 6s + + + + + + | => hardfloat / Compile / compileIncremental 6s + + + + + + | => hardfloat / Compile / compileIncremental 6s + + + + + + | => hardfloat / Compile / compileIncremental 6s + + + + + + | => hardfloat / Compile / compileIncremental 6s + + + + + + | => hardfloat / Compile / compileIncremental 6s + + + + + + | => hardfloat / Compile / compileIncremental 7s + + + + + + | => hardfloat / Compile / compileIncremental 7s + + + + + + | => hardfloat / Compile / compileIncremental 7s + + + + + + | => hardfloat / Compile / compileIncremental 7s + + + + + + | => hardfloat / Compile / compileIncremental 7s + + + + + + | => hardfloat / Compile / compileIncremental 7s + + + + + + | => hardfloat / Compile / compileIncremental 7s + + + + + + | => hardfloat / Compile / compileIncremental 7s + + + + + + | => hardfloat / Compile / compileIncremental 7s + + + + + + | => hardfloat / Compile / compileIncremental 7s + + + + + + | => hardfloat / Compile / compileIncremental 8s + + + + + + | => hardfloat / Compile / compileIncremental 8s + + + + + + | => hardfloat / Compile / compileIncremental 8s + + + + + + | => hardfloat / Compile / compileIncremental 8s + + + + + + | => hardfloat / Compile / compileIncremental 8s + + + + + + | => hardfloat / Compile / compileIncremental 8s + + + + + + | => hardfloat / Compile / compileIncremental 8s + + + + + + | => hardfloat / Compile / compileIncremental 8s + + + + + + | => hardfloat / Compile / compileIncremental 8s + + + + + + | => hardfloat / Compile / compileIncremental 8s + + + + + + | => hardfloat / Compile / compileIncremental 9s + + + + + + | => hardfloat / Compile / compileIncremental 9s + + + + + + | => hardfloat / Compile / compileIncremental 9s + + + + + + | => hardfloat / Compile / compileIncremental 9s + + + + + + | => hardfloat / Compile / compileIncremental 9s + + + + + + | => hardfloat / Compile / compileIncremental 9s + + + + + + | => hardfloat / Compile / compileIncremental 9s + + + + + + | => hardfloat / Compile / compileIncremental 9s + + + + + + | => hardfloat / Compile / compileIncremental 9s + + + + + + | => hardfloat / Compile / compileIncremental 9s + + + + + + | => hardfloat / Compile / compileIncremental 10s + + + + + + | => hardfloat / Compile / compileIncremental 10s + + + + + + | => hardfloat / Compile / compileIncremental 10s + + + + + + | => hardfloat / Compile / compileIncremental 10s + + + + + + | => hardfloat / Compile / compileIncremental 10s + + + + + + | => hardfloat / Compile / compileIncremental 10s + + + + + + | => hardfloat / Compile / compileIncremental 10s + + + + + + | => hardfloat / Compile / compileIncremental 10s + + + + + + | => hardfloat / Compile / compileIncremental 10s + + + + + + | => hardfloat / Compile / compileIncremental 10s + + + + + + | => hardfloat / Compile / compileIncremental 11s + + + + + + | => hardfloat / Compile / compileIncremental 11s + + + + + + | => hardfloat / Compile / compileIncremental 11s + + + + + + | => hardfloat / Compile / compileIncremental 11s + + + + + + | => hardfloat / Compile / compileIncremental 11s + + + + + + | => hardfloat / Compile / compileIncremental 11s + + + + + + | => hardfloat / Compile / compileIncremental 11s + + + + + + | => hardfloat / Compile / compileIncremental 11s + + + + + + | => hardfloat / Compile / compileIncremental 11s + + + + + + | => hardfloat / Compile / compileIncremental 12s + + + + + + | => hardfloat / Compile / compileIncremental 12s + + + + + + | => hardfloat / Compile / compileIncremental 12s + + + + + + | => hardfloat / Compile / compileIncremental 12s + + + + + + | => hardfloat / Compile / compileIncremental 12s + + + + + + | => hardfloat / Compile / compileIncremental 12s + + + + + + | => hardfloat / Compile / compileIncremental 12s + + + + + + | => hardfloat / Compile / compileIncremental 12s + + + + + + | => hardfloat / Compile / compileIncremental 12s + + + + + + | => hardfloat / Compile / compileIncremental 12s + + + + + + | => hardfloat / Compile / compileIncremental 13s + + + + + + | => hardfloat / Compile / compileIncremental 13s + + + + + + | => hardfloat / Compile / compileIncremental 13s + + + + + + | => hardfloat / Compile / compileIncremental 13s + + + + + + | => hardfloat / Compile / compileIncremental 13s + + + + + + | => hardfloat / Compile / compileIncremental 13s + + + + + + | => hardfloat / Compile / compileIncremental 13s + + + + + + | => hardfloat / Compile / compileIncremental 13s + + + + + + | => hardfloat / Compile / compileIncremental 13s + + + + + + | => hardfloat / Compile / compileIncremental 13s + + + + + + | => hardfloat / Compile / compileIncremental 14s + + + + + + | => hardfloat / Compile / compileIncremental 14s + + + + + + | => hardfloat / Compile / compileIncremental 14s + + + + + + | => hardfloat / Compile / compileIncremental 14s + + + + + + | => hardfloat / Compile / compileIncremental 14s +[info]  Compilation completed in 14.398s. + + + + + + | => hardfloat / Compile / compileIncremental 14s + + + + + + | => hardfloat / Compile / compileIncremental 14s + + + + + + | => hardfloat / Compile / compileIncremental 14s + + + + + + | => hardfloat / Compile / compileIncremental 14s + + + + + + | => hardfloat / Compile / compileIncremental 14s + + + + + + | => hardfloat / Compile / compileIncremental 14s + + + + + + | => hardfloat / Compile / compileIncremental 15s + + + + + + | => hardfloat / Compile / compileIncremental 15s + + + + + + | => hardfloat / Compile / compileIncremental 15s + + + + + + | => hardfloat / Compile / compileIncremental 15s + + + + + + | => hardfloat / Compile / compileIncremental 15s + + + + + + | => hardfloat / Compile / compileIncremental 15s + + + + + + | => hardfloat / Compile / compileIncremental 15s + + + + + + | => hardfloat / Compile / compileIncremental 15s + + + + + + | => hardfloat / Compile / compileIncremental 15s + + + + + + | => hardfloat / Compile / compileIncremental 15s + + + + + + | => hardfloat / Compile / compileIncremental 16s + + + + + + | => hardfloat / Compile / compileIncremental 16s + + + + + + | => hardfloat / Compile / compileIncremental 16s + + + + + + | => hardfloat / Compile / compileIncremental 16s + + + + + + | => hardfloat / Compile / compileIncremental 16s + + + + + + | => hardfloat / Compile / compileIncremental 16s + + + + + + | => hardfloat / Compile / compileIncremental 16s + + + + + + | => hardfloat / Compile / compileIncremental 16s + + + + + + | => hardfloat / Compile / compileIncremental 16s + + + + + + | => hardfloat / Compile / compileIncremental 16s + + + + + + | => hardfloat / Compile / compileIncremental 17s + + + + + + | => hardfloat / Compile / compileIncremental 17s + + + + + + | => hardfloat / Compile / compileIncremental 17s + + + + + + | => hardfloat / Compile / compileIncremental 17s + + + + + + | => hardfloat / Compile / compileIncremental 17s + + + + + + | => hardfloat / Compile / compileIncremental 17s + + + + + + | => hardfloat / Compile / compileIncremental 17s + + + + + + | => hardfloat / Compile / compileIncremental 17s + + + + + + | => hardfloat / Compile / compileIncremental 17s + + + + + + | => hardfloat / Compile / compileIncremental 17s + + + + + + | => hardfloat / Compile / compileIncremental 18s + + + + + + | => hardfloat / Compile / compileIncremental 18s + + + + + + | => hardfloat / Compile / compileIncremental 18s + + + + + + | => hardfloat / Compile / compileIncremental 18s + + + + + + | => hardfloat / Compile / compileIncremental 18s + + + + + + | => hardfloat / Compile / compileIncremental 18s + + + + + + | => hardfloat / Compile / compileIncremental 18s + + + + + + | => hardfloat / Compile / compileIncremental 18s + + + + + + | => hardfloat / Compile / compileIncremental 18s + + + + + + | => hardfloat / Compile / compileIncremental 18s + + + + + + | => hardfloat / Compile / compileIncremental 19s + + + + + + | => hardfloat / Compile / compileIncremental 19s + + + + + + | => hardfloat / Compile / compileIncremental 19s + + + + + + | => hardfloat / Compile / compileIncremental 19s + + + + + + | => hardfloat / Compile / compileIncremental 19s + + + + + + | => hardfloat / Compile / compileIncremental 19s + + + + + + | => hardfloat / Compile / compileIncremental 19s + + + + + + | => hardfloat / Compile / compileIncremental 19s + + + + + + | => hardfloat / Compile / compileIncremental 19s + + + + + + | => hardfloat / Compile / compileIncremental 19s + + + + + + | => hardfloat / Compile / compileIncremental 20s + + + + + + | => hardfloat / Compile / compileIncremental 20s + + + + + + | => hardfloat / Compile / compileIncremental 20s + + + + + + | => hardfloat / Compile / compileIncremental 20s + + + + + + | => hardfloat / Compile / compileIncremental 20s + + + + + + | => hardfloat / Compile / compileIncremental 20s + + + + + + | => hardfloat / Compile / compileIncremental 20s + + + + + + | => hardfloat / Compile / compileIncremental 20s + + + + + + | => hardfloat / Compile / compileIncremental 20s + + + + + + | => hardfloat / Compile / compileIncremental 20s + + + + + + | => hardfloat / Compile / compileIncremental 21s + + + + + + | => hardfloat / Compile / compileIncremental 21s + + + + + + | => hardfloat / Compile / compileIncremental 21s + + + + + + | => hardfloat / Compile / compileIncremental 21s + + + + + + | => hardfloat / Compile / compileIncremental 21s + + + + + + | => hardfloat / Compile / compileIncremental 21s + + + + + + | => hardfloat / Compile / compileIncremental 21s + + + + + + | => hardfloat / Compile / compileIncremental 21s + + + + + + | => hardfloat / Compile / compileIncremental 21s + + + + + + | => hardfloat / Compile / compileIncremental 21s + + + + + + | => hardfloat / Compile / compileIncremental 22s + + + + + + | => hardfloat / Compile / compileIncremental 22s + + + + + + | => hardfloat / Compile / compileIncremental 22s + + + + + + | => hardfloat / Compile / compileIncremental 22s + + + + + + | => hardfloat / Compile / compileIncremental 22s + + + + + + | => hardfloat / Compile / compileIncremental 22s + + + + + + | => hardfloat / Compile / compileIncremental 22s + + + + + + | => hardfloat / Compile / compileIncremental 22s + + + + + + | => hardfloat / Compile / compileIncremental 22s + + + + + + | => hardfloat / Compile / compileIncremental 22s + + + + + + | => hardfloat / Compile / compileIncremental 23s + + + + + + | => hardfloat / Compile / compileIncremental 23s + + + + + + | => hardfloat / Compile / compileIncremental 23s + + + + + + | => hardfloat / Compile / compileIncremental 23s + + + + + + | => hardfloat / Compile / compileIncremental 23s + + + + + + | => hardfloat / Compile / compileIncremental 23s + + + + + + | => hardfloat / Compile / compileIncremental 23s + + + + + + | => hardfloat / Compile / compileIncremental 23s + + + + + + | => hardfloat / Compile / compileIncremental 23s + + + + + + | => hardfloat / Compile / compileIncremental 23s + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:62:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_f16FromRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:62:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_f16FromRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:64:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_f32FromRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:64:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_f32FromRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:66:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_f64FromRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:66:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_f64FromRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:68:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI32ToRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:68:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI32ToRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:70:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI32ToRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:70:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI32ToRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:72:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI32ToRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:72:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI32ToRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:74:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI64ToRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:74:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI64ToRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:76:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI64ToRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:76:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI64ToRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:78:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI64ToRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:78:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI64ToRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:80:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I32ToRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:80:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I32ToRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:82:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I32ToRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:82:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I32ToRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:84:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I32ToRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:84:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I32ToRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:86:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I64ToRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:86:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I64ToRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:88:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I64ToRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:88:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I64ToRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:90:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I64ToRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:90:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I64ToRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:92:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToUI32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:92:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToUI32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:94:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToUI64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:94:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToUI64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:96:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToUI32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:96:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToUI32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:98:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToUI64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:98:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToUI64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:100:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToUI32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:100:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToUI32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:102:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToUI64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:102:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToUI64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:104:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToI32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:104:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToI32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:106:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToI64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:106:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToI64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:108:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToI32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:108:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToI32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:110:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToI64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:110:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToI64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:112:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToI32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:112:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToI32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:114:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToI64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:114:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToI64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:116:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:116:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:118:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:118:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:120:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:120:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:122:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:122:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:124:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:124:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:126:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:126:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:128:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:128:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:131:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:131:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:134:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_MulAddRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:134:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_MulAddRecF16) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:136:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:136:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:139:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:139:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:142:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_MulAddRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:142:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_MulAddRecF32) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:144:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:144:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:147:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:147:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:150:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_MulAddRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:150:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_MulAddRecF64) + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:152:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:152:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:156:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:156:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:161:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:161:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:165:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:165:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:170:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:170:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:174:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:174:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:179:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:179:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:182:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:182:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:185:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:185:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:188:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:188:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:191:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:191:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:194:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:194:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:197:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:197:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:200:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:200:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:203:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:203:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:206:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:206:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:209:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:209:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  chisel3.Driver.execute( + + + + + + | => hardfloat / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => hardfloat / Compile / compileIncremental 24s + + + + + + | => hardfloat / Compile / compileIncremental 24s + + + + + + | => hardfloat / Compile / compileIncremental 24s + + + + + + | => hardfloat / Compile / compileIncremental 24s + + + + + + | => hardfloat / Compile / compileIncremental 24s + + + + + + | => hardfloat / Compile / compileIncremental 24s + + + + + + | => hardfloat / Compile / compileIncremental 24s + + + + + + | => hardfloat / Compile / compileIncremental 24s + + + + + + | => hardfloat / Compile / compileIncremental 24s + + + + + + | => hardfloat / Compile / compileIncremental 25s + + + + + + | => hardfloat / Compile / compileIncremental 25s + + + + + + | => hardfloat / Compile / compileIncremental 25s + + + + + + | => hardfloat / Compile / compileIncremental 25s + + + + + + | => hardfloat / Compile / compileIncremental 25s + + + + + + | => hardfloat / Compile / compileIncremental 25s + + + + + + | => hardfloat / Compile / compileIncremental 25s + + + + + + | => hardfloat / Compile / compileIncremental 25s + + + + + + | => hardfloat / Compile / compileIncremental 25s + + + + + + | => hardfloat / Compile / compileIncremental 25s + + + + + + | => hardfloat / Compile / compileIncremental 26s + + + + + + | => hardfloat / Compile / compileIncremental 26s + + + + + + | => hardfloat / Compile / compileIncremental 26s + + + + + + | => hardfloat / Compile / compileIncremental 26s + + + + + + | => hardfloat / Compile / compileIncremental 26s + + + + + + | => hardfloat / Compile / compileIncremental 26s + + + + + + | => hardfloat / Compile / compileIncremental 26s + + + + + + | => hardfloat / Compile / compileIncremental 26s + + + + + + | => hardfloat / Compile / compileIncremental 26s + + + + + + | => hardfloat / Compile / compileIncremental 26s + + + + + + | => hardfloat / Compile / compileIncremental 27s + + + + + + | => hardfloat / Compile / compileIncremental 27s + + + + + + | => hardfloat / Compile / compileIncremental 27s + + + + + + | => hardfloat / Compile / compileIncremental 27s + + + + + + | => hardfloat / Compile / compileIncremental 27s + + + + + + | => hardfloat / Compile / compileIncremental 27s + + + + + + | => hardfloat / Compile / compileIncremental 27s + + + + + + | => hardfloat / Compile / compileIncremental 27s + + + + + + | => hardfloat / Compile / compileIncremental 27s + + + + + + | => hardfloat / Compile / compileIncremental 27s + + + + + + | => hardfloat / Compile / compileIncremental 28s + + + + + + | => hardfloat / Compile / compileIncremental 28s + + + + + + | => hardfloat / Compile / compileIncremental 28s + + + + + + | => hardfloat / Compile / compileIncremental 28s + + + + + + | => hardfloat / Compile / compileIncremental 28s + + + + + + | => hardfloat / Compile / compileIncremental 28s + + + + + + | => hardfloat / Compile / compileIncremental 28s +[warn] there were 890 feature warnings; re-run with -feature for details + + + + + + | => hardfloat / Compile / compileIncremental 28s +[warn] 119 warnings found + + + + + + | => hardfloat / Compile / compileIncremental 28s + + + + + + | => hardfloat / Compile / compile 0s + + + + + + | => hardfloat / Compile / packageBin 0s +[info] Compiling 345 Scala sources to /home/riscvuser/chipyard/generators/rocket-chip/src/target/scala-2.12/classes ... + + + + + + | => hardfloat / Compile / packageBin 0s + + + + + + | => rocketchip / Compile / compileIncremental 0s + + + + + + | => rocketchip / Compile / compileIncremental 0s + + + + + + | => rocketchip / Compile / compileIncremental 0s + + + + + + | => rocketchip / Compile / compileIncremental 0s + + + + + + | => rocketchip / Compile / compileIncremental 0s + + + + + + | => rocketchip / Compile / compileIncremental 0s + + + + + + | => rocketchip / Compile / compileIncremental 0s + + + + + + | => rocketchip / Compile / compileIncremental 0s + + + + + + | => rocketchip / Compile / compileIncremental 0s + + + + + + | => rocketchip / Compile / compileIncremental 0s + + + + + + | => rocketchip / Compile / compileIncremental 1s + + + + + + | => rocketchip / Compile / compileIncremental 1s + + + + + + | => rocketchip / Compile / compileIncremental 1s + + + + + + | => rocketchip / Compile / compileIncremental 1s + + + + + + | => rocketchip / Compile / compileIncremental 1s + + + + + + | => rocketchip / Compile / compileIncremental 1s + + + + + + | => rocketchip / Compile / compileIncremental 1s + + + + + + | => rocketchip / Compile / compileIncremental 1s + + + + + + | => rocketchip / Compile / compileIncremental 1s + + + + + + | => rocketchip / Compile / compileIncremental 1s + + + + + + | => rocketchip / Compile / compileIncremental 2s + + + + + + | => rocketchip / Compile / compileIncremental 2s + + + + + + | => rocketchip / Compile / compileIncremental 2s + + + + + + | => rocketchip / Compile / compileIncremental 2s + + + + + + | => rocketchip / Compile / compileIncremental 2s + + + + + + | => rocketchip / Compile / compileIncremental 2s + + + + + + | => rocketchip / Compile / compileIncremental 2s + + + + + + | => rocketchip / Compile / compileIncremental 2s + + + + + + | => rocketchip / Compile / compileIncremental 2s + + + + + + | => rocketchip / Compile / compileIncremental 3s + + + + + + | => rocketchip / Compile / compileIncremental 3s + + + + + + | => rocketchip / Compile / compileIncremental 3s + + + + + + | => rocketchip / Compile / compileIncremental 3s + + + + + + | => rocketchip / Compile / compileIncremental 3s + + + + + + | => rocketchip / Compile / compileIncremental 3s + + + + + + | => rocketchip / Compile / compileIncremental 3s + + + + + + | => rocketchip / Compile / compileIncremental 3s + + + + + + | => rocketchip / Compile / compileIncremental 3s + + + + + + | => rocketchip / Compile / compileIncremental 3s + + + + + + | => rocketchip / Compile / compileIncremental 4s + + + + + + | => rocketchip / Compile / compileIncremental 4s + + + + + + | => rocketchip / Compile / compileIncremental 4s + + + + + + | => rocketchip / Compile / compileIncremental 4s + + + + + + | => rocketchip / Compile / compileIncremental 4s + + + + + + | => rocketchip / Compile / compileIncremental 4s + + + + + + | => rocketchip / Compile / compileIncremental 4s + + + + + + | => rocketchip / Compile / compileIncremental 4s + + + + + + | => rocketchip / Compile / compileIncremental 4s + + + + + + | => rocketchip / Compile / compileIncremental 4s + + + + + + | => rocketchip / Compile / compileIncremental 5s + + + + + + | => rocketchip / Compile / compileIncremental 5s + + + + + + | => rocketchip / Compile / compileIncremental 5s + + + + + + | => rocketchip / Compile / compileIncremental 5s + + + + + + | => rocketchip / Compile / compileIncremental 5s + + + + + + | => rocketchip / Compile / compileIncremental 5s + + + + + + | => rocketchip / Compile / compileIncremental 5s + + + + + + | => rocketchip / Compile / compileIncremental 5s + + + + + + | => rocketchip / Compile / compileIncremental 5s + + + + + + | => rocketchip / Compile / compileIncremental 5s + + + + + + | => rocketchip / Compile / compileIncremental 6s + + + + + + | => rocketchip / Compile / compileIncremental 6s + + + + + + | => rocketchip / Compile / compileIncremental 6s + + + + + + | => rocketchip / Compile / compileIncremental 6s + + + + + + | => rocketchip / Compile / compileIncremental 6s + + + + + + | => rocketchip / Compile / compileIncremental 6s + + + + + + | => rocketchip / Compile / compileIncremental 6s + + + + + + | => rocketchip / Compile / compileIncremental 6s + + + + + + | => rocketchip / Compile / compileIncremental 6s + + + + + + | => rocketchip / Compile / compileIncremental 6s + + + + + + | => rocketchip / Compile / compileIncremental 7s + + + + + + | => rocketchip / Compile / compileIncremental 7s + + + + + + | => rocketchip / Compile / compileIncremental 7s + + + + + + | => rocketchip / Compile / compileIncremental 7s + + + + + + | => rocketchip / Compile / compileIncremental 7s + + + + + + | => rocketchip / Compile / compileIncremental 7s + + + + + + | => rocketchip / Compile / compileIncremental 7s + + + + + + | => rocketchip / Compile / compileIncremental 7s + + + + + + | => rocketchip / Compile / compileIncremental 7s + + + + + + | => rocketchip / Compile / compileIncremental 7s + + + + + + | => rocketchip / Compile / compileIncremental 8s + + + + + + | => rocketchip / Compile / compileIncremental 8s + + + + + + | => rocketchip / Compile / compileIncremental 8s + + + + + + | => rocketchip / Compile / compileIncremental 8s + + + + + + | => rocketchip / Compile / compileIncremental 8s + + + + + + | => rocketchip / Compile / compileIncremental 8s + + + + + + | => rocketchip / Compile / compileIncremental 8s + + + + + + | => rocketchip / Compile / compileIncremental 8s + + + + + + | => rocketchip / Compile / compileIncremental 8s + + + + + + | => rocketchip / Compile / compileIncremental 8s + + + + + + | => rocketchip / Compile / compileIncremental 9s + + + + + + | => rocketchip / Compile / compileIncremental 9s + + + + + + | => rocketchip / Compile / compileIncremental 9s + + + + + + | => rocketchip / Compile / compileIncremental 9s + + + + + + | => rocketchip / Compile / compileIncremental 9s + + + + + + | => rocketchip / Compile / compileIncremental 9s + + + + + + | => rocketchip / Compile / compileIncremental 9s + + + + + + | => rocketchip / Compile / compileIncremental 9s + + + + + + | => rocketchip / Compile / compileIncremental 9s + + + + + + | => rocketchip / Compile / compileIncremental 9s + + + + + + | => rocketchip / Compile / compileIncremental 10s + + + + + + | => rocketchip / Compile / compileIncremental 10s + + + + + + | => rocketchip / Compile / compileIncremental 10s + + + + + + | => rocketchip / Compile / compileIncremental 10s + + + + + + | => rocketchip / Compile / compileIncremental 10s + + + + + + | => rocketchip / Compile / compileIncremental 10s + + + + + + | => rocketchip / Compile / compileIncremental 10s + + + + + + | => rocketchip / Compile / compileIncremental 10s + + + + + + | => rocketchip / Compile / compileIncremental 10s + + + + + + | => rocketchip / Compile / compileIncremental 10s + + + + + + | => rocketchip / Compile / compileIncremental 11s + + + + + + | => rocketchip / Compile / compileIncremental 11s + + + + + + | => rocketchip / Compile / compileIncremental 11s + + + + + + | => rocketchip / Compile / compileIncremental 11s + + + + + + | => rocketchip / Compile / compileIncremental 11s + + + + + + | => rocketchip / Compile / compileIncremental 11s + + + + + + | => rocketchip / Compile / compileIncremental 11s + + + + + + | => rocketchip / Compile / compileIncremental 11s + + + + + + | => rocketchip / Compile / compileIncremental 11s + + + + + + | => rocketchip / Compile / compileIncremental 11s + + + + + + | => rocketchip / Compile / compileIncremental 12s + + + + + + | => rocketchip / Compile / compileIncremental 12s + + + + + + | => rocketchip / Compile / compileIncremental 12s + + + + + + | => rocketchip / Compile / compileIncremental 12s + + + + + + | => rocketchip / Compile / compileIncremental 12s + + + + + + | => rocketchip / Compile / compileIncremental 12s + + + + + + | => rocketchip / Compile / compileIncremental 12s + + + + + + | => rocketchip / Compile / compileIncremental 12s + + + + + + | => rocketchip / Compile / compileIncremental 12s + + + + + + | => rocketchip / Compile / compileIncremental 12s + + + + + + | => rocketchip / Compile / compileIncremental 13s + + + + + + | => rocketchip / Compile / compileIncremental 13s + + + + + + | => rocketchip / Compile / compileIncremental 13s + + + + + + | => rocketchip / Compile / compileIncremental 13s + + + + + + | => rocketchip / Compile / compileIncremental 13s + + + + + + | => rocketchip / Compile / compileIncremental 13s + + + + + + | => rocketchip / Compile / compileIncremental 13s + + + + + + | => rocketchip / Compile / compileIncremental 13s + + + + + + | => rocketchip / Compile / compileIncremental 13s + + + + + + | => rocketchip / Compile / compileIncremental 13s + + + + + + | => rocketchip / Compile / compileIncremental 14s + + + + + + | => rocketchip / Compile / compileIncremental 14s + + + + + + | => rocketchip / Compile / compileIncremental 14s + + + + + + | => rocketchip / Compile / compileIncremental 14s + + + + + + | => rocketchip / Compile / compileIncremental 14s + + + + + + | => rocketchip / Compile / compileIncremental 14s + + + + + + | => rocketchip / Compile / compileIncremental 14s + + + + + + | => rocketchip / Compile / compileIncremental 14s + + + + + + | => rocketchip / Compile / compileIncremental 14s + + + + + + | => rocketchip / Compile / compileIncremental 14s + + + + + + | => rocketchip / Compile / compileIncremental 15s + + + + + + | => rocketchip / Compile / compileIncremental 15s + + + + + + | => rocketchip / Compile / compileIncremental 15s + + + + + + | => rocketchip / Compile / compileIncremental 15s + + + + + + | => rocketchip / Compile / compileIncremental 15s + + + + + + | => rocketchip / Compile / compileIncremental 15s + + + + + + | => rocketchip / Compile / compileIncremental 15s + + + + + + | => rocketchip / Compile / compileIncremental 15s + + + + + + | => rocketchip / Compile / compileIncremental 15s + + + + + + | => rocketchip / Compile / compileIncremental 15s + + + + + + | => rocketchip / Compile / compileIncremental 16s + + + + + + | => rocketchip / Compile / compileIncremental 16s + + + + + + | => rocketchip / Compile / compileIncremental 16s + + + + + + | => rocketchip / Compile / compileIncremental 16s + + + + + + | => rocketchip / Compile / compileIncremental 16s + + + + + + | => rocketchip / Compile / compileIncremental 16s + + + + + + | => rocketchip / Compile / compileIncremental 16s + + + + + + | => rocketchip / Compile / compileIncremental 16s + + + + + + | => rocketchip / Compile / compileIncremental 16s + + + + + + | => rocketchip / Compile / compileIncremental 16s + + + + + + | => rocketchip / Compile / compileIncremental 17s + + + + + + | => rocketchip / Compile / compileIncremental 17s + + + + + + | => rocketchip / Compile / compileIncremental 17s + + + + + + | => rocketchip / Compile / compileIncremental 17s + + + + + + | => rocketchip / Compile / compileIncremental 17s + + + + + + | => rocketchip / Compile / compileIncremental 17s + + + + + + | => rocketchip / Compile / compileIncremental 17s + + + + + + | => rocketchip / Compile / compileIncremental 17s + + + + + + | => rocketchip / Compile / compileIncremental 17s + + + + + + | => rocketchip / Compile / compileIncremental 17s + + + + + + | => rocketchip / Compile / compileIncremental 18s + + + + + + | => rocketchip / Compile / compileIncremental 18s + + + + + + | => rocketchip / Compile / compileIncremental 18s + + + + + + | => rocketchip / Compile / compileIncremental 18s + + + + + + | => rocketchip / Compile / compileIncremental 18s + + + + + + | => rocketchip / Compile / compileIncremental 18s + + + + + + | => rocketchip / Compile / compileIncremental 18s + + + + + + | => rocketchip / Compile / compileIncremental 18s + + + + + + | => rocketchip / Compile / compileIncremental 18s + + + + + + | => rocketchip / Compile / compileIncremental 18s + + + + + + | => rocketchip / Compile / compileIncremental 19s + + + + + + | => rocketchip / Compile / compileIncremental 19s + + + + + + | => rocketchip / Compile / compileIncremental 19s + + + + + + | => rocketchip / Compile / compileIncremental 19s + + + + + + | => rocketchip / Compile / compileIncremental 19s + + + + + + | => rocketchip / Compile / compileIncremental 19s + + + + + + | => rocketchip / Compile / compileIncremental 19s + + + + + + | => rocketchip / Compile / compileIncremental 19s + + + + + + | => rocketchip / Compile / compileIncremental 19s + + + + + + | => rocketchip / Compile / compileIncremental 19s + + + + + + | => rocketchip / Compile / compileIncremental 20s + + + + + + | => rocketchip / Compile / compileIncremental 20s + + + + + + | => rocketchip / Compile / compileIncremental 20s + + + + + + | => rocketchip / Compile / compileIncremental 20s + + + + + + | => rocketchip / Compile / compileIncremental 20s + + + + + + | => rocketchip / Compile / compileIncremental 20s + + + + + + | => rocketchip / Compile / compileIncremental 20s + + + + + + | => rocketchip / Compile / compileIncremental 20s + + + + + + | => rocketchip / Compile / compileIncremental 20s + + + + + + | => rocketchip / Compile / compileIncremental 20s + + + + + + | => rocketchip / Compile / compileIncremental 21s + + + + + + | => rocketchip / Compile / compileIncremental 21s + + + + + + | => rocketchip / Compile / compileIncremental 21s + + + + + + | => rocketchip / Compile / compileIncremental 21s + + + + + + | => rocketchip / Compile / compileIncremental 21s + + + + + + | => rocketchip / Compile / compileIncremental 21s + + + + + + | => rocketchip / Compile / compileIncremental 21s + + + + + + | => rocketchip / Compile / compileIncremental 21s + + + + + + | => rocketchip / Compile / compileIncremental 21s + + + + + + | => rocketchip / Compile / compileIncremental 21s + + + + + + | => rocketchip / Compile / compileIncremental 22s + + + + + + | => rocketchip / Compile / compileIncremental 22s + + + + + + | => rocketchip / Compile / compileIncremental 22s + + + + + + | => rocketchip / Compile / compileIncremental 22s + + + + + + | => rocketchip / Compile / compileIncremental 22s + + + + + + | => rocketchip / Compile / compileIncremental 22s + + + + + + | => rocketchip / Compile / compileIncremental 22s + + + + + + | => rocketchip / Compile / compileIncremental 22s + + + + + + | => rocketchip / Compile / compileIncremental 22s + + + + + + | => rocketchip / Compile / compileIncremental 22s + + + + + + | => rocketchip / Compile / compileIncremental 23s + + + + + + | => rocketchip / Compile / compileIncremental 23s + + + + + + | => rocketchip / Compile / compileIncremental 23s + + + + + + | => rocketchip / Compile / compileIncremental 23s + + + + + + | => rocketchip / Compile / compileIncremental 23s + + + + + + | => rocketchip / Compile / compileIncremental 23s + + + + + + | => rocketchip / Compile / compileIncremental 23s + + + + + + | => rocketchip / Compile / compileIncremental 23s + + + + + + | => rocketchip / Compile / compileIncremental 23s + + + + + + | => rocketchip / Compile / compileIncremental 23s + + + + + + | => rocketchip / Compile / compileIncremental 24s + + + + + + | => rocketchip / Compile / compileIncremental 24s + + + + + + | => rocketchip / Compile / compileIncremental 24s + + + + + + | => rocketchip / Compile / compileIncremental 24s + + + + + + | => rocketchip / Compile / compileIncremental 24s + + + + + + | => rocketchip / Compile / compileIncremental 24s + + + + + + | => rocketchip / Compile / compileIncremental 24s + + + + + + | => rocketchip / Compile / compileIncremental 24s + + + + + + | => rocketchip / Compile / compileIncremental 24s + + + + + + | => rocketchip / Compile / compileIncremental 25s + + + + + + | => rocketchip / Compile / compileIncremental 25s + + + + + + | => rocketchip / Compile / compileIncremental 25s + + + + + + | => rocketchip / Compile / compileIncremental 25s + + + + + + | => rocketchip / Compile / compileIncremental 25s + + + + + + | => rocketchip / Compile / compileIncremental 25s + + + + + + | => rocketchip / Compile / compileIncremental 25s + + + + + + | => rocketchip / Compile / compileIncremental 25s + + + + + + | => rocketchip / Compile / compileIncremental 25s + + + + + + | => rocketchip / Compile / compileIncremental 25s + + + + + + | => rocketchip / Compile / compileIncremental 26s + + + + + + | => rocketchip / Compile / compileIncremental 26s + + + + + + | => rocketchip / Compile / compileIncremental 26s + + + + + + | => rocketchip / Compile / compileIncremental 26s + + + + + + | => rocketchip / Compile / compileIncremental 26s + + + + + + | => rocketchip / Compile / compileIncremental 26s + + + + + + | => rocketchip / Compile / compileIncremental 26s + + + + + + | => rocketchip / Compile / compileIncremental 26s + + + + + + | => rocketchip / Compile / compileIncremental 26s + + + + + + | => rocketchip / Compile / compileIncremental 26s + + + + + + | => rocketchip / Compile / compileIncremental 27s + + + + + + | => rocketchip / Compile / compileIncremental 27s + + + + + + | => rocketchip / Compile / compileIncremental 27s + + + + + + | => rocketchip / Compile / compileIncremental 27s + + + + + + | => rocketchip / Compile / compileIncremental 27s + + + + + + | => rocketchip / Compile / compileIncremental 27s + + + + + + | => rocketchip / Compile / compileIncremental 27s + + + + + + | => rocketchip / Compile / compileIncremental 27s + + + + + + | => rocketchip / Compile / compileIncremental 27s + + + + + + | => rocketchip / Compile / compileIncremental 27s + + + + + + | => rocketchip / Compile / compileIncremental 28s + + + + + + | => rocketchip / Compile / compileIncremental 28s + + + + + + | => rocketchip / Compile / compileIncremental 28s + + + + + + | => rocketchip / Compile / compileIncremental 28s + + + + + + | => rocketchip / Compile / compileIncremental 28s + + + + + + | => rocketchip / Compile / compileIncremental 28s + + + + + + | => rocketchip / Compile / compileIncremental 28s + + + + + + | => rocketchip / Compile / compileIncremental 28s + + + + + + | => rocketchip / Compile / compileIncremental 28s + + + + + + | => rocketchip / Compile / compileIncremental 28s + + + + + + | => rocketchip / Compile / compileIncremental 29s + + + + + + | => rocketchip / Compile / compileIncremental 29s + + + + + + | => rocketchip / Compile / compileIncremental 29s + + + + + + | => rocketchip / Compile / compileIncremental 29s + + + + + + | => rocketchip / Compile / compileIncremental 29s + + + + + + | => rocketchip / Compile / compileIncremental 29s + + + + + + | => rocketchip / Compile / compileIncremental 29s + + + + + + | => rocketchip / Compile / compileIncremental 29s + + + + + + | => rocketchip / Compile / compileIncremental 29s + + + + + + | => rocketchip / Compile / compileIncremental 29s + + + + + + | => rocketchip / Compile / compileIncremental 30s + + + + + + | => rocketchip / Compile / compileIncremental 30s + + + + + + | => rocketchip / Compile / compileIncremental 30s + + + + + + | => rocketchip / Compile / compileIncremental 30s + + + + + + | => rocketchip / Compile / compileIncremental 30s + + + + + + | => rocketchip / Compile / compileIncremental 30s + + + + + + | => rocketchip / Compile / compileIncremental 30s + + + + + + | => rocketchip / Compile / compileIncremental 30s + + + + + + | => rocketchip / Compile / compileIncremental 30s + + + + + + | => rocketchip / Compile / compileIncremental 30s + + + + + + | => rocketchip / Compile / compileIncremental 31s + + + + + + | => rocketchip / Compile / compileIncremental 31s + + + + + + | => rocketchip / Compile / compileIncremental 31s + + + + + + | => rocketchip / Compile / compileIncremental 31s + + + + + + | => rocketchip / Compile / compileIncremental 31s + + + + + + | => rocketchip / Compile / compileIncremental 31s + + + + + + | => rocketchip / Compile / compileIncremental 31s + + + + + + | => rocketchip / Compile / compileIncremental 31s + + + + + + | => rocketchip / Compile / compileIncremental 31s + + + + + + | => rocketchip / Compile / compileIncremental 31s + + + + + + | => rocketchip / Compile / compileIncremental 32s + + + + + + | => rocketchip / Compile / compileIncremental 32s + + + + + + | => rocketchip / Compile / compileIncremental 32s + + + + + + | => rocketchip / Compile / compileIncremental 32s + + + + + + | => rocketchip / Compile / compileIncremental 32s + + + + + + | => rocketchip / Compile / compileIncremental 32s + + + + + + | => rocketchip / Compile / compileIncremental 32s + + + + + + | => rocketchip / Compile / compileIncremental 32s + + + + + + | => rocketchip / Compile / compileIncremental 32s + + + + + + | => rocketchip / Compile / compileIncremental 32s + + + + + + | => rocketchip / Compile / compileIncremental 33s + + + + + + | => rocketchip / Compile / compileIncremental 33s + + + + + + | => rocketchip / Compile / compileIncremental 33s + + + + + + | => rocketchip / Compile / compileIncremental 33s + + + + + + | => rocketchip / Compile / compileIncremental 33s + + + + + + | => rocketchip / Compile / compileIncremental 33s + + + + + + | => rocketchip / Compile / compileIncremental 33s + + + + + + | => rocketchip / Compile / compileIncremental 33s + + + + + + | => rocketchip / Compile / compileIncremental 33s + + + + + + | => rocketchip / Compile / compileIncremental 33s + + + + + + | => rocketchip / Compile / compileIncremental 34s + + + + + + | => rocketchip / Compile / compileIncremental 34s + + + + + + | => rocketchip / Compile / compileIncremental 34s + + + + + + | => rocketchip / Compile / compileIncremental 34s + + + + + + | => rocketchip / Compile / compileIncremental 34s + + + + + + | => rocketchip / Compile / compileIncremental 34s + + + + + + | => rocketchip / Compile / compileIncremental 34s + + + + + + | => rocketchip / Compile / compileIncremental 34s + + + + + + | => rocketchip / Compile / compileIncremental 34s + + + + + + | => rocketchip / Compile / compileIncremental 34s + + + + + + | => rocketchip / Compile / compileIncremental 35s + + + + + + | => rocketchip / Compile / compileIncremental 35s + + + + + + | => rocketchip / Compile / compileIncremental 35s + + + + + + | => rocketchip / Compile / compileIncremental 35s + + + + + + | => rocketchip / Compile / compileIncremental 35s + + + + + + | => rocketchip / Compile / compileIncremental 35s + + + + + + | => rocketchip / Compile / compileIncremental 35s + + + + + + | => rocketchip / Compile / compileIncremental 35s + + + + + + | => rocketchip / Compile / compileIncremental 35s + + + + + + | => rocketchip / Compile / compileIncremental 35s + + + + + + | => rocketchip / Compile / compileIncremental 36s + + + + + + | => rocketchip / Compile / compileIncremental 36s + + + + + + | => rocketchip / Compile / compileIncremental 36s + + + + + + | => rocketchip / Compile / compileIncremental 36s + + + + + + | => rocketchip / Compile / compileIncremental 36s + + + + + + | => rocketchip / Compile / compileIncremental 36s + + + + + + | => rocketchip / Compile / compileIncremental 36s + + + + + + | => rocketchip / Compile / compileIncremental 36s + + + + + + | => rocketchip / Compile / compileIncremental 36s + + + + + + | => rocketchip / Compile / compileIncremental 37s + + + + + + | => rocketchip / Compile / compileIncremental 37s + + + + + + | => rocketchip / Compile / compileIncremental 37s + + + + + + | => rocketchip / Compile / compileIncremental 37s + + + + + + | => rocketchip / Compile / compileIncremental 37s + + + + + + | => rocketchip / Compile / compileIncremental 37s + + + + + + | => rocketchip / Compile / compileIncremental 37s + + + + + + | => rocketchip / Compile / compileIncremental 37s + + + + + + | => rocketchip / Compile / compileIncremental 37s + + + + + + | => rocketchip / Compile / compileIncremental 37s + + + + + + | => rocketchip / Compile / compileIncremental 38s + + + + + + | => rocketchip / Compile / compileIncremental 38s + + + + + + | => rocketchip / Compile / compileIncremental 38s + + + + + + | => rocketchip / Compile / compileIncremental 38s + + + + + + | => rocketchip / Compile / compileIncremental 38s + + + + + + | => rocketchip / Compile / compileIncremental 38s + + + + + + | => rocketchip / Compile / compileIncremental 38s + + + + + + | => rocketchip / Compile / compileIncremental 38s + + + + + + | => rocketchip / Compile / compileIncremental 38s + + + + + + | => rocketchip / Compile / compileIncremental 38s + + + + + + | => rocketchip / Compile / compileIncremental 39s + + + + + + | => rocketchip / Compile / compileIncremental 39s + + + + + + | => rocketchip / Compile / compileIncremental 39s + + + + + + | => rocketchip / Compile / compileIncremental 39s + + + + + + | => rocketchip / Compile / compileIncremental 39s + + + + + + | => rocketchip / Compile / compileIncremental 39s + + + + + + | => rocketchip / Compile / compileIncremental 39s + + + + + + | => rocketchip / Compile / compileIncremental 39s + + + + + + | => rocketchip / Compile / compileIncremental 39s + + + + + + | => rocketchip / Compile / compileIncremental 39s + + + + + + | => rocketchip / Compile / compileIncremental 40s + + + + + + | => rocketchip / Compile / compileIncremental 40s + + + + + + | => rocketchip / Compile / compileIncremental 40s + + + + + + | => rocketchip / Compile / compileIncremental 40s + + + + + + | => rocketchip / Compile / compileIncremental 40s + + + + + + | => rocketchip / Compile / compileIncremental 40s + + + + + + | => rocketchip / Compile / compileIncremental 40s + + + + + + | => rocketchip / Compile / compileIncremental 40s + + + + + + | => rocketchip / Compile / compileIncremental 40s + + + + + + | => rocketchip / Compile / compileIncremental 40s + + + + + + | => rocketchip / Compile / compileIncremental 41s + + + + + + | => rocketchip / Compile / compileIncremental 41s + + + + + + | => rocketchip / Compile / compileIncremental 41s + + + + + + | => rocketchip / Compile / compileIncremental 41s + + + + + + | => rocketchip / Compile / compileIncremental 41s + + + + + + | => rocketchip / Compile / compileIncremental 41s + + + + + + | => rocketchip / Compile / compileIncremental 41s + + + + + + | => rocketchip / Compile / compileIncremental 41s + + + + + + | => rocketchip / Compile / compileIncremental 41s + + + + + + | => rocketchip / Compile / compileIncremental 41s + + + + + + | => rocketchip / Compile / compileIncremental 42s + + + + + + | => rocketchip / Compile / compileIncremental 42s + + + + + + | => rocketchip / Compile / compileIncremental 42s + + + + + + | => rocketchip / Compile / compileIncremental 42s + + + + + + | => rocketchip / Compile / compileIncremental 42s + + + + + + | => rocketchip / Compile / compileIncremental 42s + + + + + + | => rocketchip / Compile / compileIncremental 42s + + + + + + | => rocketchip / Compile / compileIncremental 42s + + + + + + | => rocketchip / Compile / compileIncremental 42s + + + + + + | => rocketchip / Compile / compileIncremental 42s + + + + + + | => rocketchip / Compile / compileIncremental 43s + + + + + + | => rocketchip / Compile / compileIncremental 43s + + + + + + | => rocketchip / Compile / compileIncremental 43s + + + + + + | => rocketchip / Compile / compileIncremental 43s + + + + + + | => rocketchip / Compile / compileIncremental 43s + + + + + + | => rocketchip / Compile / compileIncremental 43s + + + + + + | => rocketchip / Compile / compileIncremental 43s + + + + + + | => rocketchip / Compile / compileIncremental 43s + + + + + + | => rocketchip / Compile / compileIncremental 43s + + + + + + | => rocketchip / Compile / compileIncremental 43s + + + + + + | => rocketchip / Compile / compileIncremental 44s + + + + + + | => rocketchip / Compile / compileIncremental 44s + + + + + + | => rocketchip / Compile / compileIncremental 44s + + + + + + | => rocketchip / Compile / compileIncremental 44s + + + + + + | => rocketchip / Compile / compileIncremental 44s + + + + + + | => rocketchip / Compile / compileIncremental 44s + + + + + + | => rocketchip / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/src/main/scala/subsystem/InterruptBus.scala:16:36: class IntXing in package interrupts is deprecated (since rocket-chip 1.2): IntXing does not ensure interrupt source is glitch free. Use IntSyncSource and IntSyncSink + + + + + + | => rocketchip / Compile / compileIncremental 44s +[warn]  val asyncXing = LazyModule(new IntXing(sync)) + + + + + + | => rocketchip / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => rocketchip / Compile / compileIncremental 44s + + + + + + | => rocketchip / Compile / compileIncremental 44s + + + + + + | => rocketchip / Compile / compileIncremental 44s + + + + + + | => rocketchip / Compile / compileIncremental 44s + + + + + + | => rocketchip / Compile / compileIncremental 45s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/src/main/scala/tilelink/Monitor.scala:726:11: method legalizeADSourceOld in class TLMonitor is deprecated: Use legalizeADSource instead if possible + + + + + + | => rocketchip / Compile / compileIncremental 45s +[warn]  legalizeADSourceOld(bundle, edge) + + + + + + | => rocketchip / Compile / compileIncremental 45s +[warn]  ^ + + + + + + | => rocketchip / Compile / compileIncremental 45s + + + + + + | => rocketchip / Compile / compileIncremental 45s + + + + + + | => rocketchip / Compile / compileIncremental 45s + + + + + + | => rocketchip / Compile / compileIncremental 45s +[warn] /home/riscvuser/chipyard/generators/rocket-chip/src/main/scala/util/GeneratorUtils.scala:16:36: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. + + + + + + | => rocketchip / Compile / compileIncremental 45s +[warn]  Class.forName(currentName).newInstance.asInstanceOf[Config] + + + + + + | => rocketchip / Compile / compileIncremental 45s +[warn]  ^ + + + + + + | => rocketchip / Compile / compileIncremental 45s + + + + + + | => rocketchip / Compile / compileIncremental 45s + + + + + + | => rocketchip / Compile / compileIncremental 45s + + + + + + | => rocketchip / Compile / compileIncremental 45s + + + + + + | => rocketchip / Compile / compileIncremental 45s + + + + + + | => rocketchip / Compile / compileIncremental 45s + + + + + + | => rocketchip / Compile / compileIncremental 45s + + + + + + | => rocketchip / Compile / compileIncremental 46s + + + + + + | => rocketchip / Compile / compileIncremental 46s + + + + + + | => rocketchip / Compile / compileIncremental 46s + + + + + + | => rocketchip / Compile / compileIncremental 46s + + + + + + | => rocketchip / Compile / compileIncremental 46s + + + + + + | => rocketchip / Compile / compileIncremental 46s + + + + + + | => rocketchip / Compile / compileIncremental 46s + + + + + + | => rocketchip / Compile / compileIncremental 46s + + + + + + | => rocketchip / Compile / compileIncremental 46s + + + + + + | => rocketchip / Compile / compileIncremental 46s + + + + + + | => rocketchip / Compile / compileIncremental 47s + + + + + + | => rocketchip / Compile / compileIncremental 47s + + + + + + | => rocketchip / Compile / compileIncremental 47s + + + + + + | => rocketchip / Compile / compileIncremental 47s + + + + + + | => rocketchip / Compile / compileIncremental 47s + + + + + + | => rocketchip / Compile / compileIncremental 47s + + + + + + | => rocketchip / Compile / compileIncremental 47s + + + + + + | => rocketchip / Compile / compileIncremental 47s + + + + + + | => rocketchip / Compile / compileIncremental 47s + + + + + + | => rocketchip / Compile / compileIncremental 48s + + + + + + | => rocketchip / Compile / compileIncremental 48s + + + + + + | => rocketchip / Compile / compileIncremental 48s + + + + + + | => rocketchip / Compile / compileIncremental 48s + + + + + + | => rocketchip / Compile / compileIncremental 48s + + + + + + | => rocketchip / Compile / compileIncremental 48s + + + + + + | => rocketchip / Compile / compileIncremental 48s + + + + + + | => rocketchip / Compile / compileIncremental 48s + + + + + + | => rocketchip / Compile / compileIncremental 48s + + + + + + | => rocketchip / Compile / compileIncremental 48s + + + + + + | => rocketchip / Compile / compileIncremental 49s + + + + + + | => rocketchip / Compile / compileIncremental 49s + + + + + + | => rocketchip / Compile / compileIncremental 49s + + + + + + | => rocketchip / Compile / compileIncremental 49s + + + + + + | => rocketchip / Compile / compileIncremental 49s + + + + + + | => rocketchip / Compile / compileIncremental 49s + + + + + + | => rocketchip / Compile / compileIncremental 49s + + + + + + | => rocketchip / Compile / compileIncremental 49s + + + + + + | => rocketchip / Compile / compileIncremental 49s + + + + + + | => rocketchip / Compile / compileIncremental 49s + + + + + + | => rocketchip / Compile / compileIncremental 50s + + + + + + | => rocketchip / Compile / compileIncremental 50s + + + + + + | => rocketchip / Compile / compileIncremental 50s + + + + + + | => rocketchip / Compile / compileIncremental 50s + + + + + + | => rocketchip / Compile / compileIncremental 50s + + + + + + | => rocketchip / Compile / compileIncremental 50s + + + + + + | => rocketchip / Compile / compileIncremental 50s + + + + + + | => rocketchip / Compile / compileIncremental 50s + + + + + + | => rocketchip / Compile / compileIncremental 50s + + + + + + | => rocketchip / Compile / compileIncremental 50s + + + + + + | => rocketchip / Compile / compileIncremental 51s + + + + + + | => rocketchip / Compile / compileIncremental 51s + + + + + + | => rocketchip / Compile / compileIncremental 51s + + + + + + | => rocketchip / Compile / compileIncremental 51s + + + + + + | => rocketchip / Compile / compileIncremental 51s + + + + + + | => rocketchip / Compile / compileIncremental 51s + + + + + + | => rocketchip / Compile / compileIncremental 51s + + + + + + | => rocketchip / Compile / compileIncremental 51s + + + + + + | => rocketchip / Compile / compileIncremental 51s + + + + + + | => rocketchip / Compile / compileIncremental 51s + + + + + + | => rocketchip / Compile / compileIncremental 52s + + + + + + | => rocketchip / Compile / compileIncremental 52s + + + + + + | => rocketchip / Compile / compileIncremental 52s + + + + + + | => rocketchip / Compile / compileIncremental 52s + + + + + + | => rocketchip / Compile / compileIncremental 52s + + + + + + | => rocketchip / Compile / compileIncremental 52s + + + + + + | => rocketchip / Compile / compileIncremental 52s + + + + + + | => rocketchip / Compile / compileIncremental 52s + + + + + + | => rocketchip / Compile / compileIncremental 52s + + + + + + | => rocketchip / Compile / compileIncremental 52s + + + + + + | => rocketchip / Compile / compileIncremental 53s + + + + + + | => rocketchip / Compile / compileIncremental 53s + + + + + + | => rocketchip / Compile / compileIncremental 53s + + + + + + | => rocketchip / Compile / compileIncremental 53s + + + + + + | => rocketchip / Compile / compileIncremental 53s + + + + + + | => rocketchip / Compile / compileIncremental 53s + + + + + + | => rocketchip / Compile / compileIncremental 53s + + + + + + | => rocketchip / Compile / compileIncremental 53s + + + + + + | => rocketchip / Compile / compileIncremental 53s + + + + + + | => rocketchip / Compile / compileIncremental 53s + + + + + + | => rocketchip / Compile / compileIncremental 54s + + + + + + | => rocketchip / Compile / compileIncremental 54s + + + + + + | => rocketchip / Compile / compileIncremental 54s + + + + + + | => rocketchip / Compile / compileIncremental 54s + + + + + + | => rocketchip / Compile / compileIncremental 54s + + + + + + | => rocketchip / Compile / compileIncremental 54s + + + + + + | => rocketchip / Compile / compileIncremental 54s + + + + + + | => rocketchip / Compile / compileIncremental 54s + + + + + + | => rocketchip / Compile / compileIncremental 54s + + + + + + | => rocketchip / Compile / compileIncremental 54s + + + + + + | => rocketchip / Compile / compileIncremental 55s + + + + + + | => rocketchip / Compile / compileIncremental 55s + + + + + + | => rocketchip / Compile / compileIncremental 55s + + + + + + | => rocketchip / Compile / compileIncremental 55s + + + + + + | => rocketchip / Compile / compileIncremental 55s + + + + + + | => rocketchip / Compile / compileIncremental 55s + + + + + + | => rocketchip / Compile / compileIncremental 55s + + + + + + | => rocketchip / Compile / compileIncremental 55s + + + + + + | => rocketchip / Compile / compileIncremental 55s + + + + + + | => rocketchip / Compile / compileIncremental 55s + + + + + + | => rocketchip / Compile / compileIncremental 56s + + + + + + | => rocketchip / Compile / compileIncremental 56s + + + + + + | => rocketchip / Compile / compileIncremental 56s + + + + + + | => rocketchip / Compile / compileIncremental 56s + + + + + + | => rocketchip / Compile / compileIncremental 56s + + + + + + | => rocketchip / Compile / compileIncremental 56s + + + + + + | => rocketchip / Compile / compileIncremental 56s + + + + + + | => rocketchip / Compile / compileIncremental 56s + + + + + + | => rocketchip / Compile / compileIncremental 56s + + + + + + | => rocketchip / Compile / compileIncremental 56s + + + + + + | => rocketchip / Compile / compileIncremental 57s + + + + + + | => rocketchip / Compile / compileIncremental 57s + + + + + + | => rocketchip / Compile / compileIncremental 57s + + + + + + | => rocketchip / Compile / compileIncremental 57s + + + + + + | => rocketchip / Compile / compileIncremental 57s + + + + + + | => rocketchip / Compile / compileIncremental 57s + + + + + + | => rocketchip / Compile / compileIncremental 57s + + + + + + | => rocketchip / Compile / compileIncremental 57s + + + + + + | => rocketchip / Compile / compileIncremental 57s + + + + + + | => rocketchip / Compile / compileIncremental 57s + + + + + + | => rocketchip / Compile / compileIncremental 58s + + + + + + | => rocketchip / Compile / compileIncremental 58s + + + + + + | => rocketchip / Compile / compileIncremental 58s + + + + + + | => rocketchip / Compile / compileIncremental 58s + + + + + + | => rocketchip / Compile / compileIncremental 58s + + + + + + | => rocketchip / Compile / compileIncremental 58s + + + + + + | => rocketchip / Compile / compileIncremental 58s + + + + + + | => rocketchip / Compile / compileIncremental 58s + + + + + + | => rocketchip / Compile / compileIncremental 58s + + + + + + | => rocketchip / Compile / compileIncremental 58s + + + + + + | => rocketchip / Compile / compileIncremental 59s + + + + + + | => rocketchip / Compile / compileIncremental 59s + + + + + + | => rocketchip / Compile / compileIncremental 59s + + + + + + | => rocketchip / Compile / compileIncremental 59s + + + + + + | => rocketchip / Compile / compileIncremental 59s + + + + + + | => rocketchip / Compile / compileIncremental 59s + + + + + + | => rocketchip / Compile / compileIncremental 59s + + + + + + | => rocketchip / Compile / compileIncremental 59s + + + + + + | => rocketchip / Compile / compileIncremental 59s + + + + + + | => rocketchip / Compile / compileIncremental 59s + + + + + + | => rocketchip / Compile / compileIncremental 60s + + + + + + | => rocketchip / Compile / compileIncremental 60s + + + + + + | => rocketchip / Compile / compileIncremental 60s + + + + + + | => rocketchip / Compile / compileIncremental 60s + + + + + + | => rocketchip / Compile / compileIncremental 60s + + + + + + | => rocketchip / Compile / compileIncremental 60s + + + + + + | => rocketchip / Compile / compileIncremental 60s + + + + + + | => rocketchip / Compile / compileIncremental 60s + + + + + + | => rocketchip / Compile / compileIncremental 60s + + + + + + | => rocketchip / Compile / compileIncremental 60s + + + + + + | => rocketchip / Compile / compileIncremental 61s + + + + + + | => rocketchip / Compile / compileIncremental 61s + + + + + + | => rocketchip / Compile / compileIncremental 61s + + + + + + | => rocketchip / Compile / compileIncremental 61s + + + + + + | => rocketchip / Compile / compileIncremental 61s + + + + + + | => rocketchip / Compile / compileIncremental 61s + + + + + + | => rocketchip / Compile / compileIncremental 61s + + + + + + | => rocketchip / Compile / compileIncremental 61s + + + + + + | => rocketchip / Compile / compileIncremental 61s + + + + + + | => rocketchip / Compile / compileIncremental 61s + + + + + + | => rocketchip / Compile / compileIncremental 62s + + + + + + | => rocketchip / Compile / compileIncremental 62s + + + + + + | => rocketchip / Compile / compileIncremental 62s + + + + + + | => rocketchip / Compile / compileIncremental 62s + + + + + + | => rocketchip / Compile / compileIncremental 62s + + + + + + | => rocketchip / Compile / compileIncremental 62s + + + + + + | => rocketchip / Compile / compileIncremental 62s + + + + + + | => rocketchip / Compile / compileIncremental 62s + + + + + + | => rocketchip / Compile / compileIncremental 62s + + + + + + | => rocketchip / Compile / compileIncremental 62s + + + + + + | => rocketchip / Compile / compileIncremental 63s + + + + + + | => rocketchip / Compile / compileIncremental 63s + + + + + + | => rocketchip / Compile / compileIncremental 63s + + + + + + | => rocketchip / Compile / compileIncremental 63s + + + + + + | => rocketchip / Compile / compileIncremental 63s + + + + + + | => rocketchip / Compile / compileIncremental 63s + + + + + + | => rocketchip / Compile / compileIncremental 63s + + + + + + | => rocketchip / Compile / compileIncremental 63s + + + + + + | => rocketchip / Compile / compileIncremental 63s + + + + + + | => rocketchip / Compile / compileIncremental 64s + + + + + + | => rocketchip / Compile / compileIncremental 64s + + + + + + | => rocketchip / Compile / compileIncremental 64s + + + + + + | => rocketchip / Compile / compileIncremental 64s + + + + + + | => rocketchip / Compile / compileIncremental 64s + + + + + + | => rocketchip / Compile / compileIncremental 64s + + + + + + | => rocketchip / Compile / compileIncremental 64s + + + + + + | => rocketchip / Compile / compileIncremental 64s + + + + + + | => rocketchip / Compile / compileIncremental 64s + + + + + + | => rocketchip / Compile / compileIncremental 64s + + + + + + | => rocketchip / Compile / compileIncremental 65s + + + + + + | => rocketchip / Compile / compileIncremental 65s + + + + + + | => rocketchip / Compile / compileIncremental 65s + + + + + + | => rocketchip / Compile / compileIncremental 65s + + + + + + | => rocketchip / Compile / compileIncremental 65s + + + + + + | => rocketchip / Compile / compileIncremental 65s + + + + + + | => rocketchip / Compile / compileIncremental 65s + + + + + + | => rocketchip / Compile / compileIncremental 65s + + + + + + | => rocketchip / Compile / compileIncremental 65s + + + + + + | => rocketchip / Compile / compileIncremental 65s + + + + + + | => rocketchip / Compile / compileIncremental 66s + + + + + + | => rocketchip / Compile / compileIncremental 66s + + + + + + | => rocketchip / Compile / compileIncremental 66s + + + + + + | => rocketchip / Compile / compileIncremental 66s + + + + + + | => rocketchip / Compile / compileIncremental 66s + + + + + + | => rocketchip / Compile / compileIncremental 66s + + + + + + | => rocketchip / Compile / compileIncremental 66s + + + + + + | => rocketchip / Compile / compileIncremental 66s + + + + + + | => rocketchip / Compile / compileIncremental 66s + + + + + + | => rocketchip / Compile / compileIncremental 66s + + + + + + | => rocketchip / Compile / compileIncremental 67s + + + + + + | => rocketchip / Compile / compileIncremental 67s + + + + + + | => rocketchip / Compile / compileIncremental 67s + + + + + + | => rocketchip / Compile / compileIncremental 67s + + + + + + | => rocketchip / Compile / compileIncremental 67s + + + + + + | => rocketchip / Compile / compileIncremental 67s + + + + + + | => rocketchip / Compile / compileIncremental 67s + + + + + + | => rocketchip / Compile / compileIncremental 67s + + + + + + | => rocketchip / Compile / compileIncremental 67s + + + + + + | => rocketchip / Compile / compileIncremental 67s + + + + + + | => rocketchip / Compile / compileIncremental 68s + + + + + + | => rocketchip / Compile / compileIncremental 68s + + + + + + | => rocketchip / Compile / compileIncremental 68s + + + + + + | => rocketchip / Compile / compileIncremental 68s + + + + + + | => rocketchip / Compile / compileIncremental 68s + + + + + + | => rocketchip / Compile / compileIncremental 68s + + + + + + | => rocketchip / Compile / compileIncremental 68s + + + + + + | => rocketchip / Compile / compileIncremental 68s + + + + + + | => rocketchip / Compile / compileIncremental 68s + + + + + + | => rocketchip / Compile / compileIncremental 68s + + + + + + | => rocketchip / Compile / compileIncremental 69s + + + + + + | => rocketchip / Compile / compileIncremental 69s + + + + + + | => rocketchip / Compile / compileIncremental 69s +[warn] there were 2471 feature warnings; re-run with -feature for details + + + + + + | => rocketchip / Compile / compileIncremental 69s +[warn] four warnings found + + + + + + | => rocketchip / Compile / compileIncremental 69s + + + + + + | => rocketchip / Compile / compileIncremental 69s + + + + + + | => rocketchip / Compile / compileIncremental 69s + + + + + + | => rocketchip / Compile / compileIncremental 69s + + + + + + | => rocketchip / Compile / compileIncremental 69s + + + + + + | => rocketchip / Compile / compileIncremental 69s + + + + + + | => rocketchip / Compile / compileIncremental 69s + + + + + + | => rocketchip / Compile / compileIncremental 69s + + + + + + | => rocketchip / Compile / compile 0s + + + + + + | => rocketchip / Compile / compile 0s + + + + + + | => rocketchip / Compile / compile 0s + + + + + + | => rocketchip / Compile / compile 0s + + + + + + | => rocketchip / Compile / compile 0s + + + + + + | => rocketchip / Compile / compile 0s + + + + + + | => rocketchip / Compile / compile 0s + + + + + + | => rocketchip / Compile / compile 0s + + + + + + | => rocketchip / Compile / compile 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + | => rocketchip / Compile / compile 0s + + + + + + | => rocketchip / Compile / packageBin 0s + + + + + + | => rocketchip / Compile / packageBin 0s + + + + + + | => rocketchip / Compile / packageBin 0s + + + + + + | => rocketchip / Compile / packageBin 0s + + + + + + | => rocketchip / Compile / packageBin 0s + + + + + + | => rocketchip / Compile / packageBin 0s + + + + + + | => rocketchip / Compile / packageBin 0s + + + + + + | => rocketchip / Compile / packageBin 0s + + + + + + | => rocketchip / Compile / packageBin 0s +[info] Compiling 78 Scala sources to /home/riscvuser/chipyard/generators/sifive-blocks/target/scala-2.12/classes ... + + + + + + | => rocketchip / Compile / packageBin 0s + + + + + + | => sifive_blocks / Compile / compileIncremental 0s + + + + + + | => sifive_blocks / Compile / compileIncremental 0s + + + + + + | => sifive_blocks / Compile / compileIncremental 0s + + + + + + | => sifive_blocks / Compile / compileIncremental 0s + + + + + + | => sifive_blocks / Compile / compileIncremental 0s + + + + + + | => sifive_blocks / Compile / compileIncremental 0s + + + + + + | => sifive_blocks / Compile / compileIncremental 0s + + + + + + | => sifive_blocks / Compile / compileIncremental 0s + + + + + + | => sifive_blocks / Compile / compileIncremental 0s + + + + + + | => sifive_blocks / Compile / compileIncremental 0s + + + + + + | => sifive_blocks / Compile / compileIncremental 1s + + + + + + | => sifive_blocks / Compile / compileIncremental 1s + + + + + + | => sifive_blocks / Compile / compileIncremental 1s + + + + + + | => sifive_blocks / Compile / compileIncremental 1s + + + + + + | => sifive_blocks / Compile / compileIncremental 1s + + + + + + | => sifive_blocks / Compile / compileIncremental 1s + + + + + + | => sifive_blocks / Compile / compileIncremental 1s + + + + + + | => sifive_blocks / Compile / compileIncremental 1s + + + + + + | => sifive_blocks / Compile / compileIncremental 1s + + + + + + | => sifive_blocks / Compile / compileIncremental 1s + + + + + + | => sifive_blocks / Compile / compileIncremental 2s + + + + + + | => sifive_blocks / Compile / compileIncremental 2s + + + + + + | => sifive_blocks / Compile / compileIncremental 2s + + + + + + | => sifive_blocks / Compile / compileIncremental 2s + + + + + + | => sifive_blocks / Compile / compileIncremental 2s + + + + + + | => sifive_blocks / Compile / compileIncremental 2s + + + + + + | => sifive_blocks / Compile / compileIncremental 2s + + + + + + | => sifive_blocks / Compile / compileIncremental 2s + + + + + + | => sifive_blocks / Compile / compileIncremental 2s + + + + + + | => sifive_blocks / Compile / compileIncremental 2s + + + + + + | => sifive_blocks / Compile / compileIncremental 3s + + + + + + | => sifive_blocks / Compile / compileIncremental 3s + + + + + + | => sifive_blocks / Compile / compileIncremental 3s + + + + + + | => sifive_blocks / Compile / compileIncremental 3s + + + + + + | => sifive_blocks / Compile / compileIncremental 3s + + + + + + | => sifive_blocks / Compile / compileIncremental 3s + + + + + + | => sifive_blocks / Compile / compileIncremental 3s + + + + + + | => sifive_blocks / Compile / compileIncremental 3s + + + + + + | => sifive_blocks / Compile / compileIncremental 3s + + + + + + | => sifive_blocks / Compile / compileIncremental 3s + + + + + + | => sifive_blocks / Compile / compileIncremental 4s + + + + + + | => sifive_blocks / Compile / compileIncremental 4s + + + + + + | => sifive_blocks / Compile / compileIncremental 4s + + + + + + | => sifive_blocks / Compile / compileIncremental 4s + + + + + + | => sifive_blocks / Compile / compileIncremental 4s + + + + + + | => sifive_blocks / Compile / compileIncremental 4s + + + + + + | => sifive_blocks / Compile / compileIncremental 4s + + + + + + | => sifive_blocks / Compile / compileIncremental 4s + + + + + + | => sifive_blocks / Compile / compileIncremental 4s + + + + + + | => sifive_blocks / Compile / compileIncremental 4s + + + + + + | => sifive_blocks / Compile / compileIncremental 5s + + + + + + | => sifive_blocks / Compile / compileIncremental 5s + + + + + + | => sifive_blocks / Compile / compileIncremental 5s + + + + + + | => sifive_blocks / Compile / compileIncremental 5s + + + + + + | => sifive_blocks / Compile / compileIncremental 5s + + + + + + | => sifive_blocks / Compile / compileIncremental 5s + + + + + + | => sifive_blocks / Compile / compileIncremental 5s + + + + + + | => sifive_blocks / Compile / compileIncremental 5s + + + + + + | => sifive_blocks / Compile / compileIncremental 5s + + + + + + | => sifive_blocks / Compile / compileIncremental 5s + + + + + + | => sifive_blocks / Compile / compileIncremental 6s + + + + + + | => sifive_blocks / Compile / compileIncremental 6s + + + + + + | => sifive_blocks / Compile / compileIncremental 6s + + + + + + | => sifive_blocks / Compile / compileIncremental 6s + + + + + + | => sifive_blocks / Compile / compileIncremental 6s + + + + + + | => sifive_blocks / Compile / compileIncremental 6s + + + + + + | => sifive_blocks / Compile / compileIncremental 6s + + + + + + | => sifive_blocks / Compile / compileIncremental 6s + + + + + + | => sifive_blocks / Compile / compileIncremental 6s + + + + + + | => sifive_blocks / Compile / compileIncremental 7s + + + + + + | => sifive_blocks / Compile / compileIncremental 7s + + + + + + | => sifive_blocks / Compile / compileIncremental 7s + + + + + + | => sifive_blocks / Compile / compileIncremental 7s + + + + + + | => sifive_blocks / Compile / compileIncremental 7s + + + + + + | => sifive_blocks / Compile / compileIncremental 7s + + + + + + | => sifive_blocks / Compile / compileIncremental 7s + + + + + + | => sifive_blocks / Compile / compileIncremental 7s + + + + + + | => sifive_blocks / Compile / compileIncremental 7s + + + + + + | => sifive_blocks / Compile / compileIncremental 7s + + + + + + | => sifive_blocks / Compile / compileIncremental 8s + + + + + + | => sifive_blocks / Compile / compileIncremental 8s + + + + + + | => sifive_blocks / Compile / compileIncremental 8s + + + + + + | => sifive_blocks / Compile / compileIncremental 8s +[warn] there were 758 feature warnings; re-run with -feature for details + + + + + + | => sifive_blocks / Compile / compileIncremental 8s +[warn] one warning found + + + + + + | => sifive_blocks / Compile / compileIncremental 8s + + + + + + | => sifive_blocks / Compile / compileIncremental 8s + + + + + + | => sifive_blocks / Compile / compile 0s + + + + + + | => sifive_blocks / Compile / compile 0s + + + + + + | => sifive_blocks / Compile / packageBin 0s +[info] Compiling 19 Scala sources to /home/riscvuser/chipyard/generators/testchipip/target/scala-2.12/classes ... + + + + + + | => sifive_blocks / Compile / packageBin 0s + + + + + + | => testchipip / Compile / compileIncremental 0s + + + + + + | => testchipip / Compile / compileIncremental 0s + + + + + + | => testchipip / Compile / compileIncremental 0s + + + + + + | => testchipip / Compile / compileIncremental 0s + + + + + + | => testchipip / Compile / compileIncremental 0s + + + + + + | => testchipip / Compile / compileIncremental 0s + + + + + + | => testchipip / Compile / compileIncremental 0s + + + + + + | => testchipip / Compile / compileIncremental 0s + + + + + + | => testchipip / Compile / compileIncremental 0s + + + + + + | => testchipip / Compile / compileIncremental 0s + + + + + + | => testchipip / Compile / compileIncremental 1s + + + + + + | => testchipip / Compile / compileIncremental 1s + + + + + + | => testchipip / Compile / compileIncremental 1s + + + + + + | => testchipip / Compile / compileIncremental 1s + + + + + + | => testchipip / Compile / compileIncremental 1s + + + + + + | => testchipip / Compile / compileIncremental 1s + + + + + + | => testchipip / Compile / compileIncremental 1s + + + + + + | => testchipip / Compile / compileIncremental 1s + + + + + + | => testchipip / Compile / compileIncremental 1s + + + + + + | => testchipip / Compile / compileIncremental 1s + + + + + + | => testchipip / Compile / compileIncremental 2s + + + + + + | => testchipip / Compile / compileIncremental 2s + + + + + + | => testchipip / Compile / compileIncremental 2s + + + + + + | => testchipip / Compile / compileIncremental 2s + + + + + + | => testchipip / Compile / compileIncremental 2s + + + + + + | => testchipip / Compile / compileIncremental 2s + + + + + + | => testchipip / Compile / compileIncremental 2s + + + + + + | => testchipip / Compile / compileIncremental 2s + + + + + + | => testchipip / Compile / compileIncremental 2s + + + + + + | => testchipip / Compile / compileIncremental 2s + + + + + + | => testchipip / Compile / compileIncremental 3s + + + + + + | => testchipip / Compile / compileIncremental 3s + + + + + + | => testchipip / Compile / compileIncremental 3s + + + + + + | => testchipip / Compile / compileIncremental 3s + + + + + + | => testchipip / Compile / compileIncremental 3s + + + + + + | => testchipip / Compile / compileIncremental 3s + + + + + + | => testchipip / Compile / compileIncremental 3s + + + + + + | => testchipip / Compile / compileIncremental 3s + + + + + + | => testchipip / Compile / compileIncremental 3s + + + + + + | => testchipip / Compile / compileIncremental 3s + + + + + + | => testchipip / Compile / compileIncremental 4s + + + + + + | => testchipip / Compile / compileIncremental 4s + + + + + + | => testchipip / Compile / compileIncremental 4s + + + + + + | => testchipip / Compile / compileIncremental 4s + + + + + + | => testchipip / Compile / compileIncremental 4s + + + + + + | => testchipip / Compile / compileIncremental 4s + + + + + + | => testchipip / Compile / compileIncremental 4s + + + + + + | => testchipip / Compile / compileIncremental 4s + + + + + + | => testchipip / Compile / compileIncremental 4s + + + + + + | => testchipip / Compile / compileIncremental 4s + + + + + + | => testchipip / Compile / compileIncremental 5s + + + + + + | => testchipip / Compile / compileIncremental 5s + + + + + + | => testchipip / Compile / compileIncremental 5s + + + + + + | => testchipip / Compile / compileIncremental 5s + + + + + + | => testchipip / Compile / compileIncremental 5s + + + + + + | => testchipip / Compile / compileIncremental 5s + + + + + + | => testchipip / Compile / compileIncremental 5s + + + + + + | => testchipip / Compile / compileIncremental 5s + + + + + + | => testchipip / Compile / compileIncremental 5s + + + + + + | => testchipip / Compile / compileIncremental 5s + + + + + + | => testchipip / Compile / compileIncremental 6s + + + + + + | => testchipip / Compile / compileIncremental 6s + + + + + + | => testchipip / Compile / compileIncremental 6s + + + + + + | => testchipip / Compile / compileIncremental 6s + + + + + + | => testchipip / Compile / compileIncremental 6s + + + + + + | => testchipip / Compile / compileIncremental 6s + + + + + + | => testchipip / Compile / compileIncremental 6s + + + + + + | => testchipip / Compile / compileIncremental 6s + + + + + + | => testchipip / Compile / compileIncremental 6s + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/BlockDevice.scala:420:23: value IntParam in package core is deprecated (since 3.2): Use the version in chisel3.experimental._ + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn]  Map("TAG_BITS" -> IntParam(log2Up(config.get.nTrackers))) + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/BlockDevice.scala:432:3: method setResource in trait HasBlackBoxResource is deprecated (since 3.2): Use addResource instead + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn]  setResource("/testchipip/vsrc/SimBlockDevice.v") + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/BlockDevice.scala:433:3: method setResource in trait HasBlackBoxResource is deprecated (since 3.2): Use addResource instead + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn]  setResource("/testchipip/csrc/SimBlockDevice.cc") + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/BlockDevice.scala:434:3: method setResource in trait HasBlackBoxResource is deprecated (since 3.2): Use addResource instead + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn]  setResource("/testchipip/csrc/blkdev.cc") + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/BlockDevice.scala:435:3: method setResource in trait HasBlackBoxResource is deprecated (since 3.2): Use addResource instead + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn]  setResource("/testchipip/csrc/blkdev.h") + + + + + + | => testchipip / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 6s + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtil.scala:103:132: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  syncs.zipWithIndex.foreach { case (s, i) => s.io.d := (io.sel === i.U) && !(syncs.zipWithIndex.filter(_._2 != i).map(_._1.io.q.toBool).reduce(_||_)) } + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:28:17: value withClockAndReset in package experimental is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  val state = withClockAndReset(clocks(0), syncReset) { RegInit(sReset) } + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:30:5: value withClock in package experimental is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  withClock(mux.io.clockOut) { + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:34:5: value withClockAndReset in package experimental is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  withClockAndReset(clocks(0), syncReset) { + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:73:19: value withClock in package experimental is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  val divider = withClock(myClock) { Module(new ClockDivider(log2Ceil(divs.max))) } + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:77:17: value withClockAndReset in package experimental is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  val state = withClockAndReset(myClock, syncReset) { RegInit(sReset) } + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:79:5: value withClockAndReset in package experimental is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  withClockAndReset(myClock, syncReset) { + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:107:22: value IntParam in package core is deprecated (since 3.2): Use the version in chisel3.experimental._ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  "minperiodps" -> IntParam(minperiodps), + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:108:22: value IntParam in package core is deprecated (since 3.2): Use the version in chisel3.experimental._ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  "maxperiodps" -> IntParam(maxperiodps.getOrElse(BigInt(0))) + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:118:72: value IntParam in package core is deprecated (since 3.2): Use the version in chisel3.experimental._ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] class ClockGenerator(periodps: Int) extends BlackBox(Map("periodps" -> IntParam(periodps))) { + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/NoDebug.scala:17:14: type Reset in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  val reset: Reset + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Ring.scala:126:47: method copy in class TLMasterParameters is deprecated: Use v1copy instead of copy + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  port.clients map { client => client.copy( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Ring.scala:123:14: method copy in class TLMasterPortParameters is deprecated: Use v1copy instead of copy + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  seq(0).copy( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Ring.scala:140:50: method copy in class TLSlaveParameters is deprecated: Use v1copy instead of copy + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  port.managers map { manager => manager.copy( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Ring.scala:133:14: method copy in class TLSlavePortParameters is deprecated: Use v1copy instead of copy + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  seq(0).copy( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Serdes.scala:490:7: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  TLManagerPortParameters( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Serdes.scala:532:7: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  TLClientPortParameters(Seq(client)))) + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Serdes.scala:580:9: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  Seq(TLClientPortParameters(Seq(clientParams)))) + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Serdes.scala:583:9: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  Seq(TLManagerPortParameters( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Switcher.scala:107:5: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  TLManagerPortParameters( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Switcher.scala:108:11: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  Seq(TLManagerParameters( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Switcher.scala:122:7: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  TLClientPortParameters(Seq(TLClientParameters( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Switcher.scala:122:34: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  TLClientPortParameters(Seq(TLClientParameters( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Unittests.scala:138:18: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  params = Seq(TLManagerParameters( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Unittests.scala:147:18: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  params = Seq(TLClientParameters( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Unittests.scala:194:20: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  clientParams = TLClientParameters( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Unittests.scala:197:21: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  managerParams = TLManagerParameters( + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Util.scala:132:20: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  makeClientNode(TLClientParameters(name, sourceId)) + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Util.scala:136:22: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  TLClientNode(Seq(TLClientPortParameters(Seq(params)))) + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Util.scala:140:23: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  TLManagerNode(Seq(TLManagerPortParameters(Seq(params), beatBytes))) + + + + + + | => testchipip / Compile / compileIncremental 7s +[warn]  ^ + + + + + + | => testchipip / Compile / compileIncremental 7s + + + + + + | => testchipip / Compile / compileIncremental 7s + + + + + + | => testchipip / Compile / compileIncremental 7s + + + + + + | => testchipip / Compile / compileIncremental 7s + + + + + + | => testchipip / Compile / compileIncremental 7s + + + + + + | => testchipip / Compile / compileIncremental 7s + + + + + + | => testchipip / Compile / compileIncremental 7s + + + + + + | => testchipip / Compile / compileIncremental 7s + + + + + + | => testchipip / Compile / compileIncremental 8s + + + + + + | => testchipip / Compile / compileIncremental 8s + + + + + + | => testchipip / Compile / compileIncremental 8s + + + + + + | => testchipip / Compile / compileIncremental 8s + + + + + + | => testchipip / Compile / compileIncremental 8s + + + + + + | => testchipip / Compile / compileIncremental 8s + + + + + + | => testchipip / Compile / compileIncremental 8s + + + + + + | => testchipip / Compile / compileIncremental 8s + + + + + + | => testchipip / Compile / compileIncremental 8s + + + + + + | => testchipip / Compile / compileIncremental 9s + + + + + + | => testchipip / Compile / compileIncremental 9s + + + + + + | => testchipip / Compile / compileIncremental 9s + + + + + + | => testchipip / Compile / compileIncremental 9s + + + + + + | => testchipip / Compile / compileIncremental 9s + + + + + + | => testchipip / Compile / compileIncremental 9s + + + + + + | => testchipip / Compile / compileIncremental 9s + + + + + + | => testchipip / Compile / compileIncremental 9s + + + + + + | => testchipip / Compile / compileIncremental 10s + + + + + + | => testchipip / Compile / compileIncremental 10s + + + + + + | => testchipip / Compile / compileIncremental 10s + + + + + + | => testchipip / Compile / compileIncremental 10s + + + + + + | => testchipip / Compile / compileIncremental 10s + + + + + + | => testchipip / Compile / compileIncremental 10s + + + + + + | => testchipip / Compile / compileIncremental 10s + + + + + + | => testchipip / Compile / compileIncremental 10s + + + + + + | => testchipip / Compile / compileIncremental 10s + + + + + + | => testchipip / Compile / compileIncremental 10s + + + + + + | => testchipip / Compile / compileIncremental 11s + + + + + + | => testchipip / Compile / compileIncremental 11s + + + + + + | => testchipip / Compile / compileIncremental 11s + + + + + + | => testchipip / Compile / compileIncremental 11s + + + + + + | => testchipip / Compile / compileIncremental 11s + + + + + + | => testchipip / Compile / compileIncremental 11s + + + + + + | => testchipip / Compile / compileIncremental 11s + + + + + + | => testchipip / Compile / compileIncremental 11s + + + + + + | => testchipip / Compile / compileIncremental 11s + + + + + + | => testchipip / Compile / compileIncremental 11s +[warn] there were 403 feature warnings; re-run with -feature for details + + + + + + | => testchipip / Compile / compileIncremental 11s +[warn] 36 warnings found + + + + + + | => testchipip / Compile / compileIncremental 11s + + + + + + | => testchipip / Compile / compile 0s + + + + + + | => testchipip / Compile / packageBin 0s +[info] Compiling 1 Scala source to /home/riscvuser/chipyard/generators/utilities/target/scala-2.12/classes ... + + + + + + | => testchipip / Compile / packageBin 0s + + + + + + | => utilities / Compile / compileIncremental 0s + + + + + + | => utilities / Compile / compileIncremental 0s + + + + + + | => utilities / Compile / compileIncremental 0s + + + + + + | => utilities / Compile / compileIncremental 0s + + + + + + | => utilities / Compile / bgRunMain 0s + + + + + + | => utilities / Compile / bgRunMain 0s + + + + + + | => utilities / Compile / bgRunMain 0s + + + + + + | => utilities / Compile / bgRunMain 0s + + + + + + | => utilities / Compile / bgRunMain 0s +[info] running utilities.GenerateSimFiles -td /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -sim verilator + + + + + + | => utilities / Compile / runMain 0s + + + + + + | => utilities / Compile / runMain 0s + + + + + + +[success] Total time: 182 s (03:02), completed Dec 23, 2020, 3:44:40 AM +mkdir -p /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig +cd /home/riscvuser/chipyard && java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar "project chipyard" "runMain chipyard.Generator \ + --target-dir /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig \ + --name chipyard.TestHarness.RocketConfig \ + --top-module chipyard.TestHarness \ + --legacy-configs chipyard.RocketConfig" +OpenJDK 64-Bit Server VM warning: Ignoring option MaxPermSize; support was removed in 8.0 +[info] Loading settings for project chipyard-build from plugins.sbt ... +[info] Loading project definition from /home/riscvuser/chipyard/project + + + + + + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + +[info] Loading settings for project chipyardRoot from build.sbt ... +[info] Loading settings for project barstoolsMacros from build.sbt ... +[info] Loading settings for project mdf from build.sbt ... +[info] Loading settings for project gemmini from build.sbt ... +[info] Loading settings for project ariane from build.sbt ... +[info] Loading settings for project boom from build.sbt ... +[info] Loading settings for project hwacha from build.sbt ... +[info] Loading settings for project icenet from build.sbt ... +[info] Loading settings for project testchipip from build.sbt ... +[info] Loading settings for project rocketConfig from build.sbt ... +[info] Loading settings for project hardfloat from build.sbt ... +[info] Loading settings for project chisel_testers from build.sbt ... +[info] Loading settings for project treadle from build.sbt ... +[info] Loading settings for project firrtl_interpreter from build.sbt ... +[info] Loading settings for project chisel from build.sbt ... +[info] Loading settings for project sim-build from plugins.sbt ... +[info] Loading project definition from /home/riscvuser/chipyard/sims/firesim/sim/project + + + + + + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / Compile / compileIncremental 0s + + + + + + +[info] Loading settings for project firesim from build.sbt ... +[info] Loading settings for project midas from build.sbt ... +[info] Loading settings for project targetutils from build.sbt ... +[info] Resolving key references (32007 settings) ... +[info] Set current project to chipyardRoot (in build file:/home/riscvuser/chipyard/) + + + + + + + + + + + | => Global / checkBuildSources 0s + + + + + + | => Global / checkBuildSources 0s + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +[info] Set current project to chipyard (in build file:/home/riscvuser/chipyard/) + + + + + + + + + + + + + + + + + + + + + | => gemmini / dependencyPositions 0s + | => sifive_cache / dependencyPositions 0s + | => tracegen / dependencyPositions 0s + | => nvdla / dependencyPositions 0s + | => icenet / dependencyPositions 0s + | => boom / dependencyPositions 0s + | => utilities / dependencyPositions 0s + | => testchipip / dependencyPositions 0s + | => sha3 / dependencyPositions 0s + | => hwacha / dependencyPositions 0s + | => dsptools / dependencyPositions 0s + | => sifive_blocks / dependencyPositions 0s + | => rocketConfig / dependencyPositions 0s + | => rocketchip / dependencyPositions 0s + | => rocketMacros / dependencyPositions 0s + | => chisel_testers / dependencyPositions 0s + | => firrtl_interpreter / dependencyPositions 0s + | => rocket-dsptools / dependencyPositions 0s + | => targetutils / dependencyPositions 0s + | => treadle / dependencyPositions 0s + | => macros / dependencyPositions 0s + | => chisel / dependencyPositions 0s + | => core / dependencyPositions 0s + | => hardfloat / dependencyPositions 0s + + + + + + + + + + + + + + + + + + + + + + + + + | => sha3 / csrConfiguration 0s + | => utilities / csrConfiguration 0s + | => sifive_blocks / csrConfiguration 0s + | => dsptools / csrConfiguration 0s + | => chipyard / Compile / unmanagedSources / inputFileStamps 0s + + + + + + + + + + + + + + + + + + + + + + + + + + + | => core / projectDescriptors 0s + | => firrtl_interpreter / update 0s + | => treadle / update 0s + + + + + + + + + + + + + + + + + + + + + + + + + + + | => core / projectDescriptors 0s + | => firrtl_interpreter / update 0s + | => treadle / update 0s + + + + + + + + + + + + + + + + + + + + + + + + | => dsptools / projectDescriptors 0s + | => hardfloat / update 0s + | => rocketchip / projectDescriptors 0s + | => iocell / update 0s + | => firrtl_interpreter / update 0s + | => treadle / update 0s + + + + + + + + + + + + + + + + + + + + + | => ariane / update 0s + | => hwacha / update 0s + | => sifive_cache / update 0s + | => nvdla / update 0s + | => sifive_blocks / update 0s + | => chipyard / projectDescriptors 0s + | => iocell / update 0s + | => firrtl_interpreter / update 0s + | => treadle / update 0s + + + + + + + + + + + + + + + + + + + + + + | => testchipip / update 0s + | => ariane / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => sifive_cache / update 0s + | => iocell / update 0s + | => firrtl_interpreter / update 0s + | => treadle / update 0s +[info] Updating  + + + + + + + + + + + + + + + + + + + + + | => testchipip / update 0s + | => ariane / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => sifive_cache / update 0s + | => iocell / update 0s + | => firrtl_interpreter / update 0s + | => treadle / update 0s +https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.pom + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + + + + + + + + | => icenet / update 0s + | => boom / update 0s + | => ariane / update 0s + | => sifive_cache / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => iocell / update 0s + | => firrtl_interpreter / update 0s + | => treadle / update 0s + + + + + + + + + + + + + + + + + + + + | => icenet / update 0s + | => boom / update 0s + | => ariane / update 0s + | => sifive_cache / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => iocell / update 0s + | => firrtl_interpreter / update 0s + | => treadle / update 0s +https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.pom + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 86.1% [######## ] 1.3 KiB (4.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 86.0% [######## ] 1.3 KiB (4.5 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 76.0% [####### ] 1.3 KiB (4.5 KiB / s) +https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.pom + 5.8% [ ] 1.3 KiB (4.5 KiB / s) +https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.pom + 100.0% [##########] 23.1 KiB (72.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 100.0% [##########] 1.6 KiB (4.9 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 100.0% [##########] 1.8 KiB (5.5 KiB / s) +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 100.0% [##########] 1.6 KiB (4.9 KiB / s) + + + + + + + + + + + + + + + + + + + + | => icenet / update 0s + | => boom / update 0s + | => ariane / update 0s + | => sifive_cache / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => iocell / update 0s + | => firrtl_interpreter / update 0s + | => treadle / update 0s + + + + + + + + + + + + + + + + + + + + | => icenet / update 0s + | => boom / update 0s + | => ariane / update 0s + | => sifive_cache / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => iocell / update 0s + | => firrtl_interpreter / update 0s + | => treadle / update 0s + + + + + + + + + + + + + + + + + + + + | => icenet / update 0s + | => boom / update 0s + | => ariane / update 0s + | => sifive_cache / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => iocell / update 0s + | => firrtl_interpreter / update 0s + | => treadle / update 0s + + + + + + + + + + + + + + + + + + + + | => icenet / update 0s + | => boom / update 0s + | => ariane / update 0s + | => sifive_cache / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => iocell / update 0s + | => firrtl_interpreter / update 1s + | => treadle / update 1s +[info] Resolved dependencies + + + + + + + + + + + + + + + + + + + | => icenet / update 0s + | => boom / update 0s + | => ariane / update 0s + | => sifive_cache / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => iocell / update 0s + | => firrtl_interpreter / update 1s + | => treadle / update 1s +[info] Updating  + + + + + + + + + + + + + + + + + + | => icenet / update 0s + | => boom / update 0s + | => ariane / update 0s + | => sifive_cache / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => iocell / update 0s + | => firrtl_interpreter / update 1s + | => treadle / update 1s +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.6/2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.6/2? + 100.0% [##########] 2.0 KiB (59.7 KiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 0.0% [ ] 0 B (0 B / s) +[info] Resolved dependencies + + + + + + + + + + + + + + + + + | => icenet / update 0s + | => boom / update 0s + | => ariane / update 0s + | => sifive_cache / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => iocell / update 0s + | => firrtl_interpreter / update 1s + | => treadle / update 1s + + + + + + + + + + + + + + + + + | => icenet / update 0s + | => boom / update 0s + | => ariane / update 0s + | => sifive_cache / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => iocell / update 0s + | => firrtl_interpreter / update 1s + | => treadle / update 1s + + + + + + + + + + + + + + + + + | => icenet / update 0s + | => boom / update 0s + | => ariane / update 0s + | => sifive_cache / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => iocell / update 1s + | => firrtl_interpreter / update 1s + | => treadle / update 1s + + + + + + + + + + + + + + + + + | => icenet / update 0s + | => boom / update 0s + | => ariane / update 1s + | => sifive_cache / update 1s + | => hwacha / update 1s + | => nvdla / update 1s + | => iocell / update 1s + | => firrtl_interpreter / update 1s + | => treadle / update 1s + + + + + + + + + + + + + + + + + | => icenet / update 1s + | => boom / update 1s + | => ariane / update 1s + | => sifive_cache / update 1s + | => hwacha / update 1s + | => nvdla / update 1s + | => iocell / update 1s + | => firrtl_interpreter / update 1s + | => treadle / update 1s + + + + + + + + + + + + + + + + + | => icenet / update 1s + | => boom / update 1s + | => ariane / update 1s + | => sifive_cache / update 1s + | => hwacha / update 1s + | => nvdla / update 1s + | => iocell / update 1s + | => firrtl_interpreter / update 1s + | => treadle / update 1s + + + + + + + + + + + + + + + + + | => icenet / update 1s + | => boom / update 1s + | => ariane / update 1s + | => sifive_cache / update 1s + | => hwacha / update 1s + | => nvdla / update 1s + | => iocell / update 1s + | => firrtl_interpreter / update 1s + | => treadle / update 1s + + + + + + + + + + + + + + + + + | => icenet / update 1s + | => boom / update 1s + | => ariane / update 1s + | => sifive_cache / update 1s + | => hwacha / update 1s + | => nvdla / update 1s + | => iocell / update 1s + | => firrtl_interpreter / update 1s + | => treadle / update 1s + + + + + + + + + + + + + + + + + | => icenet / update 1s + | => boom / update 1s + | => ariane / update 1s + | => sifive_cache / update 1s + | => hwacha / update 1s + | => nvdla / update 1s + | => iocell / update 1s + | => firrtl_interpreter / update 1s + | => treadle / update 1s + + + + + + + + + + + + + + + + + | => icenet / update 1s + | => boom / update 1s + | => ariane / update 1s + | => sifive_cache / update 1s + | => hwacha / update 1s + | => nvdla / update 1s + | => iocell / update 1s + | => firrtl_interpreter / update 2s + | => treadle / update 2s + + + + + + + + + + + + + + + + + | => icenet / update 1s + | => boom / update 1s + | => ariane / update 1s + | => sifive_cache / update 1s + | => hwacha / update 1s + | => nvdla / update 1s + | => iocell / update 1s + | => firrtl_interpreter / update 2s + | => treadle / update 2s + + + + + + + + + + + + + + + + + | => icenet / update 1s + | => boom / update 1s + | => ariane / update 1s + | => sifive_cache / update 1s + | => hwacha / update 1s + | => nvdla / update 1s + | => iocell / update 1s + | => firrtl_interpreter / update 2s + | => treadle / update 2s +[info] Updating  + + + + + + + + + + + + + + + + | => icenet / update 1s + | => boom / update 1s + | => ariane / update 1s + | => sifive_cache / update 1s + | => hwacha / update 1s + | => nvdla / update 1s + | => iocell / update 1s + | => firrtl_interpreter / update 2s + | => treadle / update 2s + + + + + + + + + + + + + + + + | => icenet / update 1s + | => boom / update 1s + | => ariane / update 1s + | => sifive_cache / update 1s +https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? + 100.0% [##########] 1.8 KiB (127.4 KiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) + | => hwacha / update 1s + | => nvdla / update 1s + | => iocell / update 2s + | => firrtl_interpreter / update 2s + | => treadle / update 2s +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 100.0% [##########] 3.6 KiB (108.1 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8? + 100.0% [##########] 2.1 KiB (101.4 KiB / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 100.0% [##########] 2.2 KiB (104.1 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + + + + | => icenet / update 1s + | => boom / update 1s + | => ariane / update 2s + | => sifive_cache / update 2s + | => hwacha / update 2s + | => nvdla / update 2s + | => iocell / update 2s + | => firrtl_interpreter / update 2s + | => treadle / update 2s +https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/? + 100.0% [##########] 1.6 KiB (61.7 KiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? + 100.0% [##########] 1.6 KiB (62.0 KiB / s) +[info] Resolved dependencies + + + + + + + + + + + + + + + | => icenet / update 1s + | => boom / update 1s + | => ariane / update 2s + | => sifive_cache / update 2s + | => hwacha / update 2s + | => nvdla / update 2s + | => iocell / update 2s + | => firrtl_interpreter / update 2s + | => treadle / update 2s + + + + + + + + + + + + + + + | => icenet / update 2s + | => boom / update 2s + | => ariane / update 2s + | => sifive_cache / update 2s + | => hwacha / update 2s + | => nvdla / update 2s + | => iocell / update 2s + | => firrtl_interpreter / update 2s + | => treadle / update 2s + + + + + + + + + + + + + + + | => icenet / update 2s + | => boom / update 2s + | => ariane / update 2s + | => sifive_cache / update 2s + | => hwacha / update 2s + | => nvdla / update 2s + | => iocell / update 2s + | => firrtl_interpreter / update 2s + | => treadle / update 2s + + + + + + + + + + + + + + + | => icenet / update 2s + | => boom / update 2s + | => ariane / update 2s + | => sifive_cache / update 2s + | => hwacha / update 2s + | => nvdla / update 2s + | => iocell / update 2s + | => firrtl_interpreter / update 2s + | => treadle / update 2s + + + + + + + + + + + + + + + | => icenet / update 2s + | => boom / update 2s + | => ariane / update 2s + | => sifive_cache / update 2s + | => hwacha / update 2s + | => nvdla / update 2s + | => iocell / update 2s + | => firrtl_interpreter / update 2s + | => treadle / update 2s + + + + + + + + + + + + + + + | => icenet / update 2s + | => boom / update 2s + | => ariane / update 2s + | => sifive_cache / update 2s + | => hwacha / update 2s + | => nvdla / update 2s + | => iocell / update 2s + | => firrtl_interpreter / update 2s + | => treadle / update 2s + + + + + + + + + + + + + + + + | => icenet / update 2s + | => boom / update 2s + | => ariane / update 2s + | => sifive_cache / update 2s + | => nvdla / update 2s + | => iocell / update 2s + | => firrtl_interpreter / update 3s + | => treadle / update 3s + + + + + + + + + + + + + + + + | => icenet / update 2s + | => boom / update 2s + | => ariane / update 2s + | => sifive_cache / update 2s + | => nvdla / update 2s + | => iocell / update 2s + | => firrtl_interpreter / update 3s + | => treadle / update 3s + + + + + + + + + + + + + + + + + | => icenet / update 2s + | => boom / update 2s + | => sifive_cache / update 2s + | => nvdla / update 2s + | => iocell / update 2s + | => firrtl_interpreter / update 3s + | => treadle / update 3s + + + + + + + + + + + + + + + + + | => icenet / update 2s + | => boom / update 2s + | => sifive_cache / update 2s + | => nvdla / update 2s + | => iocell / update 3s + | => firrtl_interpreter / update 3s + | => treadle / update 3s + + + + + + + + + + + + + + + + + + | => icenet / update 2s + | => boom / update 2s + | => nvdla / update 3s + | => iocell / update 3s + | => firrtl_interpreter / update 3s + | => treadle / update 3s + + + + + + + + + + + + + + + + + + | => icenet / update 3s + | => boom / update 3s + | => nvdla / update 3s + | => iocell / update 3s + | => firrtl_interpreter / update 3s + | => treadle / update 3s +[info] Fetching artifacts of  + + + + + + + + + + + + + + + + + | => icenet / update 3s + | => boom / update 3s + | => nvdla / update 3s + | => iocell / update 3s + | => firrtl_interpreter / update 3s + | => treadle / update 3s +https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.jar + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + + + + + | => tracegen / update 0s + | => icenet / update 3s + | => nvdla / update 3s + | => iocell / update 3s + | => firrtl_interpreter / update 3s + | => treadle / update 3s +https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.jar + 39.5% [### ] 121.4 KiB (4.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 4.2% [ ] 148.5 KiB (5.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 0.1% [ ] 2.7 KiB (111.6 KiB / s) +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.jar + 75.6% [####### ] 232.5 KiB (4.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 8.7% [ ] 305.3 KiB (6.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 2.3% [ ] 116.6 KiB (2.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 1.5% [ ] 151.3 KiB (3.5 MiB / s) +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 0.8% [ ] 9.4 KiB (208.3 KiB / s) +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.6/2? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.jar + 100.0% [##########] 307.6 KiB (4.5 MiB / s) +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.6/2? + 25.9% [## ] 37.5 KiB (1.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 18.7% [# ] 657.3 KiB (9.6 MiB / s) +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 17.1% [# ] 196.8 KiB (3.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 6.1% [ ] 312.0 KiB (4.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 4.2% [ ] 432.0 KiB (6.8 MiB / s) +https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.6/2? + 100.0% [##########] 144.9 KiB (3.1 MiB / s) +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 56.1% [##### ] 645.6 KiB (7.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 44.5% [#### ] 1.5 MiB (17.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 16.5% [# ] 851.0 KiB (9.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 11.8% [# ] 1.2 MiB (14.5 MiB / s) +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 92.2% [######### ] 1.0 MiB (10.0 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 67.7% [###### ] 2.3 MiB (21.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 24.6% [## ] 1.2 MiB (11.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 18.1% [# ] 1.8 MiB (17.8 MiB / s) + + + + + + + + + + + + + + + + + | => tracegen / update 0s + | => icenet / update 3s + | => nvdla / update 3s + | => iocell / update 3s + | => firrtl_interpreter / update 3s + | => treadle / update 3s +https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? + 100.0% [##########] 1.1 MiB (9.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 93.1% [######### ] 3.2 MiB (25.2 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 34.0% [### ] 1.7 MiB (13.8 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 26.1% [## ] 2.6 MiB (21.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? + 100.0% [##########] 3.4 MiB (23.6 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 48.9% [#### ] 2.5 MiB (17.1 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 37.9% [### ] 3.8 MiB (26.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 66.3% [###### ] 3.3 MiB (20.4 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 51.8% [##### ] 5.1 MiB (31.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 82.5% [######## ] 4.1 MiB (22.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 66.1% [###### ] 6.6 MiB (36.3 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 100.0% [##########] 5.0 MiB (24.7 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 79.8% [####### ] 7.9 MiB (39.4 MiB / s) + + + + + + + + + + + + + + + + + | => tracegen / update 0s + | => icenet / update 3s + | => nvdla / update 3s + | => iocell / update 3s + | => firrtl_interpreter / update 3s + | => treadle / update 3s +https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? + 100.0% [##########] 5.0 MiB (22.5 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 100.0% [##########] 9.9 MiB (44.9 MiB / s) +https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? + 100.0% [##########] 9.9 MiB (41.4 MiB / s) +[info] Fetched artifacts of  + + + + + + + + + + + + + + + + | => tracegen / update 0s + | => icenet / update 3s + | => nvdla / update 3s + | => iocell / update 3s + | => firrtl_interpreter / update 3s + | => treadle / update 3s + + + + + + + + + + + + + + + + | => tracegen / update 0s + | => icenet / update 3s + | => nvdla / update 3s + | => iocell / update 3s + | => firrtl_interpreter / update 3s + | => treadle / update 3s + + + + + + + + + + + + + + + + + | => tracegen / update 0s + | => icenet / update 3s + | => nvdla / update 3s + | => iocell / update 3s + | => treadle / update 4s + + + + + + + + + + + + + + + + + | => tracegen / update 0s + | => icenet / update 3s + | => nvdla / update 3s + | => iocell / update 3s + | => treadle / update 4s + + + + + + + + + + + + + + + + + + | => tracegen / update 0s + | => nvdla / update 3s + | => iocell / update 4s + | => treadle / update 4s + + + + + + + + + + + + + + + + + + + + | => tracegen / update 0s + | => treadle / update 4s +[info] Fetching artifacts of  + + + + + + + + + + + + + + + + + + + | => tracegen / update 0s + | => treadle / update 4s +https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/? + 100.0% [##########] 81.6 KiB (5.0 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? + 100.0% [##########] 91.1 KiB (3.2 MiB / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 69.4% [###### ] 1.0 MiB (37.3 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8? + 41.0% [#### ] 196.8 KiB (10.1 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 6.7% [ ] 447.9 KiB (18.2 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8? + 100.0% [##########] 479.5 KiB (12.3 MiB / s) +https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? + 100.0% [##########] 340.8 KiB (12.8 MiB / s) +https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? + 100.0% [##########] 1.5 MiB (31.4 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 16.9% [# ] 1.1 MiB (25.1 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 35.1% [### ] 2.3 MiB (36.4 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 59.8% [##### ] 3.9 MiB (47.0 MiB / s) + + + + + + + + + + + + + + + + + + + | => tracegen / update 0s + | => treadle / update 4s +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 87.1% [######## ] 5.7 MiB (55.2 MiB / s) +https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? + 100.0% [##########] 6.5 MiB (53.0 MiB / s) +[info] Fetched artifacts of  + + + + + + + + + + + + + + + + + + | => tracegen / update 0s + | => treadle / update 4s + + + + + + + + + + + + + + + + + + | => tracegen / update 0s + | => treadle / update 4s + + + + + + + + + + + + + + + + + + | => chisel_testers / update 0s + | => tracegen / update 1s + + + + + + + + + + + + + + + + + + | => chisel_testers / update 0s + | => tracegen / update 1s + + + + + + + + + + + + + + + + + + + | => chisel_testers / update 0s + + + + + + + + + + + + + + + + + + + | => chisel_testers / update 0s + + + + + + + + + + + + + + + + + | => gemmini / update 0s + | => dsptools / update 0s + | => sha3 / update 0s + + + + + + + + + + + + + + + + + | => gemmini / update 0s + | => dsptools / update 0s + | => sha3 / update 0s + + + + + + + + + + + + + + + + + | => gemmini / update 0s + | => dsptools / update 0s + | => sha3 / update 0s + + + + + + + + + + + + + + + + + | => gemmini / update 0s + | => dsptools / update 0s + | => sha3 / update 0s + + + + + + + + + + + + + + + + + | => gemmini / update 0s + | => dsptools / update 0s + | => sha3 / update 0s + + + + + + + + + + + + + + + + + + | => gemmini / update 0s + | => dsptools / update 0s + + + + + + + + + + + + + + + + + + | => gemmini / update 0s + | => dsptools / update 0s + + + + + + + + + + + + + + + + + + + | => rocket-dsptools / update 0s + + + + + + + + + + + + + + + + + + + | => rocket-dsptools / update 0s + + + + + + + + + + + + + + + + + + + | => rocket-dsptools / update 0s + + + + + + + + + + + + + + + + + + + | => chipyard / update 0s + + + + + + + + + + + + + + + + + + + | => chipyard / update 0s + + + + + + + + + + + + + + + + + + + | => chipyard / update 0s + + + + + + + + + + + + + + + + + + | => core / Compile / unmanagedSources / allInputPathsAndAttributes 0s + | => rocketchip / Compile / unmanagedSources / allInputPathsAndAttributes 0s + + + + + + | => icenet / scalaCompilerBridgeScope / csrConfiguration 0s + | => icenet / scalaCompilerBridgeScope / csrConfiguration 0s + | => iocell / Compile / unmanagedClasspath 0s + | => testchipip / Compile / unmanagedClasspath 0s + | => iocell / scalaCompilerBridgeScope / csrConfiguration 0s + | => iocell / scalaCompilerBridgeScope / csrConfiguration 0s + | => testchipip / scalaCompilerBridgeScope / csrConfiguration 0s + | => testchipip / scalaCompilerBridgeScope / csrConfiguration 0s + | => gemmini / Compile / unmanagedClasspath 0s + | => treadle / scalaCompilerBridgeScope / csrConfiguration 0s + | => treadle / scalaCompilerBridgeScope / csrConfiguration 0s + | => firrtl_interpreter / scalaCompilerBridgeScope / csrConfiguration 0s + | => dsptools / Compile / scalacOptions 0s + | => rocket-dsptools / Compile / scalacOptions 0s + | => ariane / Compile / scalacOptions 0s + | => hwacha / Compile / scalacOptions 0s + | => hardfloat / Compile / scalacOptions 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + | => ariane / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + + + | => macros / Compile / previousCompile 0s + | => rocketConfig / Compile / previousCompile 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + | => rocketMacros / Compile / previousCompile 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + | => ariane / Compile / unmanagedResources / inputFileStamps 0s +[info] Compiling 59 Scala sources to /home/riscvuser/chipyard/tools/treadle/target/scala-2.12/classes ... + + + + + + + + + + + + + + + + + | => macros / Compile / previousCompile 0s + | => rocketConfig / Compile / previousCompile 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + | => rocketMacros / Compile / previousCompile 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + | => ariane / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + + | => ariane / Compile / copyResources 0s + | => macros / Compile / previousCompile 0s + | => rocketConfig / Compile / previousCompile 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + | => rocketMacros / Compile / previousCompile 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s +[info] Compiling 23 Scala sources to /home/riscvuser/chipyard/tools/firrtl-interpreter/target/scala-2.12/classes ... + + + + + + + + + + + + + + + + | => ariane / Compile / copyResources 0s + | => macros / Compile / previousCompile 0s + | => rocketConfig / Compile / previousCompile 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + | => rocketMacros / Compile / previousCompile 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + | => rocketMacros / Compile / compileIncremental 0s + | => ariane / Compile / copyResources 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s +[info] Non-compiled module 'compiler-bridge_2.12' for Scala 2.12.6. Compiling... + + + + + + + + + + + + + + + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + | => rocketMacros / Compile / compileIncremental 0s + | => ariane / Compile / copyResources 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + + | => core / Compile / previousCompile 0s + | => ariane / Compile / copyResources 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + + | => core / Compile / previousCompile 0s + | => ariane / Compile / copyResources 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + + | => chisel / Compile / previousCompile 0s + | => ariane / Compile / copyResources 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + + + + + + + + + + + | => chisel / Compile / previousCompile 0s + | => ariane / Compile / copyResources 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + | => chisel / Compile / packageBin 0s + | => iocell / Compile / compileIncremental 0s + | => targetutils / Compile / previousCompile 0s + | => ariane / Compile / copyResources 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s +[info] Compiling 2 Scala sources to /home/riscvuser/chipyard/tools/barstools/iocell/target/scala-2.12/classes ... + + + + + + + + + + + + + | => chisel / Compile / packageBin 0s + | => iocell / Compile / compileIncremental 0s + | => targetutils / Compile / previousCompile 0s + | => ariane / Compile / copyResources 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + | => hardfloat / Compile / compileIncremental 0s + | => nvdla / Compile / copyResources 0s + | => iocell / Compile / compileIncremental 0s + | => ariane / Compile / copyResources 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => nvdla / Compile / copyResources 0s + | => iocell / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => nvdla / Compile / copyResources 0s + | => iocell / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => treadle / Compile / compileIncremental 0s + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => nvdla / Compile / copyResources 0s + | => iocell / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => nvdla / Compile / copyResources 0s + | => iocell / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => nvdla / Compile / copyResources 0s + | => iocell / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => nvdla / Compile / copyResources 0s + | => iocell / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => nvdla / Compile / copyResources 0s + | => iocell / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => nvdla / Compile / copyResources 0s + | => iocell / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => nvdla / Compile / copyResources 0s + | => iocell / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s + + + + + + + + + + + + + | => rocketchip / Compile / mainClass 0s + | => rocketchip / Compile / packageBin / mappings 0s + | => nvdla / Compile / copyResources 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s + + + + + + + + + + + + + + + | => rocketchip / Compile / packageBin 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s +[info] Compiling 53 Scala sources to /home/riscvuser/chipyard/generators/hwacha/target/scala-2.12/classes ... + + + + + + + + + + + + + + | => rocketchip / Compile / packageBin 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s +[info] Compiling 3 Scala sources to /home/riscvuser/chipyard/generators/ariane/target/scala-2.12/classes ... + + + + + + + + + + + + + | => rocketchip / Compile / packageBin 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s +[info] Compiling 23 Scala sources to /home/riscvuser/chipyard/generators/sifive-cache/target/scala-2.12/classes ... + + + + + + + + + + + + | => rocketchip / Compile / packageBin 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s + + + + + + + + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => sifive_blocks / Compile / previousCompile 0s + | => hwacha / Compile / compileIncremental 0s + | => sifive_cache / Compile / compileIncremental 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s +[info] Compiling 4 Scala sources to /home/riscvuser/chipyard/generators/nvdla/target/scala-2.12/classes ... + + + + + + + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => sifive_blocks / Compile / previousCompile 0s + | => hwacha / Compile / compileIncremental 0s + | => sifive_cache / Compile / compileIncremental 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 1s + | => treadle / Compile / compileIncremental 1s + + + + + + + | => sifive_blocks / Compile / compileIncremental 0s + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => hwacha / Compile / compileIncremental 0s + | => sifive_cache / Compile / compileIncremental 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 2s + | => treadle / Compile / compileIncremental 2s + + + + + + + | => testchipip / Compile / previousCompile 0s + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => hwacha / Compile / compileIncremental 0s + | => sifive_cache / Compile / compileIncremental 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 2s + | => treadle / Compile / compileIncremental 2s + + + + + + + | => testchipip / Compile / packageBin 0s + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => hwacha / Compile / compileIncremental 0s + | => sifive_cache / Compile / compileIncremental 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 2s + | => treadle / Compile / compileIncremental 2s +[info] Compiling 55 Scala sources to /home/riscvuser/chipyard/generators/boom/target/scala-2.12/classes ... + + + + + + | => testchipip / Compile / packageBin 0s + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => hwacha / Compile / compileIncremental 0s + | => sifive_cache / Compile / compileIncremental 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 2s + | => treadle / Compile / compileIncremental 2s +[info] Compiling 15 Scala sources to /home/riscvuser/chipyard/generators/icenet/target/scala-2.12/classes ... + + + + + + | => testchipip / Compile / packageBin 0s + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => hwacha / Compile / compileIncremental 0s + | => sifive_cache / Compile / compileIncremental 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 2s + | => treadle / Compile / compileIncremental 2s + + + + + + | => icenet / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 0s + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => hwacha / Compile / compileIncremental 0s + | => sifive_cache / Compile / compileIncremental 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 2s + | => treadle / Compile / compileIncremental 2s + + + + + + | => icenet / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 0s + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => hwacha / Compile / compileIncremental 0s + | => sifive_cache / Compile / compileIncremental 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 2s + | => treadle / Compile / compileIncremental 2s + + + + + + | => icenet / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 0s + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => hwacha / Compile / compileIncremental 0s + | => sifive_cache / Compile / compileIncremental 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 2s + | => treadle / Compile / compileIncremental 2s + + + + + + | => icenet / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 0s + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => hwacha / Compile / compileIncremental 0s + | => sifive_cache / Compile / compileIncremental 0s + | => iocell / Compile / compileIncremental 1s + | => firrtl_interpreter / Compile / compileIncremental 2s + | => treadle / Compile / compileIncremental 2s + + + + + + | => icenet / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 0s + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => hwacha / Compile / compileIncremental 0s + | => sifive_cache / Compile / compileIncremental 0s + | => iocell / Compile / compileIncremental 2s + | => firrtl_interpreter / Compile / compileIncremental 2s + | => treadle / Compile / compileIncremental 2s + + + + + + | => icenet / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 0s + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => hwacha / Compile / compileIncremental 0s + | => sifive_cache / Compile / compileIncremental 0s + | => iocell / Compile / compileIncremental 2s + | => firrtl_interpreter / Compile / compileIncremental 2s + | => treadle / Compile / compileIncremental 2s + + + + + + | => icenet / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 0s + | => nvdla / Compile / compileIncremental 1s + | => ariane / Compile / compileIncremental 1s + | => hwacha / Compile / compileIncremental 1s + | => sifive_cache / Compile / compileIncremental 1s + | => iocell / Compile / compileIncremental 2s + | => firrtl_interpreter / Compile / compileIncremental 2s + | => treadle / Compile / compileIncremental 2s + + + + + + | => icenet / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 0s + | => nvdla / Compile / compileIncremental 1s + | => ariane / Compile / compileIncremental 1s + | => hwacha / Compile / compileIncremental 1s + | => sifive_cache / Compile / compileIncremental 1s + | => iocell / Compile / compileIncremental 2s + | => firrtl_interpreter / Compile / compileIncremental 3s + | => treadle / Compile / compileIncremental 3s + + + + + + | => icenet / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 0s + | => nvdla / Compile / compileIncremental 1s + | => ariane / Compile / compileIncremental 1s + | => hwacha / Compile / compileIncremental 1s + | => sifive_cache / Compile / compileIncremental 1s + | => iocell / Compile / compileIncremental 2s + | => firrtl_interpreter / Compile / compileIncremental 3s + | => treadle / Compile / compileIncremental 3s + + + + + + | => icenet / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 0s + | => nvdla / Compile / compileIncremental 1s + | => ariane / Compile / compileIncremental 1s + | => hwacha / Compile / compileIncremental 1s + | => sifive_cache / Compile / compileIncremental 1s + | => iocell / Compile / compileIncremental 2s + | => firrtl_interpreter / Compile / compileIncremental 3s + | => treadle / Compile / compileIncremental 3s + + + + + + | => icenet / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 1s + | => nvdla / Compile / compileIncremental 1s + | => ariane / Compile / compileIncremental 1s + | => hwacha / Compile / compileIncremental 1s + | => sifive_cache / Compile / compileIncremental 1s + | => iocell / Compile / compileIncremental 2s + | => firrtl_interpreter / Compile / compileIncremental 3s + | => treadle / Compile / compileIncremental 3s + + + + + + | => icenet / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 1s + | => nvdla / Compile / compileIncremental 1s + | => ariane / Compile / compileIncremental 1s + | => hwacha / Compile / compileIncremental 1s + | => sifive_cache / Compile / compileIncremental 1s + | => iocell / Compile / compileIncremental 2s + | => firrtl_interpreter / Compile / compileIncremental 3s + | => treadle / Compile / compileIncremental 3s + + + + + + | => icenet / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 1s + | => nvdla / Compile / compileIncremental 1s + | => ariane / Compile / compileIncremental 1s + | => hwacha / Compile / compileIncremental 1s + | => sifive_cache / Compile / compileIncremental 1s + | => iocell / Compile / compileIncremental 2s + | => firrtl_interpreter / Compile / compileIncremental 3s + | => treadle / Compile / compileIncremental 3s + + + + + + | => icenet / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 1s + | => nvdla / Compile / compileIncremental 1s + | => ariane / Compile / compileIncremental 1s + | => hwacha / Compile / compileIncremental 1s + | => sifive_cache / Compile / compileIncremental 1s + | => iocell / Compile / compileIncremental 2s + | => firrtl_interpreter / Compile / compileIncremental 3s + | => treadle / Compile / compileIncremental 3s + + + + + + | => icenet / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 1s + | => nvdla / Compile / compileIncremental 1s + | => ariane / Compile / compileIncremental 1s + | => hwacha / Compile / compileIncremental 1s + | => sifive_cache / Compile / compileIncremental 1s + | => iocell / Compile / compileIncremental 3s + | => firrtl_interpreter / Compile / compileIncremental 3s + | => treadle / Compile / compileIncremental 3s + + + + + + | => icenet / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 1s + | => nvdla / Compile / compileIncremental 1s + | => ariane / Compile / compileIncremental 1s + | => hwacha / Compile / compileIncremental 1s + | => sifive_cache / Compile / compileIncremental 1s + | => iocell / Compile / compileIncremental 3s + | => firrtl_interpreter / Compile / compileIncremental 3s + | => treadle / Compile / compileIncremental 3s + + + + + + | => icenet / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 1s + | => nvdla / Compile / compileIncremental 2s + | => ariane / Compile / compileIncremental 2s + | => hwacha / Compile / compileIncremental 2s + | => sifive_cache / Compile / compileIncremental 2s + | => iocell / Compile / compileIncremental 3s + | => firrtl_interpreter / Compile / compileIncremental 3s + | => treadle / Compile / compileIncremental 3s + + + + + + | => icenet / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 1s + | => nvdla / Compile / compileIncremental 2s + | => ariane / Compile / compileIncremental 2s + | => hwacha / Compile / compileIncremental 2s + | => sifive_cache / Compile / compileIncremental 2s + | => iocell / Compile / compileIncremental 3s + | => firrtl_interpreter / Compile / compileIncremental 4s + | => treadle / Compile / compileIncremental 4s + + + + + + | => icenet / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 1s + | => nvdla / Compile / compileIncremental 2s + | => ariane / Compile / compileIncremental 2s + | => hwacha / Compile / compileIncremental 2s + | => sifive_cache / Compile / compileIncremental 2s + | => iocell / Compile / compileIncremental 3s + | => firrtl_interpreter / Compile / compileIncremental 4s + | => treadle / Compile / compileIncremental 4s + + + + + + | => icenet / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 2s + | => nvdla / Compile / compileIncremental 2s + | => ariane / Compile / compileIncremental 2s + | => hwacha / Compile / compileIncremental 2s + | => sifive_cache / Compile / compileIncremental 2s + | => iocell / Compile / compileIncremental 3s + | => firrtl_interpreter / Compile / compileIncremental 4s + | => treadle / Compile / compileIncremental 4s + + + + + + | => icenet / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 2s + | => nvdla / Compile / compileIncremental 2s + | => ariane / Compile / compileIncremental 2s + | => hwacha / Compile / compileIncremental 2s + | => sifive_cache / Compile / compileIncremental 2s + | => iocell / Compile / compileIncremental 3s + | => firrtl_interpreter / Compile / compileIncremental 4s + | => treadle / Compile / compileIncremental 4s + + + + + + | => icenet / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 2s + | => nvdla / Compile / compileIncremental 2s + | => ariane / Compile / compileIncremental 2s + | => hwacha / Compile / compileIncremental 2s + | => sifive_cache / Compile / compileIncremental 2s + | => iocell / Compile / compileIncremental 3s + | => firrtl_interpreter / Compile / compileIncremental 4s + | => treadle / Compile / compileIncremental 4s + + + + + + | => icenet / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 2s + | => nvdla / Compile / compileIncremental 2s + | => ariane / Compile / compileIncremental 2s + | => hwacha / Compile / compileIncremental 2s + | => sifive_cache / Compile / compileIncremental 2s + | => iocell / Compile / compileIncremental 3s + | => firrtl_interpreter / Compile / compileIncremental 4s + | => treadle / Compile / compileIncremental 4s + + + + + + | => icenet / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 2s + | => nvdla / Compile / compileIncremental 2s + | => ariane / Compile / compileIncremental 2s + | => hwacha / Compile / compileIncremental 2s + | => sifive_cache / Compile / compileIncremental 2s + | => iocell / Compile / compileIncremental 3s + | => firrtl_interpreter / Compile / compileIncremental 4s + | => treadle / Compile / compileIncremental 4s + + + + + + | => icenet / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 2s + | => nvdla / Compile / compileIncremental 2s + | => ariane / Compile / compileIncremental 2s + | => hwacha / Compile / compileIncremental 2s + | => sifive_cache / Compile / compileIncremental 2s + | => iocell / Compile / compileIncremental 4s + | => firrtl_interpreter / Compile / compileIncremental 4s + | => treadle / Compile / compileIncremental 4s + + + + + + | => icenet / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 2s + | => nvdla / Compile / compileIncremental 2s + | => ariane / Compile / compileIncremental 2s + | => hwacha / Compile / compileIncremental 2s + | => sifive_cache / Compile / compileIncremental 2s + | => iocell / Compile / compileIncremental 4s + | => firrtl_interpreter / Compile / compileIncremental 4s + | => treadle / Compile / compileIncremental 4s + + + + + + | => icenet / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 2s + | => nvdla / Compile / compileIncremental 3s + | => ariane / Compile / compileIncremental 3s + | => hwacha / Compile / compileIncremental 3s + | => sifive_cache / Compile / compileIncremental 3s + | => iocell / Compile / compileIncremental 4s + | => firrtl_interpreter / Compile / compileIncremental 4s + | => treadle / Compile / compileIncremental 4s + + + + + + | => icenet / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 2s + | => nvdla / Compile / compileIncremental 3s + | => ariane / Compile / compileIncremental 3s + | => hwacha / Compile / compileIncremental 3s + | => sifive_cache / Compile / compileIncremental 3s + | => iocell / Compile / compileIncremental 4s + | => firrtl_interpreter / Compile / compileIncremental 5s + | => treadle / Compile / compileIncremental 5s + + + + + + | => icenet / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 2s + | => nvdla / Compile / compileIncremental 3s + | => ariane / Compile / compileIncremental 3s + | => hwacha / Compile / compileIncremental 3s + | => sifive_cache / Compile / compileIncremental 3s + | => iocell / Compile / compileIncremental 4s + | => firrtl_interpreter / Compile / compileIncremental 5s + | => treadle / Compile / compileIncremental 5s + + + + + + | => icenet / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 3s + | => nvdla / Compile / compileIncremental 3s + | => ariane / Compile / compileIncremental 3s + | => hwacha / Compile / compileIncremental 3s + | => sifive_cache / Compile / compileIncremental 3s + | => iocell / Compile / compileIncremental 4s + | => firrtl_interpreter / Compile / compileIncremental 5s + | => treadle / Compile / compileIncremental 5s + + + + + + | => icenet / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 3s + | => nvdla / Compile / compileIncremental 3s + | => ariane / Compile / compileIncremental 3s + | => hwacha / Compile / compileIncremental 3s + | => sifive_cache / Compile / compileIncremental 3s + | => iocell / Compile / compileIncremental 4s + | => firrtl_interpreter / Compile / compileIncremental 5s + | => treadle / Compile / compileIncremental 5s + + + + + + | => icenet / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 3s + | => nvdla / Compile / compileIncremental 3s + | => ariane / Compile / compileIncremental 3s + | => hwacha / Compile / compileIncremental 3s + | => sifive_cache / Compile / compileIncremental 3s + | => iocell / Compile / compileIncremental 4s + | => firrtl_interpreter / Compile / compileIncremental 5s + | => treadle / Compile / compileIncremental 5s + + + + + + | => icenet / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 3s + | => nvdla / Compile / compileIncremental 3s + | => ariane / Compile / compileIncremental 3s + | => hwacha / Compile / compileIncremental 3s + | => sifive_cache / Compile / compileIncremental 3s + | => iocell / Compile / compileIncremental 4s + | => firrtl_interpreter / Compile / compileIncremental 5s + | => treadle / Compile / compileIncremental 5s + + + + + + | => icenet / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 3s + | => nvdla / Compile / compileIncremental 3s + | => ariane / Compile / compileIncremental 3s + | => hwacha / Compile / compileIncremental 3s + | => sifive_cache / Compile / compileIncremental 3s + | => iocell / Compile / compileIncremental 4s + | => firrtl_interpreter / Compile / compileIncremental 5s + | => treadle / Compile / compileIncremental 5s + + + + + + | => icenet / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 3s + | => nvdla / Compile / compileIncremental 3s + | => ariane / Compile / compileIncremental 3s + | => hwacha / Compile / compileIncremental 3s + | => sifive_cache / Compile / compileIncremental 3s + | => iocell / Compile / compileIncremental 5s + | => firrtl_interpreter / Compile / compileIncremental 5s + | => treadle / Compile / compileIncremental 5s + + + + + + | => icenet / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 3s + | => nvdla / Compile / compileIncremental 3s + | => ariane / Compile / compileIncremental 3s + | => hwacha / Compile / compileIncremental 4s + | => sifive_cache / Compile / compileIncremental 4s + | => iocell / Compile / compileIncremental 5s + | => firrtl_interpreter / Compile / compileIncremental 5s + | => treadle / Compile / compileIncremental 5s + + + + + + | => icenet / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 3s + | => nvdla / Compile / compileIncremental 4s + | => ariane / Compile / compileIncremental 4s + | => hwacha / Compile / compileIncremental 4s + | => sifive_cache / Compile / compileIncremental 4s + | => iocell / Compile / compileIncremental 5s + | => firrtl_interpreter / Compile / compileIncremental 5s + | => treadle / Compile / compileIncremental 5s + + + + + + | => icenet / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 3s + | => nvdla / Compile / compileIncremental 4s + | => ariane / Compile / compileIncremental 4s + | => hwacha / Compile / compileIncremental 4s + | => sifive_cache / Compile / compileIncremental 4s + | => iocell / Compile / compileIncremental 5s + | => firrtl_interpreter / Compile / compileIncremental 6s + | => treadle / Compile / compileIncremental 6s + + + + + + | => icenet / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 3s + | => nvdla / Compile / compileIncremental 4s + | => ariane / Compile / compileIncremental 4s + | => hwacha / Compile / compileIncremental 4s + | => sifive_cache / Compile / compileIncremental 4s + | => iocell / Compile / compileIncremental 5s + | => firrtl_interpreter / Compile / compileIncremental 6s + | => treadle / Compile / compileIncremental 6s + + + + + + | => icenet / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 4s + | => nvdla / Compile / compileIncremental 4s + | => ariane / Compile / compileIncremental 4s + | => hwacha / Compile / compileIncremental 4s + | => sifive_cache / Compile / compileIncremental 4s + | => iocell / Compile / compileIncremental 5s + | => firrtl_interpreter / Compile / compileIncremental 6s + | => treadle / Compile / compileIncremental 6s + + + + + + | => icenet / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 4s + | => nvdla / Compile / compileIncremental 4s + | => ariane / Compile / compileIncremental 4s + | => hwacha / Compile / compileIncremental 4s + | => sifive_cache / Compile / compileIncremental 4s + | => iocell / Compile / compileIncremental 5s + | => firrtl_interpreter / Compile / compileIncremental 6s + | => treadle / Compile / compileIncremental 6s + + + + + + | => icenet / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 4s + | => nvdla / Compile / compileIncremental 4s + | => ariane / Compile / compileIncremental 4s + | => hwacha / Compile / compileIncremental 4s + | => sifive_cache / Compile / compileIncremental 4s + | => iocell / Compile / compileIncremental 5s + | => firrtl_interpreter / Compile / compileIncremental 6s + | => treadle / Compile / compileIncremental 6s + + + + + + | => icenet / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 4s + | => nvdla / Compile / compileIncremental 4s + | => ariane / Compile / compileIncremental 4s + | => hwacha / Compile / compileIncremental 4s + | => sifive_cache / Compile / compileIncremental 4s + | => iocell / Compile / compileIncremental 5s + | => firrtl_interpreter / Compile / compileIncremental 6s + | => treadle / Compile / compileIncremental 6s + + + + + + | => icenet / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 4s + | => nvdla / Compile / compileIncremental 4s + | => ariane / Compile / compileIncremental 4s + | => hwacha / Compile / compileIncremental 4s + | => sifive_cache / Compile / compileIncremental 4s + | => iocell / Compile / compileIncremental 6s + | => firrtl_interpreter / Compile / compileIncremental 6s + | => treadle / Compile / compileIncremental 6s + + + + + + | => icenet / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 4s + | => nvdla / Compile / compileIncremental 4s + | => ariane / Compile / compileIncremental 4s + | => hwacha / Compile / compileIncremental 4s + | => sifive_cache / Compile / compileIncremental 4s + | => iocell / Compile / compileIncremental 6s + | => firrtl_interpreter / Compile / compileIncremental 6s + | => treadle / Compile / compileIncremental 6s + + + + + + | => icenet / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 4s + | => nvdla / Compile / compileIncremental 4s + | => ariane / Compile / compileIncremental 5s + | => hwacha / Compile / compileIncremental 5s + | => sifive_cache / Compile / compileIncremental 5s + | => iocell / Compile / compileIncremental 6s + | => firrtl_interpreter / Compile / compileIncremental 6s + | => treadle / Compile / compileIncremental 6s + + + + + + | => icenet / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 4s + | => nvdla / Compile / compileIncremental 5s + | => ariane / Compile / compileIncremental 5s + | => hwacha / Compile / compileIncremental 5s + | => sifive_cache / Compile / compileIncremental 5s + | => iocell / Compile / compileIncremental 6s + | => firrtl_interpreter / Compile / compileIncremental 6s + | => treadle / Compile / compileIncremental 6s + + + + + + | => icenet / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 4s + | => nvdla / Compile / compileIncremental 5s + | => ariane / Compile / compileIncremental 5s + | => hwacha / Compile / compileIncremental 5s + | => sifive_cache / Compile / compileIncremental 5s + | => iocell / Compile / compileIncremental 6s + | => firrtl_interpreter / Compile / compileIncremental 7s + | => treadle / Compile / compileIncremental 7s + + + + + + | => icenet / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 4s + | => nvdla / Compile / compileIncremental 5s + | => ariane / Compile / compileIncremental 5s + | => hwacha / Compile / compileIncremental 5s + | => sifive_cache / Compile / compileIncremental 5s + | => iocell / Compile / compileIncremental 6s + | => firrtl_interpreter / Compile / compileIncremental 7s + | => treadle / Compile / compileIncremental 7s + + + + + + | => icenet / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 5s + | => nvdla / Compile / compileIncremental 5s + | => ariane / Compile / compileIncremental 5s + | => hwacha / Compile / compileIncremental 5s + | => sifive_cache / Compile / compileIncremental 5s + | => iocell / Compile / compileIncremental 6s + | => firrtl_interpreter / Compile / compileIncremental 7s + | => treadle / Compile / compileIncremental 7s + + + + + + | => icenet / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 5s + | => nvdla / Compile / compileIncremental 5s + | => ariane / Compile / compileIncremental 5s + | => hwacha / Compile / compileIncremental 5s + | => sifive_cache / Compile / compileIncremental 5s + | => iocell / Compile / compileIncremental 6s + | => firrtl_interpreter / Compile / compileIncremental 7s + | => treadle / Compile / compileIncremental 7s + + + + + + | => icenet / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 5s + | => nvdla / Compile / compileIncremental 5s + | => ariane / Compile / compileIncremental 5s + | => hwacha / Compile / compileIncremental 5s + | => sifive_cache / Compile / compileIncremental 5s + | => iocell / Compile / compileIncremental 6s + | => firrtl_interpreter / Compile / compileIncremental 7s + | => treadle / Compile / compileIncremental 7s + + + + + + | => icenet / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 5s + | => nvdla / Compile / compileIncremental 5s + | => ariane / Compile / compileIncremental 5s + | => hwacha / Compile / compileIncremental 5s + | => sifive_cache / Compile / compileIncremental 5s + | => iocell / Compile / compileIncremental 6s + | => firrtl_interpreter / Compile / compileIncremental 7s + | => treadle / Compile / compileIncremental 7s + + + + + + | => icenet / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 5s + | => nvdla / Compile / compileIncremental 5s + | => ariane / Compile / compileIncremental 5s + | => hwacha / Compile / compileIncremental 5s + | => sifive_cache / Compile / compileIncremental 5s + | => iocell / Compile / compileIncremental 7s + | => firrtl_interpreter / Compile / compileIncremental 7s + | => treadle / Compile / compileIncremental 7s + + + + + + | => icenet / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 5s + | => nvdla / Compile / compileIncremental 5s + | => ariane / Compile / compileIncremental 5s + | => hwacha / Compile / compileIncremental 5s + | => sifive_cache / Compile / compileIncremental 5s + | => iocell / Compile / compileIncremental 7s + | => firrtl_interpreter / Compile / compileIncremental 7s + | => treadle / Compile / compileIncremental 7s + + + + + + | => icenet / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 5s + | => nvdla / Compile / compileIncremental 6s + | => ariane / Compile / compileIncremental 6s + | => hwacha / Compile / compileIncremental 6s + | => sifive_cache / Compile / compileIncremental 6s + | => iocell / Compile / compileIncremental 7s + | => firrtl_interpreter / Compile / compileIncremental 7s + | => treadle / Compile / compileIncremental 7s + + + + + + | => icenet / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 5s + | => nvdla / Compile / compileIncremental 6s + | => ariane / Compile / compileIncremental 6s + | => hwacha / Compile / compileIncremental 6s + | => sifive_cache / Compile / compileIncremental 6s + | => iocell / Compile / compileIncremental 7s + | => firrtl_interpreter / Compile / compileIncremental 7s + | => treadle / Compile / compileIncremental 7s + + + + + + | => icenet / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 5s + | => nvdla / Compile / compileIncremental 6s + | => ariane / Compile / compileIncremental 6s + | => hwacha / Compile / compileIncremental 6s + | => sifive_cache / Compile / compileIncremental 6s + | => iocell / Compile / compileIncremental 7s + | => firrtl_interpreter / Compile / compileIncremental 8s + | => treadle / Compile / compileIncremental 8s + + + + + + | => icenet / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 5s + | => nvdla / Compile / compileIncremental 6s + | => ariane / Compile / compileIncremental 6s + | => hwacha / Compile / compileIncremental 6s + | => sifive_cache / Compile / compileIncremental 6s + | => iocell / Compile / compileIncremental 7s + | => firrtl_interpreter / Compile / compileIncremental 8s + | => treadle / Compile / compileIncremental 8s + + + + + + | => icenet / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 6s + | => nvdla / Compile / compileIncremental 6s + | => ariane / Compile / compileIncremental 6s + | => hwacha / Compile / compileIncremental 6s + | => sifive_cache / Compile / compileIncremental 6s + | => iocell / Compile / compileIncremental 7s + | => firrtl_interpreter / Compile / compileIncremental 8s + | => treadle / Compile / compileIncremental 8s + + + + + + | => icenet / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 6s + | => nvdla / Compile / compileIncremental 6s + | => ariane / Compile / compileIncremental 6s + | => hwacha / Compile / compileIncremental 6s + | => sifive_cache / Compile / compileIncremental 6s + | => iocell / Compile / compileIncremental 7s + | => firrtl_interpreter / Compile / compileIncremental 8s + | => treadle / Compile / compileIncremental 8s + + + + + + | => icenet / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 6s + | => nvdla / Compile / compileIncremental 6s + | => ariane / Compile / compileIncremental 6s + | => hwacha / Compile / compileIncremental 6s + | => sifive_cache / Compile / compileIncremental 6s + | => iocell / Compile / compileIncremental 7s + | => firrtl_interpreter / Compile / compileIncremental 8s + | => treadle / Compile / compileIncremental 8s + + + + + + | => icenet / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 6s + | => nvdla / Compile / compileIncremental 6s + | => ariane / Compile / compileIncremental 6s + | => hwacha / Compile / compileIncremental 6s + | => sifive_cache / Compile / compileIncremental 6s + | => iocell / Compile / compileIncremental 7s + | => firrtl_interpreter / Compile / compileIncremental 8s + | => treadle / Compile / compileIncremental 8s + + + + + + | => icenet / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 6s + | => nvdla / Compile / compileIncremental 6s + | => ariane / Compile / compileIncremental 6s + | => hwacha / Compile / compileIncremental 6s + | => sifive_cache / Compile / compileIncremental 6s + | => iocell / Compile / compileIncremental 8s + | => firrtl_interpreter / Compile / compileIncremental 8s + | => treadle / Compile / compileIncremental 8s + + + + + + | => icenet / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 6s + | => nvdla / Compile / compileIncremental 6s + | => ariane / Compile / compileIncremental 6s + | => hwacha / Compile / compileIncremental 6s + | => sifive_cache / Compile / compileIncremental 6s + | => iocell / Compile / compileIncremental 8s + | => firrtl_interpreter / Compile / compileIncremental 8s + | => treadle / Compile / compileIncremental 8s + + + + + + | => icenet / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 6s + | => nvdla / Compile / compileIncremental 7s + | => ariane / Compile / compileIncremental 7s + | => hwacha / Compile / compileIncremental 7s + | => sifive_cache / Compile / compileIncremental 7s + | => iocell / Compile / compileIncremental 8s + | => firrtl_interpreter / Compile / compileIncremental 8s + | => treadle / Compile / compileIncremental 8s + + + + + + | => icenet / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 6s + | => nvdla / Compile / compileIncremental 7s + | => ariane / Compile / compileIncremental 7s + | => hwacha / Compile / compileIncremental 7s + | => sifive_cache / Compile / compileIncremental 7s + | => iocell / Compile / compileIncremental 8s + | => firrtl_interpreter / Compile / compileIncremental 9s + | => treadle / Compile / compileIncremental 9s + + + + + + | => icenet / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 6s + | => nvdla / Compile / compileIncremental 7s + | => ariane / Compile / compileIncremental 7s + | => hwacha / Compile / compileIncremental 7s + | => sifive_cache / Compile / compileIncremental 7s + | => iocell / Compile / compileIncremental 8s + | => firrtl_interpreter / Compile / compileIncremental 9s + | => treadle / Compile / compileIncremental 9s + + + + + + | => icenet / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 6s + | => nvdla / Compile / compileIncremental 7s + | => ariane / Compile / compileIncremental 7s + | => hwacha / Compile / compileIncremental 7s + | => sifive_cache / Compile / compileIncremental 7s + | => iocell / Compile / compileIncremental 8s + | => firrtl_interpreter / Compile / compileIncremental 9s + | => treadle / Compile / compileIncremental 9s + + + + + + | => icenet / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 7s + | => nvdla / Compile / compileIncremental 7s + | => ariane / Compile / compileIncremental 7s + | => hwacha / Compile / compileIncremental 7s + | => sifive_cache / Compile / compileIncremental 7s + | => iocell / Compile / compileIncremental 8s + | => firrtl_interpreter / Compile / compileIncremental 9s + | => treadle / Compile / compileIncremental 9s + + + + + + | => icenet / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 7s + | => nvdla / Compile / compileIncremental 7s + | => ariane / Compile / compileIncremental 7s + | => hwacha / Compile / compileIncremental 7s + | => sifive_cache / Compile / compileIncremental 7s + | => iocell / Compile / compileIncremental 8s + | => firrtl_interpreter / Compile / compileIncremental 9s + | => treadle / Compile / compileIncremental 9s + + + + + + | => icenet / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 7s + | => nvdla / Compile / compileIncremental 7s + | => ariane / Compile / compileIncremental 7s + | => hwacha / Compile / compileIncremental 7s + | => sifive_cache / Compile / compileIncremental 7s + | => iocell / Compile / compileIncremental 8s + | => firrtl_interpreter / Compile / compileIncremental 9s + | => treadle / Compile / compileIncremental 9s + + + + + + | => icenet / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 7s + | => nvdla / Compile / compileIncremental 7s + | => ariane / Compile / compileIncremental 7s + | => hwacha / Compile / compileIncremental 7s + | => sifive_cache / Compile / compileIncremental 7s + | => iocell / Compile / compileIncremental 8s + | => firrtl_interpreter / Compile / compileIncremental 9s + | => treadle / Compile / compileIncremental 9s + + + + + + | => icenet / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 7s + | => nvdla / Compile / compileIncremental 7s + | => ariane / Compile / compileIncremental 7s + | => hwacha / Compile / compileIncremental 7s + | => sifive_cache / Compile / compileIncremental 7s + | => iocell / Compile / compileIncremental 9s + | => firrtl_interpreter / Compile / compileIncremental 9s + | => treadle / Compile / compileIncremental 9s + + + + + + | => icenet / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 7s + | => nvdla / Compile / compileIncremental 7s + | => ariane / Compile / compileIncremental 7s + | => hwacha / Compile / compileIncremental 7s + | => sifive_cache / Compile / compileIncremental 7s + | => iocell / Compile / compileIncremental 9s + | => firrtl_interpreter / Compile / compileIncremental 9s + | => treadle / Compile / compileIncremental 9s + + + + + + | => icenet / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 7s + | => nvdla / Compile / compileIncremental 8s + | => ariane / Compile / compileIncremental 8s + | => hwacha / Compile / compileIncremental 8s + | => sifive_cache / Compile / compileIncremental 8s + | => iocell / Compile / compileIncremental 9s + | => firrtl_interpreter / Compile / compileIncremental 9s + | => treadle / Compile / compileIncremental 9s + + + + + + | => icenet / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 7s + | => nvdla / Compile / compileIncremental 8s + | => ariane / Compile / compileIncremental 8s + | => hwacha / Compile / compileIncremental 8s + | => sifive_cache / Compile / compileIncremental 8s + | => iocell / Compile / compileIncremental 9s + | => firrtl_interpreter / Compile / compileIncremental 10s + | => treadle / Compile / compileIncremental 10s + + + + + + | => icenet / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 7s + | => nvdla / Compile / compileIncremental 8s + | => ariane / Compile / compileIncremental 8s + | => hwacha / Compile / compileIncremental 8s + | => sifive_cache / Compile / compileIncremental 8s + | => iocell / Compile / compileIncremental 9s + | => firrtl_interpreter / Compile / compileIncremental 10s + | => treadle / Compile / compileIncremental 10s + + + + + + | => icenet / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 7s + | => nvdla / Compile / compileIncremental 8s + | => ariane / Compile / compileIncremental 8s + | => hwacha / Compile / compileIncremental 8s + | => sifive_cache / Compile / compileIncremental 8s + | => iocell / Compile / compileIncremental 9s + | => firrtl_interpreter / Compile / compileIncremental 10s + | => treadle / Compile / compileIncremental 10s + + + + + + | => icenet / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 8s + | => nvdla / Compile / compileIncremental 8s + | => ariane / Compile / compileIncremental 8s + | => hwacha / Compile / compileIncremental 8s + | => sifive_cache / Compile / compileIncremental 8s + | => iocell / Compile / compileIncremental 9s + | => firrtl_interpreter / Compile / compileIncremental 10s + | => treadle / Compile / compileIncremental 10s + + + + + + | => icenet / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 8s + | => nvdla / Compile / compileIncremental 8s + | => ariane / Compile / compileIncremental 8s + | => hwacha / Compile / compileIncremental 8s + | => sifive_cache / Compile / compileIncremental 8s + | => iocell / Compile / compileIncremental 9s + | => firrtl_interpreter / Compile / compileIncremental 10s + | => treadle / Compile / compileIncremental 10s + + + + + + | => icenet / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 8s + | => nvdla / Compile / compileIncremental 8s + | => ariane / Compile / compileIncremental 8s + | => hwacha / Compile / compileIncremental 8s + | => sifive_cache / Compile / compileIncremental 8s + | => iocell / Compile / compileIncremental 9s + | => firrtl_interpreter / Compile / compileIncremental 10s + | => treadle / Compile / compileIncremental 10s + + + + + + | => icenet / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 8s + | => nvdla / Compile / compileIncremental 8s + | => ariane / Compile / compileIncremental 8s + | => hwacha / Compile / compileIncremental 8s + | => sifive_cache / Compile / compileIncremental 8s + | => iocell / Compile / compileIncremental 9s + | => firrtl_interpreter / Compile / compileIncremental 10s + | => treadle / Compile / compileIncremental 10s + + + + + + | => icenet / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 8s + | => nvdla / Compile / compileIncremental 8s + | => ariane / Compile / compileIncremental 8s + | => hwacha / Compile / compileIncremental 8s + | => sifive_cache / Compile / compileIncremental 8s + | => iocell / Compile / compileIncremental 10s + | => firrtl_interpreter / Compile / compileIncremental 10s + | => treadle / Compile / compileIncremental 10s + + + + + + | => icenet / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 8s + | => nvdla / Compile / compileIncremental 8s + | => ariane / Compile / compileIncremental 8s + | => hwacha / Compile / compileIncremental 8s + | => sifive_cache / Compile / compileIncremental 8s + | => iocell / Compile / compileIncremental 10s + | => firrtl_interpreter / Compile / compileIncremental 10s + | => treadle / Compile / compileIncremental 10s +[info]  Compilation completed in 10.612s. + + + + + + | => icenet / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 8s + | => nvdla / Compile / compileIncremental 8s + | => ariane / Compile / compileIncremental 8s + | => hwacha / Compile / compileIncremental 8s + | => sifive_cache / Compile / compileIncremental 8s + | => iocell / Compile / compileIncremental 10s + | => firrtl_interpreter / Compile / compileIncremental 10s + | => treadle / Compile / compileIncremental 10s + + + + + + | => icenet / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 8s + | => nvdla / Compile / compileIncremental 9s + | => ariane / Compile / compileIncremental 9s + | => hwacha / Compile / compileIncremental 9s + | => sifive_cache / Compile / compileIncremental 9s + | => iocell / Compile / compileIncremental 10s + | => firrtl_interpreter / Compile / compileIncremental 10s + | => treadle / Compile / compileIncremental 10s + + + + + + | => icenet / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 8s + | => nvdla / Compile / compileIncremental 9s + | => ariane / Compile / compileIncremental 9s + | => hwacha / Compile / compileIncremental 9s + | => sifive_cache / Compile / compileIncremental 9s + | => iocell / Compile / compileIncremental 10s + | => firrtl_interpreter / Compile / compileIncremental 11s + | => treadle / Compile / compileIncremental 11s + + + + + + | => icenet / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 8s + | => nvdla / Compile / compileIncremental 9s + | => ariane / Compile / compileIncremental 9s + | => hwacha / Compile / compileIncremental 9s + | => sifive_cache / Compile / compileIncremental 9s + | => iocell / Compile / compileIncremental 10s + | => firrtl_interpreter / Compile / compileIncremental 11s + | => treadle / Compile / compileIncremental 11s + + + + + + | => icenet / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 8s + | => nvdla / Compile / compileIncremental 9s + | => ariane / Compile / compileIncremental 9s + | => hwacha / Compile / compileIncremental 9s + | => sifive_cache / Compile / compileIncremental 9s + | => iocell / Compile / compileIncremental 10s + | => firrtl_interpreter / Compile / compileIncremental 11s + | => treadle / Compile / compileIncremental 11s + + + + + + | => icenet / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 9s + | => nvdla / Compile / compileIncremental 9s + | => ariane / Compile / compileIncremental 9s + | => hwacha / Compile / compileIncremental 9s + | => sifive_cache / Compile / compileIncremental 9s + | => iocell / Compile / compileIncremental 10s + | => firrtl_interpreter / Compile / compileIncremental 11s + | => treadle / Compile / compileIncremental 11s + + + + + + | => icenet / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 9s + | => nvdla / Compile / compileIncremental 9s + | => ariane / Compile / compileIncremental 9s + | => hwacha / Compile / compileIncremental 9s + | => sifive_cache / Compile / compileIncremental 9s + | => iocell / Compile / compileIncremental 10s + | => firrtl_interpreter / Compile / compileIncremental 11s + | => treadle / Compile / compileIncremental 11s + + + + + + | => icenet / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 9s + | => nvdla / Compile / compileIncremental 9s + | => ariane / Compile / compileIncremental 9s + | => hwacha / Compile / compileIncremental 9s + | => sifive_cache / Compile / compileIncremental 9s + | => iocell / Compile / compileIncremental 10s + | => firrtl_interpreter / Compile / compileIncremental 11s + | => treadle / Compile / compileIncremental 11s + + + + + + | => icenet / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 9s + | => nvdla / Compile / compileIncremental 9s + | => ariane / Compile / compileIncremental 9s + | => hwacha / Compile / compileIncremental 9s + | => sifive_cache / Compile / compileIncremental 9s + | => iocell / Compile / compileIncremental 10s + | => firrtl_interpreter / Compile / compileIncremental 11s + | => treadle / Compile / compileIncremental 11s + + + + + + | => icenet / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 9s + | => nvdla / Compile / compileIncremental 9s + | => ariane / Compile / compileIncremental 9s + | => hwacha / Compile / compileIncremental 9s + | => sifive_cache / Compile / compileIncremental 9s + | => iocell / Compile / compileIncremental 11s + | => firrtl_interpreter / Compile / compileIncremental 11s + | => treadle / Compile / compileIncremental 11s + + + + + + | => icenet / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 9s + | => nvdla / Compile / compileIncremental 9s + | => ariane / Compile / compileIncremental 9s + | => hwacha / Compile / compileIncremental 9s + | => sifive_cache / Compile / compileIncremental 9s + | => iocell / Compile / compileIncremental 11s + | => firrtl_interpreter / Compile / compileIncremental 11s + | => treadle / Compile / compileIncremental 11s + + + + + + | => icenet / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 9s + | => nvdla / Compile / compileIncremental 10s + | => ariane / Compile / compileIncremental 10s + | => hwacha / Compile / compileIncremental 10s + | => sifive_cache / Compile / compileIncremental 10s + | => iocell / Compile / compileIncremental 11s + | => firrtl_interpreter / Compile / compileIncremental 11s + | => treadle / Compile / compileIncremental 11s + + + + + + | => icenet / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 9s + | => nvdla / Compile / compileIncremental 10s + | => ariane / Compile / compileIncremental 10s + | => hwacha / Compile / compileIncremental 10s + | => sifive_cache / Compile / compileIncremental 10s + | => iocell / Compile / compileIncremental 11s + | => firrtl_interpreter / Compile / compileIncremental 12s + | => treadle / Compile / compileIncremental 12s + + + + + + | => icenet / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 9s + | => nvdla / Compile / compileIncremental 10s + | => ariane / Compile / compileIncremental 10s + | => hwacha / Compile / compileIncremental 10s + | => sifive_cache / Compile / compileIncremental 10s + | => iocell / Compile / compileIncremental 11s + | => firrtl_interpreter / Compile / compileIncremental 12s + | => treadle / Compile / compileIncremental 12s + + + + + + | => icenet / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 10s + | => nvdla / Compile / compileIncremental 10s + | => ariane / Compile / compileIncremental 10s + | => hwacha / Compile / compileIncremental 10s + | => sifive_cache / Compile / compileIncremental 10s + | => iocell / Compile / compileIncremental 11s + | => firrtl_interpreter / Compile / compileIncremental 12s + | => treadle / Compile / compileIncremental 12s + + + + + + | => icenet / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 10s + | => nvdla / Compile / compileIncremental 10s + | => ariane / Compile / compileIncremental 10s + | => hwacha / Compile / compileIncremental 10s + | => sifive_cache / Compile / compileIncremental 10s + | => iocell / Compile / compileIncremental 11s + | => firrtl_interpreter / Compile / compileIncremental 12s + | => treadle / Compile / compileIncremental 12s + + + + + + | => icenet / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 10s + | => nvdla / Compile / compileIncremental 10s + | => ariane / Compile / compileIncremental 10s + | => hwacha / Compile / compileIncremental 10s + | => sifive_cache / Compile / compileIncremental 10s + | => iocell / Compile / compileIncremental 11s + | => firrtl_interpreter / Compile / compileIncremental 12s + | => treadle / Compile / compileIncremental 12s + + + + + + | => icenet / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 10s + | => nvdla / Compile / compileIncremental 10s + | => ariane / Compile / compileIncremental 10s + | => hwacha / Compile / compileIncremental 10s + | => sifive_cache / Compile / compileIncremental 10s + | => iocell / Compile / compileIncremental 11s + | => firrtl_interpreter / Compile / compileIncremental 12s + | => treadle / Compile / compileIncremental 12s + + + + + + | => icenet / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 10s + | => nvdla / Compile / compileIncremental 10s + | => ariane / Compile / compileIncremental 10s + | => hwacha / Compile / compileIncremental 10s + | => sifive_cache / Compile / compileIncremental 10s + | => iocell / Compile / compileIncremental 12s + | => firrtl_interpreter / Compile / compileIncremental 12s + | => treadle / Compile / compileIncremental 12s + + + + + + | => icenet / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 10s + | => nvdla / Compile / compileIncremental 10s + | => ariane / Compile / compileIncremental 10s + | => hwacha / Compile / compileIncremental 10s + | => sifive_cache / Compile / compileIncremental 10s + | => iocell / Compile / compileIncremental 12s + | => firrtl_interpreter / Compile / compileIncremental 12s + | => treadle / Compile / compileIncremental 12s + + + + + + | => icenet / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 10s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 12s + | => firrtl_interpreter / Compile / compileIncremental 12s + | => treadle / Compile / compileIncremental 12s + + + + + + | => icenet / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 10s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 12s + | => firrtl_interpreter / Compile / compileIncremental 12s + | => treadle / Compile / compileIncremental 12s + + + + + + | => icenet / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 10s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 12s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Concrete.scala:270:4: @deprecated now takes two arguments; see the scaladoc. + + + + + + | => icenet / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 10s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 12s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s +[warn]  @deprecated(s"Use toBinaryString instead") + + + + + + | => icenet / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 10s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 12s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 10s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 12s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s + + + + + + | => icenet / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 10s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 12s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s + + + + + + | => icenet / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 11s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 12s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s + + + + + + | => icenet / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 11s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 12s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s + + + + + + | => icenet / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 11s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 12s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s + + + + + + | => icenet / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 11s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 12s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s + + + + + + | => icenet / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 11s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/ExternalModule.scala:41:4: @deprecated now takes two arguments; see the scaladoc. + + + + + + | => icenet / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 11s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s +[warn]  @deprecated("Do not use. This was formerly used to add BlackBox name to io, just use un-prefixed input names") + + + + + + | => icenet / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 11s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 11s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s + + + + + + | => icenet / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 11s + | => nvdla / Compile / compileIncremental 11s + | => ariane / Compile / compileIncremental 11s + | => hwacha / Compile / compileIncremental 11s + | => sifive_cache / Compile / compileIncremental 11s + | => iocell / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s + + + + + + | => icenet / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 11s + | => nvdla / Compile / compileIncremental 12s + | => ariane / Compile / compileIncremental 12s + | => hwacha / Compile / compileIncremental 12s + | => sifive_cache / Compile / compileIncremental 12s + | => iocell / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 13s + | => treadle / Compile / compileIncremental 13s + + + + + + | => icenet / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 11s + | => nvdla / Compile / compileIncremental 12s + | => ariane / Compile / compileIncremental 12s + | => hwacha / Compile / compileIncremental 12s + | => sifive_cache / Compile / compileIncremental 12s + | => iocell / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 14s + | => treadle / Compile / compileIncremental 14s + + + + + + | => icenet / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 11s + | => nvdla / Compile / compileIncremental 12s + | => ariane / Compile / compileIncremental 12s + | => hwacha / Compile / compileIncremental 12s + | => sifive_cache / Compile / compileIncremental 12s + | => iocell / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 14s + | => treadle / Compile / compileIncremental 14s + + + + + + | => icenet / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 11s + | => nvdla / Compile / compileIncremental 12s + | => ariane / Compile / compileIncremental 12s + | => hwacha / Compile / compileIncremental 12s + | => sifive_cache / Compile / compileIncremental 12s + | => iocell / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 14s + | => treadle / Compile / compileIncremental 14s + + + + + + | => icenet / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 12s + | => nvdla / Compile / compileIncremental 12s + | => ariane / Compile / compileIncremental 12s + | => hwacha / Compile / compileIncremental 12s + | => sifive_cache / Compile / compileIncremental 12s + | => iocell / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 14s + | => treadle / Compile / compileIncremental 14s + + + + + + | => icenet / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 12s + | => nvdla / Compile / compileIncremental 12s + | => ariane / Compile / compileIncremental 12s + | => hwacha / Compile / compileIncremental 12s + | => sifive_cache / Compile / compileIncremental 12s + | => iocell / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 14s + | => treadle / Compile / compileIncremental 14s + + + + + + | => icenet / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 12s + | => nvdla / Compile / compileIncremental 12s + | => ariane / Compile / compileIncremental 12s + | => hwacha / Compile / compileIncremental 12s + | => sifive_cache / Compile / compileIncremental 12s + | => iocell / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 14s + | => treadle / Compile / compileIncremental 14s + + + + + + | => icenet / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 12s + | => nvdla / Compile / compileIncremental 12s + | => ariane / Compile / compileIncremental 12s + | => hwacha / Compile / compileIncremental 12s + | => sifive_cache / Compile / compileIncremental 12s + | => iocell / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 14s + | => treadle / Compile / compileIncremental 14s + + + + + + | => icenet / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 12s + | => nvdla / Compile / compileIncremental 12s + | => ariane / Compile / compileIncremental 12s + | => hwacha / Compile / compileIncremental 12s + | => sifive_cache / Compile / compileIncremental 12s + | => iocell / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 14s + | => treadle / Compile / compileIncremental 14s + + + + + + | => icenet / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 12s + | => nvdla / Compile / compileIncremental 12s + | => ariane / Compile / compileIncremental 12s + | => hwacha / Compile / compileIncremental 12s + | => sifive_cache / Compile / compileIncremental 12s + | => iocell / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 14s + | => treadle / Compile / compileIncremental 14s + + + + + + | => icenet / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 12s + | => nvdla / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => iocell / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 14s + | => treadle / Compile / compileIncremental 14s + + + + + + | => icenet / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 12s + | => nvdla / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => iocell / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s + + + + + + | => icenet / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 12s + | => nvdla / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => iocell / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s +[warn] there was one feature warning; re-run with -feature for details + + + + + + | => icenet / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 12s + | => nvdla / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => iocell / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s +[warn] one warning found + + + + + + | => icenet / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 12s + | => nvdla / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => iocell / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s + + + + + + | => icenet / Compile / compileIncremental 13s + | => boom / Compile / compileIncremental 13s + | => nvdla / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => iocell / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s + + + + + + | => iocell / Compile / compile 0s + | => icenet / Compile / compileIncremental 13s + | => boom / Compile / compileIncremental 13s + | => nvdla / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s + + + + + + | => iocell / Compile / compile 0s + | => icenet / Compile / compileIncremental 13s + | => boom / Compile / compileIncremental 13s + | => nvdla / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s +[warn] there were 62 feature warnings; re-run with -feature for details + + + + + + | => iocell / Compile / compile 0s + | => icenet / Compile / compileIncremental 13s + | => boom / Compile / compileIncremental 13s + | => nvdla / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s +[warn] one warning found + + + + + + | => iocell / Compile / compile 0s + | => icenet / Compile / compileIncremental 13s + | => boom / Compile / compileIncremental 13s + | => nvdla / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s + + + + + + + | => icenet / Compile / compileIncremental 13s + | => boom / Compile / compileIncremental 13s + | => nvdla / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s + + + + + + + | => nvdla / Compile / compile 0s + | => icenet / Compile / compileIncremental 13s + | => boom / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s + + + + + + + | => nvdla / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 13s + | => boom / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s + + + + + + + | => nvdla / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 13s + | => boom / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 13s + | => hwacha / Compile / compileIncremental 13s + | => sifive_cache / Compile / compileIncremental 13s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s + + + + + + + | => nvdla / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 13s + | => boom / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 14s + | => hwacha / Compile / compileIncremental 14s + | => sifive_cache / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 15s + | => treadle / Compile / compileIncremental 15s + + + + + + + | => nvdla / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 13s + | => boom / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 14s + | => hwacha / Compile / compileIncremental 14s + | => sifive_cache / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 16s + | => treadle / Compile / compileIncremental 16s + + + + + + + | => nvdla / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 13s + | => boom / Compile / compileIncremental 13s + | => ariane / Compile / compileIncremental 14s + | => hwacha / Compile / compileIncremental 14s + | => sifive_cache / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 16s + | => treadle / Compile / compileIncremental 16s + + + + + + + | => nvdla / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 14s + | => boom / Compile / compileIncremental 14s + | => ariane / Compile / compileIncremental 14s + | => hwacha / Compile / compileIncremental 14s + | => sifive_cache / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 16s + | => treadle / Compile / compileIncremental 16s + + + + + + + | => nvdla / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 14s + | => boom / Compile / compileIncremental 14s + | => ariane / Compile / compileIncremental 14s + | => hwacha / Compile / compileIncremental 14s + | => sifive_cache / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 16s + | => treadle / Compile / compileIncremental 16s + + + + + + + | => nvdla / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 14s + | => boom / Compile / compileIncremental 14s + | => ariane / Compile / compileIncremental 14s + | => hwacha / Compile / compileIncremental 14s + | => sifive_cache / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 16s + | => treadle / Compile / compileIncremental 16s + + + + + + + | => nvdla / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 14s + | => boom / Compile / compileIncremental 14s + | => ariane / Compile / compileIncremental 14s + | => hwacha / Compile / compileIncremental 14s + | => sifive_cache / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 16s + | => treadle / Compile / compileIncremental 16s + + + + + + + | => nvdla / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 14s + | => boom / Compile / compileIncremental 14s + | => ariane / Compile / compileIncremental 14s + | => hwacha / Compile / compileIncremental 14s + | => sifive_cache / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 16s + | => treadle / Compile / compileIncremental 16s + + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 14s + | => boom / Compile / compileIncremental 14s + | => ariane / Compile / compileIncremental 14s + | => hwacha / Compile / compileIncremental 14s + | => sifive_cache / Compile / compileIncremental 14s + | => firrtl_interpreter / Compile / compileIncremental 16s + | => treadle / Compile / compileIncremental 16s + + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 14s + | => boom / Compile / compileIncremental 14s + | => ariane / Compile / compileIncremental 14s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 16s + | => treadle / Compile / compileIncremental 16s + + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 14s + | => boom / Compile / compileIncremental 14s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 16s + | => treadle / Compile / compileIncremental 16s + + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 14s + | => boom / Compile / compileIncremental 14s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s + + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 14s + | => boom / Compile / compileIncremental 14s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s + + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s + + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s + + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Concrete.scala:17:5: match may not be exhaustive. + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn] It would fail on the following inputs: (Concrete(), _), (ConcreteSInt(_, _, _), _), (ConcreteUInt(_, _, _), _), (_, Concrete()), (_, ConcreteSInt(_, _, _)), (_, ConcreteUInt(_, _, _)), (_, _) + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn]  (this, that) match { + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn]  ^ + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Concrete.scala:29:5: match may not be exhaustive. + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn] It would fail on the following inputs: (Concrete(), _), (ConcreteSInt(_, _, _), _), (ConcreteUInt(_, _, _), _), (_, Concrete()), (_, ConcreteSInt(_, _, _)), (_, ConcreteUInt(_, _, _)), (_, _) + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn]  (this, that) match { + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn]  ^ + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Concrete.scala:43:5: match may not be exhaustive. + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn] It would fail on the following inputs: (Concrete(), _), (ConcreteSInt(_, _, _), _), (ConcreteUInt(_, _, _), _), (_, Concrete()), (_, ConcreteSInt(_, _, _)), (_, ConcreteUInt(_, _, _)), (_, _) + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn]  (this, that) match { + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn]  ^ + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Concrete.scala:51:5: match may not be exhaustive. + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn] It would fail on the following inputs: (Concrete(), _), (ConcreteSInt(_, _, _), _), (ConcreteUInt(_, _, _), _), (_, Concrete()), (_, ConcreteSInt(_, _, _)), (_, ConcreteUInt(_, _, _)), (_, _) + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn]  (this, that) match { + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn]  ^ + + + + + + | => nvdla / Compile / packageBin 1s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s + + + + + + | => nvdla / Compile / packageBin 2s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 15s + | => hwacha / Compile / compileIncremental 15s + | => sifive_cache / Compile / compileIncremental 15s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s + + + + + + | => nvdla / Compile / packageBin 2s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Concrete.scala:67:5: match may not be exhaustive. + + + + + + | => nvdla / Compile / packageBin 2s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn] It would fail on the following inputs: (Concrete(), _), (ConcreteSInt(_, _, _), _), (ConcreteUInt(_, _, _), _), (_, Concrete()), (_, ConcreteSInt(_, _, _)), (_, ConcreteUInt(_, _, _)), (_, _) + + + + + + | => nvdla / Compile / packageBin 2s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn]  (this, that) match { + + + + + + | => nvdla / Compile / packageBin 2s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s +[warn]  ^ + + + + + + | => nvdla / Compile / packageBin 2s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s + + + + + + | => nvdla / Compile / packageBin 2s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 17s + | => treadle / Compile / compileIncremental 17s + + + + + + | => nvdla / Compile / packageBin 2s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 18s + | => treadle / Compile / compileIncremental 18s + + + + + + | => nvdla / Compile / packageBin 2s + | => icenet / Compile / compileIncremental 15s + | => boom / Compile / compileIncremental 15s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 18s + | => treadle / Compile / compileIncremental 18s + + + + + + | => nvdla / Compile / packageBin 2s + | => icenet / Compile / compileIncremental 16s + | => boom / Compile / compileIncremental 16s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 18s + | => treadle / Compile / compileIncremental 18s + + + + + + | => nvdla / Compile / packageBin 2s + | => icenet / Compile / compileIncremental 16s + | => boom / Compile / compileIncremental 16s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 18s + | => treadle / Compile / compileIncremental 18s + + + + + + | => nvdla / Compile / packageBin 2s + | => icenet / Compile / compileIncremental 16s + | => boom / Compile / compileIncremental 16s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 18s + | => treadle / Compile / compileIncremental 18s + + + + + + | => nvdla / Compile / packageBin 2s + | => icenet / Compile / compileIncremental 16s + | => boom / Compile / compileIncremental 16s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 18s + | => treadle / Compile / compileIncremental 18s + + + + + + | => nvdla / Compile / packageBin 3s + | => icenet / Compile / compileIncremental 16s + | => boom / Compile / compileIncremental 16s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 18s + | => treadle / Compile / compileIncremental 18s + + + + + + | => nvdla / Compile / packageBin 3s + | => icenet / Compile / compileIncremental 16s + | => boom / Compile / compileIncremental 16s + | => ariane / Compile / compileIncremental 16s + | => hwacha / Compile / compileIncremental 16s + | => sifive_cache / Compile / compileIncremental 16s + | => firrtl_interpreter / Compile / compileIncremental 18s + | => treadle / Compile / compileIncremental 18s + + + + + + | => nvdla / Compile / packageBin 3s + | => icenet / Compile / compileIncremental 16s + | => boom / Compile / compileIncremental 16s + | => ariane / Compile / compileIncremental 17s + | => hwacha / Compile / compileIncremental 17s + | => sifive_cache / Compile / compileIncremental 17s + | => firrtl_interpreter / Compile / compileIncremental 18s + | => treadle / Compile / compileIncremental 18s + + + + + + | => nvdla / Compile / packageBin 3s + | => icenet / Compile / compileIncremental 16s + | => boom / Compile / compileIncremental 16s + | => ariane / Compile / compileIncremental 17s + | => hwacha / Compile / compileIncremental 17s + | => sifive_cache / Compile / compileIncremental 17s + | => firrtl_interpreter / Compile / compileIncremental 19s + | => treadle / Compile / compileIncremental 19s + + + + + + | => nvdla / Compile / packageBin 3s + | => icenet / Compile / compileIncremental 16s + | => boom / Compile / compileIncremental 16s + | => ariane / Compile / compileIncremental 17s + | => hwacha / Compile / compileIncremental 17s + | => sifive_cache / Compile / compileIncremental 17s + | => firrtl_interpreter / Compile / compileIncremental 19s + | => treadle / Compile / compileIncremental 19s + + + + + + | => nvdla / Compile / packageBin 3s + | => icenet / Compile / compileIncremental 16s + | => boom / Compile / compileIncremental 16s + | => ariane / Compile / compileIncremental 17s + | => hwacha / Compile / compileIncremental 17s + | => sifive_cache / Compile / compileIncremental 17s + | => firrtl_interpreter / Compile / compileIncremental 19s + | => treadle / Compile / compileIncremental 19s + + + + + + | => nvdla / Compile / packageBin 3s + | => icenet / Compile / compileIncremental 17s + | => boom / Compile / compileIncremental 17s + | => ariane / Compile / compileIncremental 17s + | => hwacha / Compile / compileIncremental 17s + | => sifive_cache / Compile / compileIncremental 17s + | => firrtl_interpreter / Compile / compileIncremental 19s + | => treadle / Compile / compileIncremental 19s + + + + + + | => nvdla / Compile / packageBin 3s + | => icenet / Compile / compileIncremental 17s + | => boom / Compile / compileIncremental 17s + | => ariane / Compile / compileIncremental 17s + | => hwacha / Compile / compileIncremental 17s + | => sifive_cache / Compile / compileIncremental 17s + | => firrtl_interpreter / Compile / compileIncremental 19s + | => treadle / Compile / compileIncremental 19s + + + + + + | => nvdla / Compile / packageBin 3s + | => icenet / Compile / compileIncremental 17s + | => boom / Compile / compileIncremental 17s + | => ariane / Compile / compileIncremental 17s + | => hwacha / Compile / compileIncremental 17s + | => sifive_cache / Compile / compileIncremental 17s + | => firrtl_interpreter / Compile / compileIncremental 19s + | => treadle / Compile / compileIncremental 19s + + + + + + | => nvdla / Compile / packageBin 3s + | => icenet / Compile / compileIncremental 17s + | => boom / Compile / compileIncremental 17s + | => ariane / Compile / compileIncremental 17s + | => hwacha / Compile / compileIncremental 17s + | => sifive_cache / Compile / compileIncremental 17s + | => firrtl_interpreter / Compile / compileIncremental 19s + | => treadle / Compile / compileIncremental 19s + + + + + + + | => icenet / Compile / compileIncremental 17s + | => boom / Compile / compileIncremental 17s + | => ariane / Compile / compileIncremental 17s + | => hwacha / Compile / compileIncremental 17s + | => sifive_cache / Compile / compileIncremental 17s + | => firrtl_interpreter / Compile / compileIncremental 19s + | => treadle / Compile / compileIncremental 19s + + + + + + + | => icenet / Compile / compileIncremental 17s + | => boom / Compile / compileIncremental 17s + | => ariane / Compile / compileIncremental 17s + | => hwacha / Compile / compileIncremental 17s + | => sifive_cache / Compile / compileIncremental 17s + | => firrtl_interpreter / Compile / compileIncremental 19s + | => treadle / Compile / compileIncremental 19s + + + + + + + | => icenet / Compile / compileIncremental 17s + | => boom / Compile / compileIncremental 17s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 19s + | => treadle / Compile / compileIncremental 19s + + + + + + + | => icenet / Compile / compileIncremental 17s + | => boom / Compile / compileIncremental 17s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s + + + + + + + | => icenet / Compile / compileIncremental 17s + | => boom / Compile / compileIncremental 17s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s + + + + + + + | => icenet / Compile / compileIncremental 17s + | => boom / Compile / compileIncremental 17s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s + + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/Directory.scala:113:20: method apply in object LFSR16 is deprecated (since 3.2): Use chisel3.util.random.LFSR(16) for a 16-bit LFSR + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  val victimLFSR = LFSR16(params.dirReg(ren))(InclusiveCacheParameters.lfsrBits-1, 0) + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/InclusiveCache.scala:77:24: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  clientFn = { _ => TLClientPortParameters(Seq(TLClientParameters( + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/InclusiveCache.scala:77:51: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  clientFn = { _ => TLClientPortParameters(Seq(TLClientParameters( + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/InclusiveCache.scala:82:24: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  managerFn = { m => TLManagerPortParameters( + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/InclusiveCache.scala:83:42: method copy in class TLSlaveParameters is deprecated: Use v1copy instead of copy + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  managers = m.managers.map { m => m.copy( + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:18:18: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  extends firrtl.ComposableOptions { + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:20:41: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  def vcdOutputFileName(optionsManager: ExecutionOptionsManager): String = { + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:31:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  self: ExecutionOptionsManager => + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:121:41: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] class InterpreterOptionsManager extends ExecutionOptionsManager("interpreter") with HasInterpreterSuite + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:123:35: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] trait HasInterpreterSuite extends ExecutionOptionsManager with HasFirrtlOptions with HasInterpreterOptions { + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:123:64: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] trait HasInterpreterSuite extends ExecutionOptionsManager with HasFirrtlOptions with HasInterpreterOptions { + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:124:10: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  self : ExecutionOptionsManager => + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/InterpretiveTester.scala:24:29: class CommonOptions in package firrtl is deprecated (since 1.2): Use a FirrtlOptionsView, LoggerOptionsView, or construct your own view of an AnnotationSeq + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  val commonOptions: firrtl.CommonOptions = optionsManager.commonOptions + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/ReplConfig.scala:14:18: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  extends firrtl.ComposableOptions + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/ReplConfig.scala:17:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  self: ExecutionOptionsManager => + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/ToLoFirrtl.scala:10:29: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  optionsManager: ExecutionOptionsManager with HasFirrtlOptions with HasInterpreterOptions): Circuit = { + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/ToLoFirrtl.scala:11:24: class LowFirrtlCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[LowFirrtlEmitter]) + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  val compiler = new LowFirrtlCompiler + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/ToLoFirrtl.scala:14:72: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  val compileResult = compiler.compileAndEmit(firrtl.CircuitState(c, ChirrtlForm, annotations)) + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/VcdReplayTester.scala:215:18: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  extends firrtl.ComposableOptions + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/VcdReplayTester.scala:218:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  self: ExecutionOptionsManager => + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 18s + | => hwacha / Compile / compileIncremental 18s + | => sifive_cache / Compile / compileIncremental 18s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/vcd/VCDConfig.scala:14:18: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  extends firrtl.ComposableOptions { + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/vcd/VCDConfig.scala:18:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  self: ExecutionOptionsManager => + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/vcd/VCDConfig.scala:55:33: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn] class VCDOptionsManager extends ExecutionOptionsManager("vcd") with HasVCDConfig + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 20s + | => treadle / Compile / compileIncremental 20s + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 21s + | => treadle / Compile / compileIncremental 21s + + + + + + | => icenet / Compile / compileIncremental 18s + | => boom / Compile / compileIncremental 18s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 21s + | => treadle / Compile / compileIncremental 21s + + + + + + | => icenet / Compile / compileIncremental 19s + | => boom / Compile / compileIncremental 19s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 21s + | => treadle / Compile / compileIncremental 21s + + + + + + | => icenet / Compile / compileIncremental 19s + | => boom / Compile / compileIncremental 19s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 21s + | => treadle / Compile / compileIncremental 21s +[warn] there were 61 feature warnings; re-run with -feature for details + + + + + + | => icenet / Compile / compileIncremental 19s + | => boom / Compile / compileIncremental 19s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 21s + | => treadle / Compile / compileIncremental 21s + + + + + + | => icenet / Compile / compileIncremental 19s + | => boom / Compile / compileIncremental 19s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 21s + | => treadle / Compile / compileIncremental 21s +[warn] one warning found + + + + + + | => icenet / Compile / compileIncremental 19s + | => boom / Compile / compileIncremental 19s + | => ariane / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 21s + | => treadle / Compile / compileIncremental 21s + + + + + + | => ariane / Compile / compile 0s + | => icenet / Compile / compileIncremental 19s + | => boom / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 21s + | => treadle / Compile / compileIncremental 21s + + + + + + | => ariane / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 19s + | => boom / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 21s + | => treadle / Compile / compileIncremental 21s + + + + + + | => ariane / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 19s + | => boom / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 21s + | => treadle / Compile / compileIncremental 21s + + + + + + | => ariane / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 19s + | => boom / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 19s + | => sifive_cache / Compile / compileIncremental 19s + | => firrtl_interpreter / Compile / compileIncremental 21s + | => treadle / Compile / compileIncremental 21s + + + + + + | => ariane / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 19s + | => boom / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 20s + | => sifive_cache / Compile / compileIncremental 20s + | => firrtl_interpreter / Compile / compileIncremental 21s + | => treadle / Compile / compileIncremental 21s + + + + + + | => ariane / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 19s + | => boom / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 20s + | => sifive_cache / Compile / compileIncremental 20s + | => firrtl_interpreter / Compile / compileIncremental 22s + | => treadle / Compile / compileIncremental 22s + + + + + + | => ariane / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 19s + | => boom / Compile / compileIncremental 19s + | => hwacha / Compile / compileIncremental 20s + | => sifive_cache / Compile / compileIncremental 20s + | => firrtl_interpreter / Compile / compileIncremental 22s + | => treadle / Compile / compileIncremental 22s + + + + + + | => ariane / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 20s + | => boom / Compile / compileIncremental 20s + | => hwacha / Compile / compileIncremental 20s + | => sifive_cache / Compile / compileIncremental 20s + | => firrtl_interpreter / Compile / compileIncremental 22s + | => treadle / Compile / compileIncremental 22s + + + + + + | => ariane / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 20s + | => boom / Compile / compileIncremental 20s + | => hwacha / Compile / compileIncremental 20s + | => sifive_cache / Compile / compileIncremental 20s + | => firrtl_interpreter / Compile / compileIncremental 22s + | => treadle / Compile / compileIncremental 22s + + + + + + | => ariane / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 20s + | => boom / Compile / compileIncremental 20s + | => hwacha / Compile / compileIncremental 20s + | => sifive_cache / Compile / compileIncremental 20s + | => firrtl_interpreter / Compile / compileIncremental 22s + | => treadle / Compile / compileIncremental 22s + + + + + + + | => icenet / Compile / compileIncremental 20s + | => boom / Compile / compileIncremental 20s + | => hwacha / Compile / compileIncremental 20s + | => sifive_cache / Compile / compileIncremental 20s + | => firrtl_interpreter / Compile / compileIncremental 22s + | => treadle / Compile / compileIncremental 22s + + + + + + + | => icenet / Compile / compileIncremental 20s + | => boom / Compile / compileIncremental 20s + | => hwacha / Compile / compileIncremental 20s + | => sifive_cache / Compile / compileIncremental 20s + | => firrtl_interpreter / Compile / compileIncremental 22s + | => treadle / Compile / compileIncremental 22s + + + + + + + | => icenet / Compile / compileIncremental 20s + | => boom / Compile / compileIncremental 20s + | => hwacha / Compile / compileIncremental 20s + | => sifive_cache / Compile / compileIncremental 20s + | => firrtl_interpreter / Compile / compileIncremental 22s + | => treadle / Compile / compileIncremental 22s + + + + + + + | => icenet / Compile / compileIncremental 20s + | => boom / Compile / compileIncremental 20s + | => hwacha / Compile / compileIncremental 21s + | => sifive_cache / Compile / compileIncremental 21s + | => firrtl_interpreter / Compile / compileIncremental 22s + | => treadle / Compile / compileIncremental 22s + + + + + + + | => icenet / Compile / compileIncremental 20s + | => boom / Compile / compileIncremental 20s + | => hwacha / Compile / compileIncremental 21s + | => sifive_cache / Compile / compileIncremental 21s + | => firrtl_interpreter / Compile / compileIncremental 22s + | => treadle / Compile / compileIncremental 22s + + + + + + + | => icenet / Compile / compileIncremental 20s + | => boom / Compile / compileIncremental 20s + | => hwacha / Compile / compileIncremental 21s + | => sifive_cache / Compile / compileIncremental 21s + | => firrtl_interpreter / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 23s + + + + + + + | => icenet / Compile / compileIncremental 20s + | => boom / Compile / compileIncremental 20s + | => hwacha / Compile / compileIncremental 21s + | => sifive_cache / Compile / compileIncremental 21s + | => firrtl_interpreter / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 23s + + + + + + + | => icenet / Compile / compileIncremental 21s + | => boom / Compile / compileIncremental 21s + | => hwacha / Compile / compileIncremental 21s + | => sifive_cache / Compile / compileIncremental 21s + | => firrtl_interpreter / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 23s + + + + + + + | => icenet / Compile / compileIncremental 21s + | => boom / Compile / compileIncremental 21s + | => hwacha / Compile / compileIncremental 21s + | => sifive_cache / Compile / compileIncremental 21s + | => firrtl_interpreter / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 23s + + + + + + + | => icenet / Compile / compileIncremental 21s + | => boom / Compile / compileIncremental 21s + | => hwacha / Compile / compileIncremental 21s + | => sifive_cache / Compile / compileIncremental 21s + | => firrtl_interpreter / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 23s + + + + + + + | => icenet / Compile / compileIncremental 21s + | => boom / Compile / compileIncremental 21s + | => hwacha / Compile / compileIncremental 21s + | => sifive_cache / Compile / compileIncremental 21s + | => firrtl_interpreter / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 23s + + + + + + + | => icenet / Compile / compileIncremental 21s + | => boom / Compile / compileIncremental 21s + | => hwacha / Compile / compileIncremental 21s + | => sifive_cache / Compile / compileIncremental 21s + | => firrtl_interpreter / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 23s + + + + + + + | => icenet / Compile / compileIncremental 21s + | => boom / Compile / compileIncremental 21s + | => hwacha / Compile / compileIncremental 21s + | => sifive_cache / Compile / compileIncremental 21s + | => firrtl_interpreter / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 23s + + + + + + + | => icenet / Compile / compileIncremental 21s + | => boom / Compile / compileIncremental 21s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 23s + + + + + + + | => icenet / Compile / compileIncremental 21s + | => boom / Compile / compileIncremental 21s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 23s + + + + + + + | => icenet / Compile / compileIncremental 21s + | => boom / Compile / compileIncremental 21s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s + + + + + + + | => icenet / Compile / compileIncremental 21s + | => boom / Compile / compileIncremental 21s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s + + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s + + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s + + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s + + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s + + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s + + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:43:18: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] ) extends firrtl.ComposableOptions { + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:45:41: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  def vcdOutputFileName(optionsManager: ExecutionOptionsManager): String = { + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:79:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  self: ExecutionOptionsManager => + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:219:18: method apply in object TreadleTester is deprecated (since since ): Use TreadleTester(annotationSeq) instead + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  val tester = TreadleTester(firrtlInput, optionsManager) + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:234:37: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] class TreadleOptionsManager extends ExecutionOptionsManager("engine") with HasTreadleSuite + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:236:31: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] trait HasTreadleSuite extends ExecutionOptionsManager with HasFirrtlOptions with HasTreadleOptions { + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:236:60: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] trait HasTreadleSuite extends ExecutionOptionsManager with HasFirrtlOptions with HasTreadleOptions { + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:237:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  self: ExecutionOptionsManager => + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Regression.scala:85:18: method apply in object TreadleTester is deprecated (since since ): Use TreadleTester(annotationSeq) instead + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  val tester = TreadleTester(gcdFirrtl, manager) + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Regression.scala:180:43: method apply in object TreadleTester is deprecated (since since ): Use TreadleTester(annotationSeq) instead + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  val tester = timer("tester assembly")(TreadleTester(input, optionsManager)) + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleOptions.scala:267:40: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] case class TreadleFirrtlFormHint(form: CircuitForm) extends NoTargetAnnotation + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleOptions.scala:275:29: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  case "low" => LowForm + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleOptions.scala:276:29: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  case "high" => HighForm + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleOptions.scala:277:29: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  case "chirrtl" => ChirrtlForm + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleOptions.scala:278:29: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  case "unknown" => UnknownForm + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 22s + | => sifive_cache / Compile / compileIncremental 22s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleTester.scala:48:73: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  def this(input: String, optionsManager: HasTreadleSuite, circuitForm: CircuitForm = ChirrtlForm) = { + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleTester.scala:48:87: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  def this(input: String, optionsManager: HasTreadleSuite, circuitForm: CircuitForm = ChirrtlForm) = { + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => firrtl_interpreter / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 24s + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => firrtl_interpreter / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 25s + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => firrtl_interpreter / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 25s + + + + + + | => icenet / Compile / compileIncremental 22s + | => boom / Compile / compileIncremental 22s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => firrtl_interpreter / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 25s + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => firrtl_interpreter / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 25s +[warn] 25 warnings found + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => firrtl_interpreter / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 25s + + + + + + | => firrtl_interpreter / Compile / compile 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + | => firrtl_interpreter / Compile / compile 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/repl/ReplConfig.scala:74:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => firrtl_interpreter / Compile / compile 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  self: ExecutionOptionsManager => + + + + + + | => firrtl_interpreter / Compile / compile 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / compile 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/TreadleStage.scala:42:39: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  def checkFormTransform(circuitForm: CircuitForm, annotations: AnnotationSeq): AnnotationSeq = { + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/TreadleStage.scala:44:12: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  case ChirrtlForm => chirrtlPhases + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:45:43: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  val form = annotationSeq.collectFirst { case TreadleFirrtlFormHint(form) => form }.getOrElse(UnknownForm) + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:45:98: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  val form = annotationSeq.collectFirst { case TreadleFirrtlFormHint(form) => form }.getOrElse(UnknownForm) + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:65:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  def inputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:65:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  def inputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:66:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:66:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:81:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def inputForm: CircuitForm = ChirrtlForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:81:41: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def inputForm: CircuitForm = ChirrtlForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:83:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:83:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:86:23: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  if (state.form == ChirrtlForm || state.form == UnknownForm) { + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:86:52: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  if (state.form == ChirrtlForm || state.form == UnknownForm) { + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:88:34: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def inputForm: CircuitForm = ChirrtlForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:88:48: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def inputForm: CircuitForm = ChirrtlForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:89:34: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:89:48: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:91:51: method getLoweringTransforms in object CompilerUtils is deprecated (since FIRRTL 1.3): Use a TransformManager requesting which transforms you want to run. This will be removed in 1.4. + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def transforms: Seq[Transform] = getLoweringTransforms(ChirrtlForm, LowForm) + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:91:73: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def transforms: Seq[Transform] = getLoweringTransforms(ChirrtlForm, LowForm) + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:91:86: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def transforms: Seq[Transform] = getLoweringTransforms(ChirrtlForm, LowForm) + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:101:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def inputForm: CircuitForm = HighForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:101:41: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def inputForm: CircuitForm = HighForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:103:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:103:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:106:23: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  if (state.form == HighForm) { + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:108:34: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def inputForm: CircuitForm = HighForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:108:48: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def inputForm: CircuitForm = HighForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:109:34: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:109:48: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:112:49: method getLoweringTransforms in object CompilerUtils is deprecated (since FIRRTL 1.3): Use a TransformManager requesting which transforms you want to run. This will be removed in 1.4. + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  Seq(new ReplSeqMem, RemoveCHIRRTL) ++ getLoweringTransforms(HighForm, LowForm) + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:112:71: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  Seq(new ReplSeqMem, RemoveCHIRRTL) ++ getLoweringTransforms(HighForm, LowForm) + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:112:81: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  Seq(new ReplSeqMem, RemoveCHIRRTL) ++ getLoweringTransforms(HighForm, LowForm) + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:136:11: class LowFirrtlOptimization in package firrtl is deprecated (since FIRRTL 1.3): Use 'new TransformManager(Forms.LowFormOptimized, Forms.LowForm)'. This will be removed in 1.4. + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  new LowFirrtlOptimization, + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/AugmentPrintf.scala:67:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def inputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/AugmentPrintf.scala:67:41: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def inputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/AugmentPrintf.scala:69:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/AugmentPrintf.scala:69:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/RemoveTempWires.scala:27:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def inputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/RemoveTempWires.scala:27:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def inputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/RemoveTempWires.scala:28:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/RemoveTempWires.scala:28:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:30:27: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] object ToLoFirrtl extends Compiler { + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:33:5: method getLoweringTransforms in object CompilerUtils is deprecated (since FIRRTL 1.3): Use a TransformManager requesting which transforms you want to run. This will be removed in 1.4. + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  getLoweringTransforms(ChirrtlForm, LowForm) ++ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:33:27: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  getLoweringTransforms(ChirrtlForm, LowForm) ++ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:33:40: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  getLoweringTransforms(ChirrtlForm, LowForm) ++ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:34:15: class LowFirrtlOptimization in package firrtl is deprecated (since FIRRTL 1.3): Use 'new TransformManager(Forms.LowFormOptimized, Forms.LowForm)'. This will be removed in 1.4. + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  Seq(new LowFirrtlOptimization, new BlackBoxSourceHelper, new FixupOps) + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:38:29: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  optionsManager: ExecutionOptionsManager with HasFirrtlOptions with HasTreadleOptions): Circuit = { + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:41:63: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  val compileResult = compileAndEmit(firrtl.CircuitState(c, ChirrtlForm, annotations)) + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:51:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  def inputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:51:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  def inputForm: CircuitForm = LowForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:52:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  def outputForm: CircuitForm = HighForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:52:33: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  def outputForm: CircuitForm = HighForm + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => firrtl_interpreter / Compile / packageBin 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s + + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/generators/icenet/src/main/scala/TCAM.scala:27:50: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  val node = TLHelper.makeManagerNode(beatBytes, TLManagerParameters( + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/vcd/VCDConfig.scala:28:20: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  extends firrtl.ComposableOptions {} + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/vcd/VCDConfig.scala:31:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  self: ExecutionOptionsManager => + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/vcd/VCDConfig.scala:95:33: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn] class VCDOptionsManager extends ExecutionOptionsManager("vcd") with HasVCDConfig + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s +[warn]  ^ + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 23s + | => sifive_cache / Compile / compileIncremental 23s + | => treadle / Compile / compileIncremental 25s + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 24s + | => sifive_cache / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 25s + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 24s + | => sifive_cache / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 26s + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 24s + | => sifive_cache / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 26s +[warn] there were 650 feature warnings; re-run with -feature for details + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 24s + | => sifive_cache / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 26s +[warn] 6 warnings found + + + + + + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 24s + | => sifive_cache / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 26s + + + + + + | => sifive_cache / Compile / compile 0s + | => icenet / Compile / compileIncremental 23s + | => boom / Compile / compileIncremental 23s + | => hwacha / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 26s + + + + + + | => sifive_cache / Compile / compile 0s + | => icenet / Compile / compileIncremental 24s + | => boom / Compile / compileIncremental 24s + | => hwacha / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 26s + + + + + + + | => icenet / Compile / compileIncremental 24s + | => boom / Compile / compileIncremental 24s + | => hwacha / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 26s + + + + + + + | => icenet / Compile / compileIncremental 24s + | => boom / Compile / compileIncremental 24s + | => hwacha / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 26s + + + + + + + | => icenet / Compile / compileIncremental 24s + | => boom / Compile / compileIncremental 24s + | => hwacha / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 26s + + + + + + + | => icenet / Compile / compileIncremental 24s + | => boom / Compile / compileIncremental 24s + | => hwacha / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 26s + + + + + + + | => icenet / Compile / compileIncremental 24s + | => boom / Compile / compileIncremental 24s + | => hwacha / Compile / compileIncremental 24s + | => treadle / Compile / compileIncremental 26s + + + + + + + | => icenet / Compile / compileIncremental 24s + | => boom / Compile / compileIncremental 24s + | => hwacha / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 26s + + + + + + + | => icenet / Compile / compileIncremental 24s + | => boom / Compile / compileIncremental 24s + | => hwacha / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 27s + + + + + + + | => icenet / Compile / compileIncremental 24s + | => boom / Compile / compileIncremental 24s + | => hwacha / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 27s + + + + + + + | => icenet / Compile / compileIncremental 25s + | => boom / Compile / compileIncremental 25s + | => hwacha / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 27s + + + + + + + | => icenet / Compile / compileIncremental 25s + | => boom / Compile / compileIncremental 25s + | => hwacha / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 27s + + + + + + + | => icenet / Compile / compileIncremental 25s + | => boom / Compile / compileIncremental 25s + | => hwacha / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 27s + + + + + + + | => icenet / Compile / compileIncremental 25s + | => boom / Compile / compileIncremental 25s + | => hwacha / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 27s + + + + + + + | => icenet / Compile / compileIncremental 25s + | => boom / Compile / compileIncremental 25s + | => hwacha / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 27s + + + + + + + | => icenet / Compile / compileIncremental 25s + | => boom / Compile / compileIncremental 25s + | => hwacha / Compile / compileIncremental 25s + | => treadle / Compile / compileIncremental 27s + + + + + + + | => icenet / Compile / compileIncremental 25s + | => boom / Compile / compileIncremental 25s + | => hwacha / Compile / compileIncremental 26s + | => treadle / Compile / compileIncremental 27s + + + + + + + | => icenet / Compile / compileIncremental 25s + | => boom / Compile / compileIncremental 25s + | => hwacha / Compile / compileIncremental 26s + | => treadle / Compile / compileIncremental 27s + + + + + + + | => icenet / Compile / compileIncremental 25s + | => boom / Compile / compileIncremental 25s + | => hwacha / Compile / compileIncremental 26s + | => treadle / Compile / compileIncremental 28s + + + + + + + | => icenet / Compile / compileIncremental 25s + | => boom / Compile / compileIncremental 25s + | => hwacha / Compile / compileIncremental 26s + | => treadle / Compile / compileIncremental 28s + + + + + + + | => icenet / Compile / compileIncremental 26s + | => boom / Compile / compileIncremental 26s + | => hwacha / Compile / compileIncremental 26s + | => treadle / Compile / compileIncremental 28s + + + + + + + | => icenet / Compile / compileIncremental 26s + | => boom / Compile / compileIncremental 26s + | => hwacha / Compile / compileIncremental 26s + | => treadle / Compile / compileIncremental 28s + + + + + + + | => icenet / Compile / compileIncremental 26s + | => boom / Compile / compileIncremental 26s + | => hwacha / Compile / compileIncremental 26s + | => treadle / Compile / compileIncremental 28s + + + + + + + | => icenet / Compile / compileIncremental 26s + | => boom / Compile / compileIncremental 26s + | => hwacha / Compile / compileIncremental 26s + | => treadle / Compile / compileIncremental 28s + + + + + + + | => icenet / Compile / compileIncremental 26s + | => boom / Compile / compileIncremental 26s + | => hwacha / Compile / compileIncremental 26s + | => treadle / Compile / compileIncremental 28s + + + + + + + | => icenet / Compile / compileIncremental 26s + | => boom / Compile / compileIncremental 26s + | => hwacha / Compile / compileIncremental 26s + | => treadle / Compile / compileIncremental 28s + + + + + + + | => icenet / Compile / compileIncremental 26s + | => boom / Compile / compileIncremental 26s + | => hwacha / Compile / compileIncremental 27s + | => treadle / Compile / compileIncremental 28s + + + + + + + | => icenet / Compile / compileIncremental 26s + | => boom / Compile / compileIncremental 26s + | => hwacha / Compile / compileIncremental 27s + | => treadle / Compile / compileIncremental 28s + + + + + + + | => icenet / Compile / compileIncremental 26s + | => boom / Compile / compileIncremental 26s + | => hwacha / Compile / compileIncremental 27s + | => treadle / Compile / compileIncremental 29s + + + + + + + | => icenet / Compile / compileIncremental 26s + | => boom / Compile / compileIncremental 26s + | => hwacha / Compile / compileIncremental 27s + | => treadle / Compile / compileIncremental 29s + + + + + + + | => icenet / Compile / compileIncremental 27s + | => boom / Compile / compileIncremental 27s + | => hwacha / Compile / compileIncremental 27s + | => treadle / Compile / compileIncremental 29s + + + + + + + | => icenet / Compile / compileIncremental 27s + | => boom / Compile / compileIncremental 27s + | => hwacha / Compile / compileIncremental 27s + | => treadle / Compile / compileIncremental 29s + + + + + + + | => icenet / Compile / compileIncremental 27s + | => boom / Compile / compileIncremental 27s + | => hwacha / Compile / compileIncremental 27s + | => treadle / Compile / compileIncremental 29s + + + + + + + | => icenet / Compile / compileIncremental 27s + | => boom / Compile / compileIncremental 27s + | => hwacha / Compile / compileIncremental 27s + | => treadle / Compile / compileIncremental 29s + + + + + + + | => icenet / Compile / compileIncremental 27s + | => boom / Compile / compileIncremental 27s + | => hwacha / Compile / compileIncremental 27s + | => treadle / Compile / compileIncremental 29s + + + + + + + | => icenet / Compile / compileIncremental 27s + | => boom / Compile / compileIncremental 27s + | => hwacha / Compile / compileIncremental 27s + | => treadle / Compile / compileIncremental 29s + + + + + + + | => icenet / Compile / compileIncremental 27s + | => boom / Compile / compileIncremental 27s + | => hwacha / Compile / compileIncremental 28s + | => treadle / Compile / compileIncremental 29s + + + + + + + | => icenet / Compile / compileIncremental 27s + | => boom / Compile / compileIncremental 27s + | => hwacha / Compile / compileIncremental 28s + | => treadle / Compile / compileIncremental 30s + + + + + + + | => icenet / Compile / compileIncremental 27s + | => boom / Compile / compileIncremental 27s + | => hwacha / Compile / compileIncremental 28s + | => treadle / Compile / compileIncremental 30s + + + + + + + | => icenet / Compile / compileIncremental 27s + | => boom / Compile / compileIncremental 27s + | => hwacha / Compile / compileIncremental 28s + | => treadle / Compile / compileIncremental 30s + + + + + + + | => icenet / Compile / compileIncremental 28s + | => boom / Compile / compileIncremental 28s + | => hwacha / Compile / compileIncremental 28s + | => treadle / Compile / compileIncremental 30s + + + + + + + | => icenet / Compile / compileIncremental 28s + | => boom / Compile / compileIncremental 28s + | => hwacha / Compile / compileIncremental 28s + | => treadle / Compile / compileIncremental 30s + + + + + + + | => icenet / Compile / compileIncremental 28s + | => boom / Compile / compileIncremental 28s + | => hwacha / Compile / compileIncremental 28s + | => treadle / Compile / compileIncremental 30s + + + + + + + | => icenet / Compile / compileIncremental 28s + | => boom / Compile / compileIncremental 28s + | => hwacha / Compile / compileIncremental 28s + | => treadle / Compile / compileIncremental 30s + + + + + + + | => icenet / Compile / compileIncremental 28s + | => boom / Compile / compileIncremental 28s + | => hwacha / Compile / compileIncremental 28s + | => treadle / Compile / compileIncremental 30s + + + + + + + | => icenet / Compile / compileIncremental 28s + | => boom / Compile / compileIncremental 28s + | => hwacha / Compile / compileIncremental 28s + | => treadle / Compile / compileIncremental 30s + + + + + + + | => icenet / Compile / compileIncremental 28s + | => boom / Compile / compileIncremental 28s + | => hwacha / Compile / compileIncremental 29s + | => treadle / Compile / compileIncremental 30s + + + + + + + | => icenet / Compile / compileIncremental 28s + | => boom / Compile / compileIncremental 28s + | => hwacha / Compile / compileIncremental 29s + | => treadle / Compile / compileIncremental 31s + + + + + + + | => icenet / Compile / compileIncremental 28s + | => boom / Compile / compileIncremental 28s + | => hwacha / Compile / compileIncremental 29s + | => treadle / Compile / compileIncremental 31s + + + + + + + | => icenet / Compile / compileIncremental 28s + | => boom / Compile / compileIncremental 28s + | => hwacha / Compile / compileIncremental 29s + | => treadle / Compile / compileIncremental 31s + + + + + + + | => icenet / Compile / compileIncremental 29s + | => boom / Compile / compileIncremental 29s + | => hwacha / Compile / compileIncremental 29s + | => treadle / Compile / compileIncremental 31s + + + + + + + | => icenet / Compile / compileIncremental 29s + | => boom / Compile / compileIncremental 29s + | => hwacha / Compile / compileIncremental 29s + | => treadle / Compile / compileIncremental 31s + + + + + + + | => icenet / Compile / compileIncremental 29s + | => boom / Compile / compileIncremental 29s + | => hwacha / Compile / compileIncremental 29s + | => treadle / Compile / compileIncremental 31s + + + + + + + | => icenet / Compile / compileIncremental 29s + | => boom / Compile / compileIncremental 29s + | => hwacha / Compile / compileIncremental 29s + | => treadle / Compile / compileIncremental 31s + + + + + + + | => icenet / Compile / compileIncremental 29s + | => boom / Compile / compileIncremental 29s + | => hwacha / Compile / compileIncremental 29s + | => treadle / Compile / compileIncremental 31s + + + + + + + | => icenet / Compile / compileIncremental 29s + | => boom / Compile / compileIncremental 29s + | => hwacha / Compile / compileIncremental 29s + | => treadle / Compile / compileIncremental 31s +[warn] there were 642 feature warnings; re-run with -feature for details + + + + + + | => icenet / Compile / compileIncremental 29s + | => boom / Compile / compileIncremental 29s + | => hwacha / Compile / compileIncremental 29s + | => treadle / Compile / compileIncremental 31s +[warn] two warnings found + + + + + + | => icenet / Compile / compileIncremental 29s + | => boom / Compile / compileIncremental 29s + | => hwacha / Compile / compileIncremental 29s + | => treadle / Compile / compileIncremental 31s + + + + + + | => icenet / Compile / compileIncremental 29s + | => boom / Compile / compileIncremental 29s + | => hwacha / Compile / compileIncremental 30s + | => treadle / Compile / compileIncremental 31s + + + + + + | => icenet / Compile / compile 0s + | => boom / Compile / compileIncremental 29s + | => hwacha / Compile / compileIncremental 30s + | => treadle / Compile / compileIncremental 32s + + + + + + | => icenet / Compile / compile 0s + | => boom / Compile / compileIncremental 29s + | => hwacha / Compile / compileIncremental 30s + | => treadle / Compile / compileIncremental 32s + + + + + + | => icenet / Compile / packageBin 0s + | => boom / Compile / compileIncremental 29s + | => hwacha / Compile / compileIncremental 30s + | => treadle / Compile / compileIncremental 32s + + + + + + + | => boom / Compile / compileIncremental 30s + | => hwacha / Compile / compileIncremental 30s + | => treadle / Compile / compileIncremental 32s + + + + + + + | => boom / Compile / compileIncremental 30s + | => hwacha / Compile / compileIncremental 30s + | => treadle / Compile / compileIncremental 32s + + + + + + + | => boom / Compile / compileIncremental 30s + | => hwacha / Compile / compileIncremental 30s + | => treadle / Compile / compileIncremental 32s + + + + + + + | => boom / Compile / compileIncremental 30s + | => hwacha / Compile / compileIncremental 30s + | => treadle / Compile / compileIncremental 32s + + + + + + + | => boom / Compile / compileIncremental 30s + | => hwacha / Compile / compileIncremental 30s + | => treadle / Compile / compileIncremental 32s + + + + + + + | => boom / Compile / compileIncremental 30s + | => hwacha / Compile / compileIncremental 30s + | => treadle / Compile / compileIncremental 32s + + + + + + + | => boom / Compile / compileIncremental 30s + | => hwacha / Compile / compileIncremental 31s + | => treadle / Compile / compileIncremental 32s + + + + + + + | => boom / Compile / compileIncremental 30s + | => hwacha / Compile / compileIncremental 31s + | => treadle / Compile / compileIncremental 33s + + + + + + + | => boom / Compile / compileIncremental 30s + | => hwacha / Compile / compileIncremental 31s + | => treadle / Compile / compileIncremental 33s +[warn] 74 warnings found + + + + + + | => boom / Compile / compileIncremental 30s + | => hwacha / Compile / compileIncremental 31s + | => treadle / Compile / compileIncremental 33s + + + + + + | => boom / Compile / compileIncremental 31s + | => hwacha / Compile / compileIncremental 31s + | => treadle / Compile / compileIncremental 33s + + + + + + | => treadle / Compile / compile 0s + | => boom / Compile / compileIncremental 31s + | => hwacha / Compile / compileIncremental 31s + + + + + + | => treadle / Compile / compile 0s + | => boom / Compile / compileIncremental 31s + | => hwacha / Compile / compileIncremental 31s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + | => treadle / Compile / compile 0s + | => boom / Compile / compileIncremental 31s + | => hwacha / Compile / compileIncremental 31s + + + + + + | => treadle / Compile / packageBin 0s + | => boom / Compile / compileIncremental 31s + | => hwacha / Compile / compileIncremental 31s + + + + + + | => chisel_testers / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 31s + | => hwacha / Compile / compileIncremental 31s +[info] Compiling 24 Scala sources to /home/riscvuser/chipyard/tools/chisel-testers/target/scala-2.12/classes ... + + + + + + | => chisel_testers / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 31s + | => hwacha / Compile / compileIncremental 31s + + + + + + | => chisel_testers / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 31s + | => hwacha / Compile / compileIncremental 31s + + + + + + | => chisel_testers / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 31s + | => hwacha / Compile / compileIncremental 32s + + + + + + | => chisel_testers / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 31s + | => hwacha / Compile / compileIncremental 32s + + + + + + | => chisel_testers / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 31s + | => hwacha / Compile / compileIncremental 32s + + + + + + | => chisel_testers / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 31s + | => hwacha / Compile / compileIncremental 32s + + + + + + | => chisel_testers / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 32s + | => hwacha / Compile / compileIncremental 32s + + + + + + | => chisel_testers / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 32s + | => hwacha / Compile / compileIncremental 32s + + + + + + | => chisel_testers / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 32s + | => hwacha / Compile / compileIncremental 32s + + + + + + | => chisel_testers / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 32s + | => hwacha / Compile / compileIncremental 32s + + + + + + | => chisel_testers / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 32s + | => hwacha / Compile / compileIncremental 32s + + + + + + | => chisel_testers / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 32s + | => hwacha / Compile / compileIncremental 32s + + + + + + | => chisel_testers / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 32s + | => hwacha / Compile / compileIncremental 33s + + + + + + | => chisel_testers / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 32s + | => hwacha / Compile / compileIncremental 33s + + + + + + | => chisel_testers / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 32s + | => hwacha / Compile / compileIncremental 33s + + + + + + | => chisel_testers / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 32s + | => hwacha / Compile / compileIncremental 33s + + + + + + | => chisel_testers / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 33s + | => hwacha / Compile / compileIncremental 33s + + + + + + | => chisel_testers / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 33s + | => hwacha / Compile / compileIncremental 33s + + + + + + | => chisel_testers / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 33s + | => hwacha / Compile / compileIncremental 33s + + + + + + | => chisel_testers / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 33s + | => hwacha / Compile / compileIncremental 33s + + + + + + | => chisel_testers / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 33s + | => hwacha / Compile / compileIncremental 33s + + + + + + | => chisel_testers / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 33s + | => hwacha / Compile / compileIncremental 33s + + + + + + | => chisel_testers / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 33s + | => hwacha / Compile / compileIncremental 34s + + + + + + | => chisel_testers / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 33s + | => hwacha / Compile / compileIncremental 34s + + + + + + | => chisel_testers / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 33s + | => hwacha / Compile / compileIncremental 34s + + + + + + | => chisel_testers / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 33s + | => hwacha / Compile / compileIncremental 34s + + + + + + | => chisel_testers / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 34s + | => hwacha / Compile / compileIncremental 34s + + + + + + | => chisel_testers / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 34s + | => hwacha / Compile / compileIncremental 34s + + + + + + | => chisel_testers / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 34s + | => hwacha / Compile / compileIncremental 34s + + + + + + | => chisel_testers / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 34s + | => hwacha / Compile / compileIncremental 34s + + + + + + | => chisel_testers / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 34s + | => hwacha / Compile / compileIncremental 34s + + + + + + | => chisel_testers / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 34s + | => hwacha / Compile / compileIncremental 34s + + + + + + | => chisel_testers / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 34s + | => hwacha / Compile / compileIncremental 35s + + + + + + | => chisel_testers / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 34s + | => hwacha / Compile / compileIncremental 35s + + + + + + | => chisel_testers / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 34s + | => hwacha / Compile / compileIncremental 35s + + + + + + | => chisel_testers / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 34s + | => hwacha / Compile / compileIncremental 35s + + + + + + | => chisel_testers / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 35s + + + + + + | => chisel_testers / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 35s + + + + + + | => chisel_testers / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 35s + + + + + + | => chisel_testers / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 35s + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 35s + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:59:87: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  harness.write(VerilatorCppHarnessGenerator.codeGen(dut, CircuitState(chirrtl, ChirrtlForm), waveform)) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:81:24: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  assert(chisel3.Driver.verilogToCpp( + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:87:24: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  assert(chisel3.Driver.cppToExe(dutName, dir).! == 0) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:106:34: method elaborate in object Driver is deprecated (since 3.2.4): Use ChiselStage.elaborate or use a ChiselStage class. This will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val circuit = chisel3.Driver.elaborate(dutGen) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:106:27: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val circuit = chisel3.Driver.elaborate(dutGen) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:112:54: method emit in object Driver is deprecated (since 3.2.2): Use (new chisel3.stage.ChiselStage).emitChirrtl + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val chirrtl = firrtl.Parser.parse(chisel3.Driver.emit(circuit)) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:112:47: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val chirrtl = firrtl.Parser.parse(chisel3.Driver.emit(circuit)) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:118:80: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  (new firrtl.LowFirrtlEmitter).emit(firrtl.CircuitState(chirrtl, firrtl.ChirrtlForm), writer) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:123:41: class VerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[VerilogEmitter]) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val compileResult = (new firrtl.VerilogCompiler).compileAndEmit( + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:124:47: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  firrtl.CircuitState(chirrtl, firrtl.ChirrtlForm, annotations), + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselSpec.scala:22:54: method elaborate in object Driver is deprecated (since 3.2.4): Use ChiselStage.elaborate or use a ChiselStage class. This will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  def elaborate(t: => Module): Unit = chisel3.Driver.elaborate(() => t) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselSpec.scala:22:47: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  def elaborate(t: => Module): Unit = chisel3.Driver.elaborate(() => t) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselSpec.scala:29:63: trait PropertyChecks in package prop is deprecated: PropertyChecks has been moved from org.scalatest.prop to org.scalatestplus.scalacheck and renamed as ScalaCheckPropertyChecks. Please update your imports, as this deprecated type alias will be removed in a future version of ScalaTest. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] class ChiselPropSpec extends PropSpec with ChiselRunners with PropertyChecks { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Driver.scala:38:14: method makeScope in object Logger is deprecated (since 1.2): Use makeScope(opts: FirrtlOptions) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  Logger.makeScope(optionsManager) { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Driver.scala:140:12: method makeScope in object Logger is deprecated (since 1.2): Use makeScope(opts: FirrtlOptions) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  Logger.makeScope(optionsManager) { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Driver.scala:141:64: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val chiselResult: ChiselExecutionResult = chisel3.Driver.execute(optionsManager, dutGenerator) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Driver.scala:141:57: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val chiselResult: ChiselExecutionResult = chisel3.Driver.execute(optionsManager, dutGenerator) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Driver.scala:243:34: method elaborate in object Driver is deprecated (since 3.2.4): Use ChiselStage.elaborate or use a ChiselStage class. This will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val circuit = chisel3.Driver.elaborate(dutGen) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Driver.scala:243:27: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val circuit = chisel3.Driver.elaborate(dutGen) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/FirrtlTerpBackend.scala:133:49: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val annos = Driver.filterAnnotations(firrtl.Driver.getAnnotations(optionsManager)) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/FirrtlTerpBackend.scala:135:20: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/FirrtlTerpBackend.scala:135:13: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/FirrtlTerpBackend.scala:139:16: object FirrtlExecutionSuccess in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  case FirrtlExecutionSuccess(_, compiledFirrtl) => + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IOAccessor.scala:43:18: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  case ActualDirection.Input => dut_inputs += port + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IOAccessor.scala:44:18: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  case ActualDirection.Output => dut_outputs += port + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IOAccessor.scala:108:23: type ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  def show_dir(dir: ActualDirection) = dir match { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IOAccessor.scala:109:12: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  case ActualDirection.Input => "I" + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IOAccessor.scala:110:12: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  case ActualDirection.Output => "O" + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IVLBackend.scala:130:20: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IVLBackend.scala:130:13: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IVLBackend.scala:149:41: class VerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[VerilogEmitter]) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val compileResult = (new firrtl.VerilogCompiler).compileAndEmit( + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IVLBackend.scala:150:33: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  CircuitState(chirrtl, ChirrtlForm, annotations), + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/PeekPokeTesterUtils.scala:37:90: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  getDataNames(dut, separator) partition { case (e, _) => DataMirror.directionOf(e) == ActualDirection.Input } + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/PeekPokeTesterUtils.scala:101:74: method fileListName in object BlackBoxSourceHelper is deprecated (since 1.2): Renamed to defaultFileListName, as the file list name may now be changed with an annotation + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val list_file = new File(dir, firrtl.transforms.BlackBoxSourceHelper.fileListName) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Pokeable.scala:13:40: type EnumType in package core is deprecated (since 3.2): Use the version in chisel3.experimental._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  implicit object EnumPokeable extends Pokeable[EnumType] + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Pokeable.scala:18:21: type Element in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  def unapply(elem: Element): Option[Element with IsRuntimePokeable] = elem match { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Pokeable.scala:18:31: type Element in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  def unapply(elem: Element): Option[Element with IsRuntimePokeable] = elem match { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Pokeable.scala:19:58: type Element in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  case _: Bits | _: EnumType => Some(elem.asInstanceOf[Element with IsRuntimePokeable]) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/SteppedHWIOTester.scala:51:26: type ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  def dir(target: Data): ActualDirection = { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/SteppedHWIOTester.scala:53:26: value DataMirror in package core is deprecated (since 3.2): Use the version in chisel3.experimental._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  case e: Element => DataMirror.directionOf(e) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/SteppedHWIOTester.scala:54:17: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  case _ => ActualDirection.Unspecified + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/SteppedHWIOTester.scala:59:29: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  require(dir(io_port) == ActualDirection.Input, s"poke error: $io_port not an input") + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/SteppedHWIOTester.scala:68:29: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  require(dir(io_port) == ActualDirection.Output, s"expect error: $io_port not an output") + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TesterOptions.scala:33:11: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] ) extends ComposableOptions + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TesterOptions.scala:41:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  self: ExecutionOptionsManager => + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TesterOptions.scala:147:11: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  extends ExecutionOptionsManager("chisel-testers") + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TesterOptions.scala:151:10: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  with HasFirrtlOptions + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TreadleBackend.scala:17:67: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val treadleTester = new TreadleTester(firrtlIR, optionsManager, LowForm) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TreadleBackend.scala:130:49: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val annos = Driver.filterAnnotations(firrtl.Driver.getAnnotations(optionsManager)) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TreadleBackend.scala:138:20: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TreadleBackend.scala:138:13: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VCSBackend.scala:136:20: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VCSBackend.scala:136:13: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VCSBackend.scala:155:41: class VerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[VerilogEmitter]) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val compileResult = (new firrtl.VerilogCompiler).compileAndEmit( + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VCSBackend.scala:156:33: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  CircuitState(chirrtl, ChirrtlForm, annotations), + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:220:20: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:220:13: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:229:42: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val externalAnnotations = firrtl.Driver.getAnnotations(optionsManager) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:245:41: class VerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[VerilogEmitter]) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  val compileResult = (new firrtl.VerilogCompiler).compileAndEmit( + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:246:33: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  CircuitState(chirrtl, ChirrtlForm, annotations), + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:259:38: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  dut, CircuitState(chirrtl, ChirrtlForm, annotations), vcdFile.toString + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:275:24: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  assert(chisel3.Driver.cppToExe(circuit.name, dir).! == 0) + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 35s + | => hwacha / Compile / compileIncremental 36s + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 36s + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 36s + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 36s + + + + + + | => chisel_testers / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 36s + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 36s + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 36s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/bank-rf.scala:75:83: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 36s +[warn]  pred_rf.write(waddr, Vec(((wdata & wmask) | (pred_rf(waddr).asUInt & ~wmask)).toBools)) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 36s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 36s + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/bank-rf.scala:122:44: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  val wmask = sram_warb.io.out.bits.mask.toBools + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/bank-rf.scala:171:32: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ff_warb.io.out.bits.mask.toBools) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/bank-rf.scala:189:62: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  (io.op.opl.global(i).bits.pred & s1_gpred.pred)(1,0).toBools) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/bank-rf.scala:199:61: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  (io.op.opl.local(i).bits.pred & s1_gpred.pred)(1,0).toBools) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:303:72: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  val deq_idivs_resp = (0 until nSlices).map { tagq.io.deq.bits.fusel.toBool && tagq.io.deq.bits.pred(_) } + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:304:72: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  val deq_fdivs_resp = (0 until nSlices).map { !tagq.io.deq.bits.fusel.toBool && tagq.io.deq.bits.pred(_) } + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:328:43: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  wraw.data := Mux(tagq.io.deq.bits.fusel.toBool, + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:340:62: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  io.idiv.ack.valid := fire_bwq(null, tagq.io.deq.bits.fusel.toBool) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:342:63: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  io.fdiv.ack.valid := fire_bwq(null, !tagq.io.deq.bits.fusel.toBool) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:350:64: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  icntr.io.inc.update := fire_bwq(null, tagq.io.deq.bits.fusel.toBool) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:356:65: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  fcntr.io.inc.update := fire_bwq(null, !tagq.io.deq.bits.fusel.toBool) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-mem.scala:256:29: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  val brqs_pred = pred.bits.toBools.grouped(nSlices).map( + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-mem.scala:588:39: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  val mask = rotate(Bool(), mask_base.toBools) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 36s + | => hwacha / Compile / compileIncremental 37s + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/rocc-unit.scala:373:50: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val vru_switch_on = io.rocc.cmd.bits.rs1(63).toBool + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/smu.scala:58:37: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters(name = "HwachaSMU", sourceId = IdRange(0, p(HwachaNSMUEntries))))))) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/smu.scala:58:64: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters(name = "HwachaSMU", sourceId = IdRange(0, p(HwachaNSMUEntries))))))) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/vector-unit.scala:11:37: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters( + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/vector-unit.scala:12:9: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  Seq(TLClientParameters(name = "HwachaVMU", sourceId = IdRange(0, p(HwachaNVMTEntries))))))) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/vfu-rfirst.scala:38:72: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val pred = PriorityEncoderOH((io.req.bits.active & io.req.bits.pred).toBools) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/vfu-rfirst.scala:115:11: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  (m._1.toBools zip io.lane.map(_.bits.first)) map { case (v, f) => dgate(v, f) } reduce(_ | _) + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/ifu/frontend.scala:513:12: value withReset in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val f3 = withReset(reset.toBool || f3_clear) { + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/ifu/frontend.scala:518:21: value withReset in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val f3_bpd_resp = withReset(reset.toBool || f3_clear) { + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/ifu/frontend.scala:854:12: value withReset in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val f4 = withReset(reset.toBool || f4_clear) { + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/ifu/icache.scala:47:37: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters( + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/ifu/icache.scala:47:64: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters( + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/ifu/icache.scala:177:37: method apply in object LFSR16 is deprecated (since 3.2): Use chisel3.util.random.LFSR(16) for a 16-bit LFSR + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val repl_way = if (isDM) 0.U else LFSR16(refill_fire)(log2Ceil(nWays)-1,0) + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/lsu/dcache.scala:379:83: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  protected def cacheClientParameters = cfg.scratch.map(x => Seq()).getOrElse(Seq(TLClientParameters( + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/lsu/dcache.scala:384:44: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  protected def mmioClientParameters = Seq(TLClientParameters( + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/lsu/dcache.scala:389:31: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val node = TLClientNode(Seq(TLClientPortParameters( + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/vru.scala:402:37: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters(name = "HwachaVRU", sourceId = IdRange(0,5)))))) + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/vru.scala:402:64: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters(name = "HwachaVRU", sourceId = IdRange(0,5)))))) + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 37s + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 38s +[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/util/util.scala:393:31: type Data in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 38s +[warn]  def apply[T <: chisel3.core.Data](in: Vec[Vec[T]]) = { + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 38s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 38s +[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/util/util.scala:420:35: type Data in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 38s +[warn] class Compactor[T <: chisel3.core.Data](n: Int, k: Int, gen: T) extends Module + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 38s +[warn]  ^ + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 38s + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 38s + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 38s + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 37s + | => hwacha / Compile / compileIncremental 38s + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 38s + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 38s + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 38s + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 38s +[warn] there were 11 feature warnings; re-run with -feature for details + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 38s +[warn] 63 warnings found + + + + + + | => chisel_testers / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 38s + + + + + + | => chisel_testers / Compile / compile 0s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 38s + + + + + + | => chisel_testers / Compile / packageBin 0s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 38s +[info] Compiling 34 Scala sources to /home/riscvuser/chipyard/generators/gemmini/target/scala-2.12/classes ... + + + + + + | => chisel_testers / Compile / packageBin 0s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 38s +[info] Compiling 43 Scala sources to /home/riscvuser/chipyard/tools/dsptools/src/target/scala-2.12/classes ... + + + + + + | => chisel_testers / Compile / packageBin 0s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 38s +[info] Compiling 10 Scala sources to /home/riscvuser/chipyard/generators/sha3/target/scala-2.12/classes ... + + + + + + | => chisel_testers / Compile / packageBin 0s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 38s + + + + + + | => dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 0s + | => sha3 / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 39s + + + + + + | => dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 0s + | => sha3 / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 39s + + + + + + | => dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 0s + | => sha3 / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 38s + | => hwacha / Compile / compileIncremental 39s + + + + + + | => dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 0s + | => sha3 / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 39s + | => hwacha / Compile / compileIncremental 39s + + + + + + | => dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 0s + | => sha3 / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 39s + | => hwacha / Compile / compileIncremental 39s + + + + + + | => dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 0s + | => sha3 / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 39s + | => hwacha / Compile / compileIncremental 39s + + + + + + | => dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 0s + | => sha3 / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 39s + | => hwacha / Compile / compileIncremental 39s + + + + + + | => dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 0s + | => sha3 / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 39s + | => hwacha / Compile / compileIncremental 39s + + + + + + | => dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 0s + | => sha3 / Compile / compileIncremental 0s + | => boom / Compile / compileIncremental 39s + | => hwacha / Compile / compileIncremental 39s + + + + + + | => dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 1s + | => sha3 / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 39s + | => hwacha / Compile / compileIncremental 39s + + + + + + | => dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 1s + | => sha3 / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 39s + | => hwacha / Compile / compileIncremental 40s + + + + + + | => dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 1s + | => sha3 / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 39s + | => hwacha / Compile / compileIncremental 40s + + + + + + | => dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 1s + | => sha3 / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 39s + | => hwacha / Compile / compileIncremental 40s + + + + + + | => dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 1s + | => sha3 / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 40s + | => hwacha / Compile / compileIncremental 40s + + + + + + | => dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 1s + | => sha3 / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 40s + | => hwacha / Compile / compileIncremental 40s + + + + + + | => dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 1s + | => sha3 / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 40s + | => hwacha / Compile / compileIncremental 40s + + + + + + | => dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 1s + | => sha3 / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 40s + | => hwacha / Compile / compileIncremental 40s + + + + + + | => dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 1s + | => sha3 / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 40s + | => hwacha / Compile / compileIncremental 40s + + + + + + | => dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 1s + | => sha3 / Compile / compileIncremental 1s + | => boom / Compile / compileIncremental 40s + | => hwacha / Compile / compileIncremental 40s + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 40s + | => hwacha / Compile / compileIncremental 41s + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 40s + | => hwacha / Compile / compileIncremental 41s + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 40s + | => hwacha / Compile / compileIncremental 41s + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 40s + | => hwacha / Compile / compileIncremental 41s + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/ctrl.scala:95:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  debug(buffer_wen) + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/ctrl.scala:97:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  debug(buffer_waddr) + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/ctrl.scala:99:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  debug(buffer_wdata) + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/ctrl.scala:101:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  debug(buffer_rdata) + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/ctrl.scala:161:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  debug(words_filled) + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/ctrl.scala:164:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  debug(byte_offset) + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/dmem.scala:17:31: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  val node = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters("SHA3"))))) + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/dmem.scala:17:58: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  val node = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters("SHA3"))))) + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/iota.scala:27:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  debug(const) + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s + + + + + + | => dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 2s + | => sha3 / Compile / compileIncremental 2s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 41s + + + + + + | => dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 3s + | => sha3 / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 42s + + + + + + | => dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 3s + | => sha3 / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 42s + + + + + + | => dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 3s + | => sha3 / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 41s + | => hwacha / Compile / compileIncremental 42s + + + + + + | => dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 3s + | => sha3 / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 42s + | => hwacha / Compile / compileIncremental 42s + + + + + + | => dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 3s + | => sha3 / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 42s + | => hwacha / Compile / compileIncremental 42s + + + + + + | => dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 3s + | => sha3 / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 42s + | => hwacha / Compile / compileIncremental 42s + + + + + + | => dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 3s + | => sha3 / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 42s + | => hwacha / Compile / compileIncremental 42s + + + + + + | => dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 3s + | => sha3 / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 42s + | => hwacha / Compile / compileIncremental 42s + + + + + + | => dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 3s + | => sha3 / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 42s + | => hwacha / Compile / compileIncremental 42s + + + + + + | => dsptools / Compile / compileIncremental 4s + | => gemmini / Compile / compileIncremental 4s + | => sha3 / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 42s + | => hwacha / Compile / compileIncremental 43s + + + + + + | => dsptools / Compile / compileIncremental 4s + | => gemmini / Compile / compileIncremental 4s + | => sha3 / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 42s + | => hwacha / Compile / compileIncremental 43s + + + + + + | => dsptools / Compile / compileIncremental 4s + | => gemmini / Compile / compileIncremental 4s + | => sha3 / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 42s + | => hwacha / Compile / compileIncremental 43s + + + + + + | => dsptools / Compile / compileIncremental 4s + | => gemmini / Compile / compileIncremental 4s + | => sha3 / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 42s + | => hwacha / Compile / compileIncremental 43s + + + + + + | => dsptools / Compile / compileIncremental 4s + | => gemmini / Compile / compileIncremental 4s + | => sha3 / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 43s + | => hwacha / Compile / compileIncremental 43s + + + + + + | => dsptools / Compile / compileIncremental 4s + | => gemmini / Compile / compileIncremental 4s + | => sha3 / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 43s + | => hwacha / Compile / compileIncremental 43s + + + + + + | => dsptools / Compile / compileIncremental 4s + | => gemmini / Compile / compileIncremental 4s + | => sha3 / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 43s + | => hwacha / Compile / compileIncremental 43s +[warn] there were 217 feature warnings; re-run with -feature for details + + + + + + | => dsptools / Compile / compileIncremental 4s + | => gemmini / Compile / compileIncremental 4s + | => sha3 / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 43s + | => hwacha / Compile / compileIncremental 43s +[warn] 10 warnings found + + + + + + | => dsptools / Compile / compileIncremental 4s + | => gemmini / Compile / compileIncremental 4s + | => sha3 / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 43s + | => hwacha / Compile / compileIncremental 43s + + + + + + | => dsptools / Compile / compileIncremental 4s + | => gemmini / Compile / compileIncremental 4s + | => sha3 / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 43s + | => hwacha / Compile / compileIncremental 43s + + + + + + | => sha3 / Compile / packageBin 0s + | => dsptools / Compile / compileIncremental 4s + | => gemmini / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 43s + | => hwacha / Compile / compileIncremental 43s + + + + + + + | => dsptools / Compile / compileIncremental 4s + | => gemmini / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 43s + | => hwacha / Compile / compileIncremental 43s + + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 43s + | => hwacha / Compile / compileIncremental 44s + + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 43s + | => hwacha / Compile / compileIncremental 44s + + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 43s + | => hwacha / Compile / compileIncremental 44s + + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s + + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:70:19: method makeScope in object Logger is deprecated (since 1.2): Use makeScope(opts: FirrtlOptions) + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  logger.Logger.makeScope(optionsManager) { + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:71:64: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  val chiselResult: ChiselExecutionResult = chisel3.Driver.execute(optionsManager, dutGenerator) + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:71:57: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  val chiselResult: ChiselExecutionResult = chisel3.Driver.execute(optionsManager, dutGenerator) + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:90:10: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  with HasFirrtlOptions + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/algebra_types/helpers/Sign.scala:51:24: method litArg in class Data is deprecated (since 3.2): litArg is deprecated, use litOption or litTo*Option + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  val zeroLit = zero.litArg.map{_.num != BigInt(0)} + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/algebra_types/helpers/Sign.scala:52:23: method litArg in class Data is deprecated (since 3.2): litArg is deprecated, use litOption or litTo*Option + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  val negLit = neg.litArg.map{_.num != BigInt(0)} + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/blackbox_compatibility/DspRealVerilatorBB.scala:12:3: method setResource in trait HasBlackBoxResource is deprecated (since 3.2): Use addResource instead + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  setResource("/" + this.getClass.getSimpleName + ".v") + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/tester/DspTesterOptions.scala:24:38: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  initClkPeriods: Int = 5) extends ComposableOptions { + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/tester/DspTesterOptions.scala:38:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  self: ExecutionOptionsManager => + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/tester/VerilogTbDump.scala:33:38: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  DataMirror.directionOf(dat) == ActualDirection.Input + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:18:22: type Data in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] class gainOffCorr[T<:Data:Ring](genIn: => T,genGain: => T,genOff: => T,genOut: => T, numLanes: Int) extends Module { + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:20:24: value Input in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  val inputVal = Input(Vec(numLanes, genIn)) + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:20:30: value Vec in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  val inputVal = Input(Vec(numLanes, genIn)) + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:21:24: value Input in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  val gainCorr = Input(Vec(numLanes, genGain)) + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:21:30: value Vec in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  val gainCorr = Input(Vec(numLanes, genGain)) + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:22:25: value Input in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  val offsetCorr = Input(Vec(numLanes, genOff)) + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:22:31: value Vec in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  val offsetCorr = Input(Vec(numLanes, genOff)) + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:23:24: value Output in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  val outputVal = Output(Vec(numLanes, genOut)) + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:23:31: value Vec in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  val outputVal = Output(Vec(numLanes, genOut)) + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s + + + + + + | => dsptools / Compile / compileIncremental 5s + | => gemmini / Compile / compileIncremental 5s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 44s + + + + + + | => dsptools / Compile / compileIncremental 6s + | => gemmini / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 45s + + + + + + | => dsptools / Compile / compileIncremental 6s + | => gemmini / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 45s + + + + + + | => dsptools / Compile / compileIncremental 6s + | => gemmini / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 45s + + + + + + | => dsptools / Compile / compileIncremental 6s + | => gemmini / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 44s + | => hwacha / Compile / compileIncremental 45s + + + + + + | => dsptools / Compile / compileIncremental 6s + | => gemmini / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 45s + | => hwacha / Compile / compileIncremental 45s + + + + + + | => dsptools / Compile / compileIncremental 6s + | => gemmini / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 45s + | => hwacha / Compile / compileIncremental 45s + + + + + + | => dsptools / Compile / compileIncremental 6s + | => gemmini / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 45s + | => hwacha / Compile / compileIncremental 45s + + + + + + | => dsptools / Compile / compileIncremental 6s + | => gemmini / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 45s + | => hwacha / Compile / compileIncremental 45s + + + + + + | => dsptools / Compile / compileIncremental 6s + | => gemmini / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 45s + | => hwacha / Compile / compileIncremental 45s + + + + + + | => dsptools / Compile / compileIncremental 6s + | => gemmini / Compile / compileIncremental 6s + | => boom / Compile / compileIncremental 45s + | => hwacha / Compile / compileIncremental 45s + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 45s + | => hwacha / Compile / compileIncremental 46s + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 45s + | => hwacha / Compile / compileIncremental 46s + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 45s + | => hwacha / Compile / compileIncremental 46s + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 45s + | => hwacha / Compile / compileIncremental 46s + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s +[warn] /home/riscvuser/chipyard/generators/gemmini/src/main/scala/gemmini/Controller.scala:50:54: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s +[warn]  (if (garbage_bit.getWidth > 0) garbage_bit.toBool() else true.B) + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s +[warn] /home/riscvuser/chipyard/generators/gemmini/src/main/scala/gemmini/ROB.scala:164:107: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s +[warn]  Cat(is_st_and_must_wait_for_prior_ex_config) | Cat(is_ex_config_and_must_wait_for_prior_st)).toBools().reverse + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s +[warn] /home/riscvuser/chipyard/generators/gemmini/src/main/scala/gemmini/Util.scala:51:24: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s +[warn]  val exp = u.toBools().zipWithIndex.map { case (b, i) => + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s +[warn] /home/riscvuser/chipyard/generators/gemmini/src/main/scala/gemmini/Util.scala:62:24: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s +[warn]  val exp = u.toBools().zipWithIndex.map { case (b, i) => + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s +[warn]  ^ + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s + + + + + + | => dsptools / Compile / compileIncremental 7s + | => gemmini / Compile / compileIncremental 7s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 46s + + + + + + | => dsptools / Compile / compileIncremental 8s + | => gemmini / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 47s + + + + + + | => dsptools / Compile / compileIncremental 8s + | => gemmini / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 47s + + + + + + | => dsptools / Compile / compileIncremental 8s + | => gemmini / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 47s + + + + + + | => dsptools / Compile / compileIncremental 8s + | => gemmini / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 46s + | => hwacha / Compile / compileIncremental 47s + + + + + + | => dsptools / Compile / compileIncremental 8s + | => gemmini / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 47s + | => hwacha / Compile / compileIncremental 47s +[warn] there were 31 feature warnings; re-run with -feature for details + + + + + + | => dsptools / Compile / compileIncremental 8s + | => gemmini / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 47s + | => hwacha / Compile / compileIncremental 47s +[warn] 20 warnings found + + + + + + | => dsptools / Compile / compileIncremental 8s + | => gemmini / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 47s + | => hwacha / Compile / compileIncremental 47s + + + + + + | => dsptools / Compile / compile 0s + | => gemmini / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 47s + | => hwacha / Compile / compileIncremental 47s + + + + + + | => dsptools / Compile / compile 0s + | => gemmini / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 47s + | => hwacha / Compile / compileIncremental 47s + + + + + + | => dsptools / Compile / packageBin 0s + | => gemmini / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 47s + | => hwacha / Compile / compileIncremental 47s +[info] Compiling 33 Scala sources to /home/riscvuser/chipyard/tools/dsptools/rocket/src/target/scala-2.12/classes ... + + + + + + | => dsptools / Compile / packageBin 0s + | => gemmini / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 47s + | => hwacha / Compile / compileIncremental 47s + + + + + + | => rocket-dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 47s + | => hwacha / Compile / compileIncremental 47s + + + + + + | => rocket-dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 8s + | => boom / Compile / compileIncremental 47s + | => hwacha / Compile / compileIncremental 47s + + + + + + | => rocket-dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 47s + | => hwacha / Compile / compileIncremental 48s + + + + + + | => rocket-dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 47s + | => hwacha / Compile / compileIncremental 48s + + + + + + | => rocket-dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 47s + | => hwacha / Compile / compileIncremental 48s + + + + + + | => rocket-dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 47s + | => hwacha / Compile / compileIncremental 48s + + + + + + | => rocket-dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 48s + | => hwacha / Compile / compileIncremental 48s + + + + + + | => rocket-dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 48s + | => hwacha / Compile / compileIncremental 48s + + + + + + | => rocket-dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 48s + | => hwacha / Compile / compileIncremental 48s + + + + + + | => rocket-dsptools / Compile / compileIncremental 0s + | => gemmini / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 48s + | => hwacha / Compile / compileIncremental 48s + + + + + + | => rocket-dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 48s + | => hwacha / Compile / compileIncremental 48s + + + + + + | => rocket-dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 9s + | => boom / Compile / compileIncremental 48s + | => hwacha / Compile / compileIncremental 48s + + + + + + | => rocket-dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 48s + | => hwacha / Compile / compileIncremental 49s + + + + + + | => rocket-dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 48s + | => hwacha / Compile / compileIncremental 49s + + + + + + | => rocket-dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 48s + | => hwacha / Compile / compileIncremental 49s + + + + + + | => rocket-dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 48s + | => hwacha / Compile / compileIncremental 49s + + + + + + | => rocket-dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s + + + + + + | => rocket-dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s + + + + + + | => rocket-dsptools / Compile / compileIncremental 1s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s +[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/amba/axi4stream/SimpleSplitter.scala:4:46: imported `AXI4StreamMasterPortParameters' is permanently hidden by definition of object AXI4StreamMasterPortParameters in package axi4stream + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s +[warn] import freechips.rocketchip.amba.axi4stream.{AXI4StreamMasterPortParameters, AXI4StreamNexusNode, AXI4StreamSlavePortParameters} + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s +[warn]  ^ + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s +[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/amba/axi4stream/SimpleSplitter.scala:4:78: imported `AXI4StreamNexusNode' is permanently hidden by definition of object AXI4StreamNexusNode in package axi4stream + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s +[warn] import freechips.rocketchip.amba.axi4stream.{AXI4StreamMasterPortParameters, AXI4StreamNexusNode, AXI4StreamSlavePortParameters} + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s +[warn]  ^ + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s +[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/amba/axi4stream/SimpleSplitter.scala:4:99: imported `AXI4StreamSlavePortParameters' is permanently hidden by definition of object AXI4StreamSlavePortParameters in package axi4stream + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s +[warn] import freechips.rocketchip.amba.axi4stream.{AXI4StreamMasterPortParameters, AXI4StreamNexusNode, AXI4StreamSlavePortParameters} + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s +[warn]  ^ + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 10s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 49s + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 50s + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 50s + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 50s + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 49s + | => hwacha / Compile / compileIncremental 50s + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 50s + | => hwacha / Compile / compileIncremental 50s + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 50s + | => hwacha / Compile / compileIncremental 50s + + + + + + | => rocket-dsptools / Compile / compileIncremental 2s + | => gemmini / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 50s + | => hwacha / Compile / compileIncremental 50s + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 50s + | => hwacha / Compile / compileIncremental 50s + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 11s + | => boom / Compile / compileIncremental 50s + | => hwacha / Compile / compileIncremental 50s + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 50s + | => hwacha / Compile / compileIncremental 50s + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 50s + | => hwacha / Compile / compileIncremental 51s + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 50s + | => hwacha / Compile / compileIncremental 51s + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 50s + | => hwacha / Compile / compileIncremental 51s + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s +[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/amba/axi4stream/MMAPFIFO.scala:1:1:  + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s +[warn] Found names but no class, trait or object is defined in the compilation unit. + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s +[warn] The incremental compiler cannot record the dependency information in such case. + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s +[warn] Some errors like unused import referring to a non-existent class might not be reported. + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s +[warn]   + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s +[warn] package freechips.rocketchip.amba.axi4stream + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s +[warn] ^ + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s +[warn] there were 1208 feature warnings; re-run with -feature for details + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s +[warn] 5 warnings found + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s + + + + + + | => rocket-dsptools / Compile / compileIncremental 3s + | => gemmini / Compile / compileIncremental 12s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s + + + + + + | => gemmini / Compile / compile 0s + | => rocket-dsptools / Compile / compileIncremental 3s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s + + + + + + | => gemmini / Compile / packageBin 0s + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s + + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 51s + + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/dspblocks/DspBlock.scala:117:24: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn]  BundleBridgeToTL(TLClientPortParameters(Seq(TLClientParameters("bundleBridgeToTL")))) := + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn]  ^ + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/dspblocks/DspBlock.scala:117:51: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn]  BundleBridgeToTL(TLClientPortParameters(Seq(TLClientParameters("bundleBridgeToTL")))) := + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn]  ^ + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/tl/Node.scala:20:30: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn]  new TLToBundleBridgeNode(TLManagerPortParameters(Seq(managerParams), beatBytes)) + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn]  ^ + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/tl/Node.scala:38:11: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn]  apply(TLManagerPortParameters(Seq(managerParams), beatBytes)) + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn]  ^ + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/tl/Node.scala:52:26: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn]  BundleBridgeToTLNode(TLClientPortParameters(Seq(clientParams), beatBytes)) + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn]  ^ + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/tl/Node.scala:71:11: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn]  apply(TLClientPortParameters(Seq(clientParams), beatBytes)) + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s +[warn]  ^ + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 51s + | => hwacha / Compile / compileIncremental 52s + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 52s + | => hwacha / Compile / compileIncremental 52s +[warn] there were 2643 feature warnings; re-run with -feature for details + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 52s + | => hwacha / Compile / compileIncremental 52s +[warn] 12 warnings found + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 52s + | => hwacha / Compile / compileIncremental 52s +[warn] there were 3031 feature warnings; re-run with -feature for details + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 52s + | => hwacha / Compile / compileIncremental 52s + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 52s + | => hwacha / Compile / compileIncremental 52s +[warn] 24 warnings found + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 52s + | => hwacha / Compile / compileIncremental 52s + + + + + + | => rocket-dsptools / Compile / compileIncremental 4s + | => boom / Compile / compileIncremental 52s + | => hwacha / Compile / compileIncremental 52s + + + + + + | => hwacha / Compile / compile 0s + | => boom / Compile / compile 0s + | => rocket-dsptools / Compile / compileIncremental 4s + + + + + + | => hwacha / Compile / compile 0s + | => boom / Compile / compile 0s + | => rocket-dsptools / Compile / compileIncremental 5s + + + + + + | => boom / Compile / packageBin / mappings 0s + | => hwacha / Compile / compile 0s + | => rocket-dsptools / Compile / compileIncremental 5s + + + + + + | => boom / Compile / packageBin 0s + | => hwacha / Compile / compile 0s + | => rocket-dsptools / Compile / compileIncremental 5s + + + + + + | => hwacha / Compile / packageBin 0s + | => boom / Compile / packageBin 0s + | => rocket-dsptools / Compile / compileIncremental 5s +[info] Compiling 3 Scala sources to /home/riscvuser/chipyard/generators/tracegen/target/scala-2.12/classes ... + + + + + + | => hwacha / Compile / packageBin 0s + | => boom / Compile / packageBin 0s + | => rocket-dsptools / Compile / compileIncremental 5s + + + + + + | => tracegen / Compile / compileIncremental 0s + | => hwacha / Compile / packageBin 0s + | => rocket-dsptools / Compile / compileIncremental 5s + + + + + + | => tracegen / Compile / compileIncremental 0s + | => hwacha / Compile / packageBin 0s + | => rocket-dsptools / Compile / compileIncremental 5s + + + + + + + | => tracegen / Compile / compileIncremental 0s + | => rocket-dsptools / Compile / compileIncremental 5s + + + + + + + | => tracegen / Compile / compileIncremental 0s + | => rocket-dsptools / Compile / compileIncremental 5s + + + + + + + | => tracegen / Compile / compileIncremental 0s + | => rocket-dsptools / Compile / compileIncremental 5s + + + + + + + | => tracegen / Compile / compileIncremental 0s + | => rocket-dsptools / Compile / compileIncremental 5s + + + + + + + | => tracegen / Compile / compileIncremental 0s + | => rocket-dsptools / Compile / compileIncremental 6s + + + + + + + | => tracegen / Compile / compileIncremental 0s + | => rocket-dsptools / Compile / compileIncremental 6s + + + + + + + | => tracegen / Compile / compileIncremental 0s + | => rocket-dsptools / Compile / compileIncremental 6s + + + + + + + | => tracegen / Compile / compileIncremental 0s + | => rocket-dsptools / Compile / compileIncremental 6s + + + + + + + | => tracegen / Compile / compileIncremental 1s + | => rocket-dsptools / Compile / compileIncremental 6s + + + + + + + | => tracegen / Compile / compileIncremental 1s + | => rocket-dsptools / Compile / compileIncremental 6s +[warn] there were 50 feature warnings; re-run with -feature for details + + + + + + | => tracegen / Compile / compileIncremental 1s + | => rocket-dsptools / Compile / compileIncremental 6s +[warn] 11 warnings found + + + + + + | => tracegen / Compile / compileIncremental 1s + | => rocket-dsptools / Compile / compileIncremental 6s +[warn] there were 101 feature warnings; re-run with -feature for details + + + + + + | => tracegen / Compile / compileIncremental 1s + | => rocket-dsptools / Compile / compileIncremental 6s +[warn] one warning found + + + + + + | => tracegen / Compile / compileIncremental 1s + | => rocket-dsptools / Compile / compileIncremental 6s + + + + + + + | => rocket-dsptools / Compile / compile 0s + + + + + + + | => rocket-dsptools / Compile / compile 0s + + + + + + + | => chipyard / Compile / compileIncremental 0s +[info] Compiling 29 Scala sources to /home/riscvuser/chipyard/generators/chipyard/target/scala-2.12/classes ... + + + + + + | => chipyard / Compile / compileIncremental 0s + + + + + + | => chipyard / Compile / compileIncremental 0s + + + + + + | => chipyard / Compile / compileIncremental 0s + + + + + + | => chipyard / Compile / compileIncremental 0s + + + + + + | => chipyard / Compile / compileIncremental 0s + + + + + + | => chipyard / Compile / compileIncremental 0s + + + + + + | => chipyard / Compile / compileIncremental 0s + + + + + + | => chipyard / Compile / compileIncremental 0s + + + + + + | => chipyard / Compile / compileIncremental 0s + + + + + + | => chipyard / Compile / compileIncremental 0s + + + + + + | => chipyard / Compile / compileIncremental 1s + + + + + + | => chipyard / Compile / compileIncremental 1s + + + + + + | => chipyard / Compile / compileIncremental 1s + + + + + + | => chipyard / Compile / compileIncremental 1s + + + + + + | => chipyard / Compile / compileIncremental 1s + + + + + + | => chipyard / Compile / compileIncremental 1s + + + + + + | => chipyard / Compile / compileIncremental 1s + + + + + + | => chipyard / Compile / compileIncremental 1s + + + + + + | => chipyard / Compile / compileIncremental 1s + + + + + + | => chipyard / Compile / compileIncremental 1s + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn] /home/riscvuser/chipyard/generators/chipyard/src/main/scala/IOBinders.scala:402:13: match may not be exhaustive. + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn] It would fail on the following input: None + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn]  system.traceIO match { case Some(t) => t.traces.map(tileTrace => SimDromajoBridge(tileTrace)(system.p)) } + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn]  ^ + + + + + + | => chipyard / Compile / compileIncremental 2s + + + + + + | => chipyard / Compile / compileIncremental 2s + + + + + + | => chipyard / Compile / compileIncremental 2s + + + + + + | => chipyard / Compile / compileIncremental 2s + + + + + + | => chipyard / Compile / compileIncremental 2s + + + + + + | => chipyard / Compile / compileIncremental 2s + + + + + + | => chipyard / Compile / compileIncremental 2s + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn] /home/riscvuser/chipyard/generators/chipyard/src/main/scala/example/NodeTypes.scala:14:38: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn]  val node = TLHelper.makeClientNode(TLClientParameters( + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn]  ^ + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn] /home/riscvuser/chipyard/generators/chipyard/src/main/scala/example/NodeTypes.scala:32:50: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn]  val node = TLHelper.makeManagerNode(beatBytes, TLManagerParameters( + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn]  ^ + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn] /home/riscvuser/chipyard/generators/chipyard/src/main/scala/example/NodeTypes.scala:86:50: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn]  val node = TLHelper.makeManagerNode(beatBytes, TLManagerParameters( + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn]  ^ + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn] /home/riscvuser/chipyard/generators/chipyard/src/main/scala/example/NodeTypes.scala:95:50: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn]  val node = TLHelper.makeManagerNode(beatBytes, TLManagerParameters( + + + + + + | => chipyard / Compile / compileIncremental 2s +[warn]  ^ + + + + + + | => chipyard / Compile / compileIncremental 2s + + + + + + | => chipyard / Compile / compileIncremental 2s + + + + + + | => chipyard / Compile / compileIncremental 2s + + + + + + | => chipyard / Compile / compileIncremental 3s + + + + + + | => chipyard / Compile / compileIncremental 3s + + + + + + | => chipyard / Compile / compileIncremental 3s + + + + + + | => chipyard / Compile / compileIncremental 3s + + + + + + | => chipyard / Compile / compileIncremental 3s + + + + + + | => chipyard / Compile / compileIncremental 3s + + + + + + | => chipyard / Compile / compileIncremental 3s + + + + + + | => chipyard / Compile / compileIncremental 3s + + + + + + | => chipyard / Compile / compileIncremental 3s + + + + + + | => chipyard / Compile / compileIncremental 3s + + + + + + | => chipyard / Compile / compileIncremental 4s + + + + + + | => chipyard / Compile / compileIncremental 4s +[warn] there were 8 feature warnings; re-run with -feature for details + + + + + + | => chipyard / Compile / compileIncremental 4s +[warn] 6 warnings found + + + + + + | => chipyard / Compile / compileIncremental 4s + + + + + + | => chipyard / Compile / compileIncremental 4s + + + + + + | => chipyard / Compile / compile 0s + + + + + + | => chipyard / Compile / packageBin 0s + + + + + + | => chipyard / Compile / bgRunMain 0s + + + + + + | => chipyard / Compile / bgRunMain 0s + + + + + + | => chipyard / Compile / bgRunMain 0s + + + + + + | => chipyard / Compile / bgRunMain 0s + + + + + + | => chipyard / Compile / bgRunMain 0s + + + + + + | => chipyard / Compile / bgRunMain 0s +[info] running chipyard.Generator --target-dir /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig --name chipyard.TestHarness.RocketConfig --top-module chipyard.TestHarness --legacy-configs chipyard.RocketConfig + + + + + + | => chipyard / Compile / runMain 0s + + + + + + | => chipyard / Compile / runMain 0s + + + + + + | => chipyard / Compile / runMain 0s + + + + + + | => chipyard / Compile / runMain 0s + + + + + + | => chipyard / Compile / runMain 0s + + + + + + | => chipyard / Compile / runMain 0s + + + + + + | => chipyard / Compile / runMain 0s + + + + + + | => chipyard / Compile / runMain 0s + + + + + + | => chipyard / Compile / runMain 0s + + + + + + | => chipyard / Compile / runMain 0s + + + + + + | => chipyard / Compile / runMain 1s + + + + + + | => chipyard / Compile / runMain 1s + + + + + + | => chipyard / Compile / runMain 1s +[info] [0.001] Elaborating design... + + + + + + | => chipyard / Compile / runMain 1s + + + + + + | => chipyard / Compile / runMain 1s + + + + + + | => chipyard / Compile / runMain 1s + + + + + + | => chipyard / Compile / runMain 1s + + + + + + | => chipyard / Compile / runMain 1s + + + + + + | => chipyard / Compile / runMain 1s + + + + + + | => chipyard / Compile / runMain 1s + + + + + + | => chipyard / Compile / runMain 2s + + + + + + | => chipyard / Compile / runMain 2s + + + + + + | => chipyard / Compile / runMain 2s + + + + + + | => chipyard / Compile / runMain 2s + + + + + + | => chipyard / Compile / runMain 2s + + + + + + | => chipyard / Compile / runMain 2s + + + + + + | => chipyard / Compile / runMain 2s + + + + + + | => chipyard / Compile / runMain 2s + + + + + + | => chipyard / Compile / runMain 2s + + + + + + | => chipyard / Compile / runMain 2s + + + + + + | => chipyard / Compile / runMain 3s + + + + + + | => chipyard / Compile / runMain 3s + + + + + + | => chipyard / Compile / runMain 3s + + + + + + | => chipyard / Compile / runMain 3s + + + + + + | => chipyard / Compile / runMain 3s + + + + + + | => chipyard / Compile / runMain 3s + + + + + + | => chipyard / Compile / runMain 3s + + + + + + | => chipyard / Compile / runMain 3s + + + + + + | => chipyard / Compile / runMain 3s + + + + + + | => chipyard / Compile / runMain 3s + + + + + + | => chipyard / Compile / runMain 4s + + + + + + | => chipyard / Compile / runMain 4s + + + + + + | => chipyard / Compile / runMain 4s + + + + + + | => chipyard / Compile / runMain 4s + + + + + + | => chipyard / Compile / runMain 4s + + + + + + | => chipyard / Compile / runMain 4s + + + + + + | => chipyard / Compile / runMain 4s + + + + + + | => chipyard / Compile / runMain 4s + + + + + + | => chipyard / Compile / runMain 4s + + + + + + | => chipyard / Compile / runMain 4s + + + + + + | => chipyard / Compile / runMain 5s + + + + + + | => chipyard / Compile / runMain 5s + + + + + + | => chipyard / Compile / runMain 5s + + + + + + | => chipyard / Compile / runMain 5s + + + + + + | => chipyard / Compile / runMain 5s + + + + + + | => chipyard / Compile / runMain 5s + + + + + + | => chipyard / Compile / runMain 5s + + + + + + | => chipyard / Compile / runMain 5s + + + + + + | => chipyard / Compile / runMain 5s + + + + + + | => chipyard / Compile / runMain 6s + + + + + + | => chipyard / Compile / runMain 6s + + + + + + | => chipyard / Compile / runMain 6s + + + + + + | => chipyard / Compile / runMain 6s + + + + + + | => chipyard / Compile / runMain 6s + + + + + + | => chipyard / Compile / runMain 6s + + + + + + | => chipyard / Compile / runMain 6s + + + + + + | => chipyard / Compile / runMain 6s + + + + + + | => chipyard / Compile / runMain 6s + + + + + + | => chipyard / Compile / runMain 7s + + + + + + | => chipyard / Compile / runMain 7s + + + + + + | => chipyard / Compile / runMain 7s + + + + + + | => chipyard / Compile / runMain 7s + + + + + + | => chipyard / Compile / runMain 7s + + + + + + | => chipyard / Compile / runMain 7s + + + + + + | => chipyard / Compile / runMain 7s + + + + + + | => chipyard / Compile / runMain 7s + + + + + + | => chipyard / Compile / runMain 7s + + + + + + | => chipyard / Compile / runMain 7s + + + + + + | => chipyard / Compile / runMain 8s + + + + + + | => chipyard / Compile / runMain 8s + + + + + + | => chipyard / Compile / runMain 8s + + + + + + | => chipyard / Compile / runMain 8s + + + + + + | => chipyard / Compile / runMain 8s + + + + + + | => chipyard / Compile / runMain 8s + + + + + + | => chipyard / Compile / runMain 8s + + + + + + | => chipyard / Compile / runMain 8s +L2 InclusiveCache Client Map: + 0 <= serial + 1 <= Core 0 DCache + 2 <= Core 0 ICache + + + + + + + | => chipyard / Compile / runMain 8s + + + + + + | => chipyard / Compile / runMain 8s + + + + + + | => chipyard / Compile / runMain 9s + + + + + + | => chipyard / Compile / runMain 9s + + + + + + | => chipyard / Compile / runMain 9s + + + + + + | => chipyard / Compile / runMain 9s + + + + + + | => chipyard / Compile / runMain 9s + + + + + + | => chipyard / Compile / runMain 9s + + + + + + | => chipyard / Compile / runMain 9s + + + + + + | => chipyard / Compile / runMain 9s + + + + + + | => chipyard / Compile / runMain 9s + + + + + + | => chipyard / Compile / runMain 10s + + + + + + | => chipyard / Compile / runMain 10s + + + + + + | => chipyard / Compile / runMain 10s + + + + + + | => chipyard / Compile / runMain 10s + + + + + + | => chipyard / Compile / runMain 10s + + + + + + | => chipyard / Compile / runMain 10s + + + + + + | => chipyard / Compile / runMain 10s +Interrupt map (2 harts 1 interrupts): + [1, 1] => uart_0 + + + + + + + | => chipyard / Compile / runMain 10s + + + + + + | => chipyard / Compile / runMain 10s + + + + + + | => chipyard / Compile / runMain 10s + + + + + + | => chipyard / Compile / runMain 11s + + + + + + | => chipyard / Compile / runMain 11s + + + + + + | => chipyard / Compile / runMain 11s + + + + + + | => chipyard / Compile / runMain 11s + + + + + + | => chipyard / Compile / runMain 11s + + + + + + | => chipyard / Compile / runMain 11s + + + + + + | => chipyard / Compile / runMain 11s + + + + + + | => chipyard / Compile / runMain 11s + + + + + + | => chipyard / Compile / runMain 11s + + + + + + | => chipyard / Compile / runMain 11s + + + + + + | => chipyard / Compile / runMain 12s + + + + + + | => chipyard / Compile / runMain 12s + + + + + + | => chipyard / Compile / runMain 12s + + + + + + | => chipyard / Compile / runMain 12s + + + + + + | => chipyard / Compile / runMain 12s + + + + + + | => chipyard / Compile / runMain 12s + + + + + + | => chipyard / Compile / runMain 12s + + + + + + | => chipyard / Compile / runMain 12s + + + + + + | => chipyard / Compile / runMain 12s + + + + + + | => chipyard / Compile / runMain 12s + + + + + + | => chipyard / Compile / runMain 13s + + + + + + | => chipyard / Compile / runMain 13s + + + + + + | => chipyard / Compile / runMain 13s + + + + + + | => chipyard / Compile / runMain 13s + + + + + + | => chipyard / Compile / runMain 13s + + + + + + | => chipyard / Compile / runMain 13s + + + + + + | => chipyard / Compile / runMain 13s + + + + + + | => chipyard / Compile / runMain 13s + + + + + + | => chipyard / Compile / runMain 13s + + + + + + | => chipyard / Compile / runMain 13s + + + + + + | => chipyard / Compile / runMain 14s + + + + + + | => chipyard / Compile / runMain 14s + + + + + + | => chipyard / Compile / runMain 14s + + + + + + | => chipyard / Compile / runMain 14s + + + + + + | => chipyard / Compile / runMain 14s + + + + + + | => chipyard / Compile / runMain 14s + + + + + + | => chipyard / Compile / runMain 14s + + + + + + | => chipyard / Compile / runMain 14s + + + + + + | => chipyard / Compile / runMain 14s + + + + + + | => chipyard / Compile / runMain 14s + + + + + + | => chipyard / Compile / runMain 15s + + + + + + | => chipyard / Compile / runMain 15s + + + + + + | => chipyard / Compile / runMain 15s + + + + + + | => chipyard / Compile / runMain 15s + + + + + + | => chipyard / Compile / runMain 15s + + + + + + | => chipyard / Compile / runMain 15s + + + + + + | => chipyard / Compile / runMain 15s + + + + + + | => chipyard / Compile / runMain 15s + + + + + + | => chipyard / Compile / runMain 15s + + + + + + | => chipyard / Compile / runMain 15s + + + + + + | => chipyard / Compile / runMain 16s + + + + + + | => chipyard / Compile / runMain 16s + + + + + + | => chipyard / Compile / runMain 16s + + + + + + | => chipyard / Compile / runMain 16s + + + + + + | => chipyard / Compile / runMain 16s + + + + + + | => chipyard / Compile / runMain 16s + + + + + + | => chipyard / Compile / runMain 16s + + + + + + | => chipyard / Compile / runMain 16s + + + + + + | => chipyard / Compile / runMain 16s + + + + + + | => chipyard / Compile / runMain 16s + + + + + + | => chipyard / Compile / runMain 17s + + + + + + | => chipyard / Compile / runMain 17s + + + + + + | => chipyard / Compile / runMain 17s + + + + + + | => chipyard / Compile / runMain 17s + + + + + + | => chipyard / Compile / runMain 17s + + + + + + | => chipyard / Compile / runMain 17s + + + + + + | => chipyard / Compile / runMain 17s + + + + + + | => chipyard / Compile / runMain 17s + + + + + + | => chipyard / Compile / runMain 17s +: Warning (simple_bus_reg): Node /soc/subsystem_pbus_clock missing or empty reg/ranges property + + + + + + | => chipyard / Compile / runMain 18s + + + + + + | => chipyard / Compile / runMain 18s + + + + + + | => chipyard / Compile / runMain 18s +/dts-v1/; + +/ { + #address-cells = <1>; + #size-cells = <1>; + compatible = "freechips,rocketchip-unknown-dev"; + model = "freechips,rocketchip-unknown"; + L18: aliases { + serial0 = &L14; + }; + L17: cpus { + #address-cells = <1>; + #size-cells = <0>; + L9: cpu@0 { + clock-frequency = <0>; + compatible = "sifive,rocket0", "riscv"; + d-cache-block-size = <64>; + d-cache-sets = <64>; + d-cache-size = <16384>; + d-tlb-sets = <1>; + d-tlb-size = <32>; + device_type = "cpu"; + hardware-exec-breakpoint-count = <1>; + i-cache-block-size = <64>; + i-cache-sets = <64>; + i-cache-size = <16384>; + i-tlb-sets = <1>; + i-tlb-size = <32>; + mmu-type = "riscv,sv39"; + next-level-cache = <&L2>; + reg = <0x0>; + riscv,isa = "rv64imafdc"; + riscv,pmpregions = <8>; + status = "okay"; + timebase-frequency = <1000000>; + tlb-split; + L7: interrupt-controller { + #interrupt-cells = <1>; + compatible = "riscv,cpu-intc"; + interrupt-controller; + }; + }; + }; + L11: memory@80000000 { + device_type = "memory"; + reg = <0x80000000 0x10000000>; + }; + L16: soc { + #address-cells = <1>; + #size-cells = <1>; + compatible = "freechips,rocketchip-unknown-soc", "simple-bus"; + ranges; + L2: cache-controller@2010000 { + cache-block-size = <64>; + cache-level = <2>; + cache-sets = <1024>; + cache-size = <524288>; + cache-unified; + compatible = "sifive,inclusivecache0", "cache"; + next-level-cache = <&L11>; + reg = <0x2010000 0x1000>; + reg-names = "control"; + sifive,mshr-count = <7>; + }; + L4: clint@2000000 { + compatible = "riscv,clint0"; + interrupts-extended = <&L7 3 &L7 7>; + reg = <0x2000000 0x10000>; + reg-names = "control"; + }; + L5: debug-controller@0 { + compatible = "sifive,debug-013", "riscv,debug-013"; + debug-attach = "dmi"; + interrupts-extended = <&L7 65535>; + reg = <0x0 0x1000>; + reg-names = "control"; + }; + L1: error-device@3000 { + compatible = "sifive,error0"; + reg = <0x3000 0x1000>; + }; + L3: interrupt-controller@c000000 { + #interrupt-cells = <1>; + compatible = "riscv,plic0"; + interrupt-controller; + interrupts-extended = <&L7 11 &L7 9>; + reg = <0xc000000 0x4000000>; + reg-names = "control"; + riscv,max-priority = <1>; + riscv,ndev = <1>; + }; + L13: rom@10000 { + compatible = "sifive,rom0"; + reg = <0x10000 0x10000>; + reg-names = "mem"; + }; + L14: serial@54000000 { + clocks = <&L0>; + compatible = "sifive,uart0"; + interrupt-parent = <&L3>; + interrupts = <1>; + reg = <0x54000000 0x1000>; + reg-names = "control"; + }; + L0: subsystem_pbus_clock { + #clock-cells = <0>; + clock-frequency = <100000000>; + clock-output-names = "subsystem_pbus_clock"; + compatible = "fixed-clock"; + }; + }; +}; + +Generated Address Map + 0 - 1000 ARWX debug-controller@0 + 3000 - 4000 ARWX error-device@3000 + 10000 - 20000 R X rom@10000 + 2000000 - 2010000 ARW clint@2000000 + 2010000 - 2011000 ARW cache-controller@2010000 + c000000 - 10000000 ARW interrupt-controller@c000000 + 54000000 - 54001000 ARW serial@54000000 + 80000000 - 90000000 ARWXC memory@80000000 + + + + + + + | => chipyard / Compile / runMain 18s + + + + + + | => chipyard / Compile / runMain 18s + + + + + + | => chipyard / Compile / runMain 18s + + + + + + | => chipyard / Compile / runMain 18s + + + + + + | => chipyard / Compile / runMain 18s +[deprecated] Bits.scala:373 (29 calls): do_toBool is deprecated: "Use asBool instead" +[warn] There were 1 deprecated function(s) used. These may stop compiling in a future release - you are encouraged to fix these issues. +[warn] Line numbers for deprecations reported by Chisel may be inaccurate; enable scalac compiler deprecation warnings via either of the following methods: +[warn] In the sbt interactive console, enter: +[warn] set scalacOptions in ThisBuild ++= Seq("-unchecked", "-deprecation") +[warn] or, in your build.sbt, add the line: +[warn] scalacOptions := Seq("-unchecked", "-deprecation") +[info] [17.408] Done elaborating. + + + + + + | => chipyard / Compile / runMain 18s + + + + + + | => chipyard / Compile / runMain 18s + + + + + + | => chipyard / Compile / runMain 19s + + + + + + | => chipyard / Compile / runMain 19s + + + + + + | => chipyard / Compile / runMain 19s + + + + + + | => chipyard / Compile / runMain 19s + + + + + + | => chipyard / Compile / runMain 19s + + + + + + | => chipyard / Compile / runMain 19s + + + + + + | => chipyard / Compile / runMain 19s + + + + + + | => chipyard / Compile / runMain 19s + + + + + + | => chipyard / Compile / runMain 19s + + + + + + | => chipyard / Compile / runMain 19s + + + + + + | => chipyard / Compile / runMain 20s + + + + + + | => chipyard / Compile / runMain 20s + + + + + + | => chipyard / Compile / runMain 20s + + + + + + | => chipyard / Compile / runMain 20s + + + + + + | => chipyard / Compile / runMain 20s + + + + + + | => chipyard / Compile / runMain 20s + + + + + + | => chipyard / Compile / runMain 20s + + + + + + | => chipyard / Compile / runMain 20s + + + + + + | => chipyard / Compile / runMain 20s + + + + + + | => chipyard / Compile / runMain 20s + + + + + + | => chipyard / Compile / runMain 21s + + + + + + | => chipyard / Compile / runMain 21s + + + + + + | => chipyard / Compile / runMain 21s + + + + + + | => chipyard / Compile / runMain 21s + + + + + + | => chipyard / Compile / runMain 21s + + + + + + | => chipyard / Compile / runMain 21s + + + + + + | => chipyard / Compile / runMain 21s + + + + + + | => chipyard / Compile / runMain 21s + + + + + + | => chipyard / Compile / runMain 21s + + + + + + | => chipyard / Compile / runMain 21s + + + + + + | => chipyard / Compile / runMain 22s + + + + + + | => chipyard / Compile / runMain 22s + + + + + + | => chipyard / Compile / runMain 22s + + + + + + | => chipyard / Compile / runMain 22s + + + + + + | => chipyard / Compile / runMain 22s + + + + + + | => chipyard / Compile / runMain 22s + + + + + + | => chipyard / Compile / runMain 22s + + + + + + | => chipyard / Compile / runMain 22s + + + + + + | => chipyard / Compile / runMain 22s + + + + + + | => chipyard / Compile / runMain 22s + + + + + + | => chipyard / Compile / runMain 23s + + + + + + | => chipyard / Compile / runMain 23s + + + + + + | => chipyard / Compile / runMain 23s + + + + + + | => chipyard / Compile / runMain 23s + + + + + + | => chipyard / Compile / runMain 23s + + + + + + | => chipyard / Compile / runMain 23s + + + + + + | => chipyard / Compile / runMain 23s + + + + + + | => chipyard / Compile / runMain 23s + + + + + + | => chipyard / Compile / runMain 23s + + + + + + | => chipyard / Compile / runMain 23s + + + + + + | => chipyard / Compile / runMain 24s + + + + + + | => chipyard / Compile / runMain 24s + + + + + + | => chipyard / Compile / runMain 24s + + + + + + | => chipyard / Compile / runMain 24s + + + + + + | => chipyard / Compile / runMain 24s + + + + + + | => chipyard / Compile / runMain 24s + + + + + + | => chipyard / Compile / runMain 24s + + + + + + | => chipyard / Compile / runMain 24s + + + + + + | => chipyard / Compile / runMain 24s + + + + + + | => chipyard / Compile / runMain 24s + + + + + + | => chipyard / Compile / runMain 25s + + + + + + | => chipyard / Compile / runMain 25s + + + + + + | => chipyard / Compile / runMain 25s + + + + + + | => chipyard / Compile / runMain 25s + + + + + + | => chipyard / Compile / runMain 25s + + + + + + | => chipyard / Compile / runMain 25s + + + + + + | => chipyard / Compile / runMain 25s + + + + + + | => chipyard / Compile / runMain 25s + + + + + + | => chipyard / Compile / runMain 25s + + + + + + | => chipyard / Compile / runMain 25s + + + + + + | => chipyard / Compile / runMain 26s + + + + + + | => chipyard / Compile / runMain 26s + + + + + + | => chipyard / Compile / runMain 26s + + + + + + | => chipyard / Compile / runMain 26s + + + + + + | => chipyard / Compile / runMain 26s + + + + + + | => chipyard / Compile / runMain 26s + + + + + + | => chipyard / Compile / runMain 26s + + + + + + | => chipyard / Compile / runMain 26s + + + + + + | => chipyard / Compile / runMain 26s + + + + + + | => chipyard / Compile / runMain 26s + + + + + + | => chipyard / Compile / runMain 27s + + + + + + | => chipyard / Compile / runMain 27s + + + + + + | => chipyard / Compile / runMain 27s + + + + + + | => chipyard / Compile / runMain 27s + + + + + + | => chipyard / Compile / runMain 27s + + + + + + | => chipyard / Compile / runMain 27s + + + + + + | => chipyard / Compile / runMain 27s + + + + + + | => chipyard / Compile / runMain 27s + + + + + + | => chipyard / Compile / runMain 27s + + + + + + | => chipyard / Compile / runMain 28s + + + + + + | => chipyard / Compile / runMain 28s + + + + + + | => chipyard / Compile / runMain 28s + + + + + + | => chipyard / Compile / runMain 28s + + + + + + | => chipyard / Compile / runMain 28s + + + + + + | => chipyard / Compile / runMain 28s + + + + + + | => chipyard / Compile / runMain 28s + + + + + + | => chipyard / Compile / runMain 28s + + + + + + | => chipyard / Compile / runMain 28s + + + + + + | => chipyard / Compile / runMain 28s + + + + + + | => chipyard / Compile / runMain 29s + + + + + + | => chipyard / Compile / runMain 29s + + + + + + | => chipyard / Compile / runMain 29s + + + + + + | => chipyard / Compile / runMain 29s + + + + + + | => chipyard / Compile / runMain 29s + + + + + + | => chipyard / Compile / runMain 29s + + + + + + | => chipyard / Compile / runMain 29s + + + + + + | => chipyard / Compile / runMain 29s + + + + + + | => chipyard / Compile / runMain 29s + + + + + + | => chipyard / Compile / runMain 29s + + + + + + | => chipyard / Compile / runMain 30s + + + + + + | => chipyard / Compile / runMain 30s + + + + + + | => chipyard / Compile / runMain 30s + + + + + + | => chipyard / Compile / runMain 30s + + + + + + | => chipyard / Compile / runMain 30s + + + + + + | => chipyard / Compile / runMain 30s + + + + + + | => chipyard / Compile / runMain 30s + + + + + + | => chipyard / Compile / runMain 30s + + + + + + | => chipyard / Compile / runMain 30s + + + + + + | => chipyard / Compile / runMain 30s + + + + + + | => chipyard / Compile / runMain 31s + + + + + + | => chipyard / Compile / runMain 31s + + + + + + | => chipyard / Compile / runMain 31s + + + + + + | => chipyard / Compile / runMain 31s + + + + + + | => chipyard / Compile / runMain 31s + + + + + + | => chipyard / Compile / runMain 31s + + + + + + | => chipyard / Compile / runMain 31s + + + + + + | => chipyard / Compile / runMain 31s + + + + + + | => chipyard / Compile / runMain 31s + + + + + + | => chipyard / Compile / runMain 31s + + + + + + | => chipyard / Compile / runMain 32s + + + + + + | => chipyard / Compile / runMain 32s + + + + + + | => chipyard / Compile / runMain 32s + + + + + + | => chipyard / Compile / runMain 32s + + + + + + | => chipyard / Compile / runMain 32s + + + + + + | => chipyard / Compile / runMain 32s + + + + + + | => chipyard / Compile / runMain 32s + + + + + + | => chipyard / Compile / runMain 32s + + + + + + | => chipyard / Compile / runMain 32s + + + + + + | => chipyard / Compile / runMain 32s + + + + + + | => chipyard / Compile / runMain 33s + + + + + + | => chipyard / Compile / runMain 33s + + + + + + | => chipyard / Compile / runMain 33s + + + + + + | => chipyard / Compile / runMain 33s + + + + + + | => chipyard / Compile / runMain 33s + + + + + + | => chipyard / Compile / runMain 33s + + + + + + | => chipyard / Compile / runMain 33s + + + + + + | => chipyard / Compile / runMain 33s + + + + + + | => chipyard / Compile / runMain 33s + + + + + + | => chipyard / Compile / runMain 33s + + + + + + | => chipyard / Compile / runMain 34s + + + + + + | => chipyard / Compile / runMain 34s + + + + + + | => chipyard / Compile / runMain 34s + + + + + + +[success] Total time: 103 s (01:43), completed Dec 23, 2020, 3:46:47 AM +cd /home/riscvuser/chipyard && java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar "project tapeout" "runMain barstools.tapeout.transforms.GenerateTopAndHarness -o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.v -tho /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.v -i /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.fir --syn-top ChipTop --harness-top TestHarness -faf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.anno.json -tsaof /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.anno.json -tdf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.top.f -tsf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.fir -thaof /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.anno.json -hdf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.harness.f -thf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.fir --infer-rw --repl-seq-mem -c:TestHarness:-o:/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.conf -thconf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.conf -td /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig" && touch /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.top.f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.harness.f +OpenJDK 64-Bit Server VM warning: Ignoring option MaxPermSize; support was removed in 8.0 +[info] Loading settings for project chipyard-build from plugins.sbt ... +[info] Loading project definition from /home/riscvuser/chipyard/project + + + + + + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / Compile / previousCompile 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + +[info] Loading settings for project chipyardRoot from build.sbt ... +[info] Loading settings for project barstoolsMacros from build.sbt ... +[info] Loading settings for project mdf from build.sbt ... +[info] Loading settings for project gemmini from build.sbt ... +[info] Loading settings for project ariane from build.sbt ... +[info] Loading settings for project boom from build.sbt ... +[info] Loading settings for project hwacha from build.sbt ... +[info] Loading settings for project icenet from build.sbt ... +[info] Loading settings for project testchipip from build.sbt ... +[info] Loading settings for project rocketConfig from build.sbt ... +[info] Loading settings for project hardfloat from build.sbt ... +[info] Loading settings for project chisel_testers from build.sbt ... +[info] Loading settings for project treadle from build.sbt ... +[info] Loading settings for project firrtl_interpreter from build.sbt ... +[info] Loading settings for project chisel from build.sbt ... +[info] Loading settings for project sim-build from plugins.sbt ... +[info] Loading project definition from /home/riscvuser/chipyard/sims/firesim/sim/project + + + + + + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / Compile / compileIncSetup 0s + + + + + + +[info] Loading settings for project firesim from build.sbt ... +[info] Loading settings for project midas from build.sbt ... +[info] Loading settings for project targetutils from build.sbt ... +[info] Resolving key references (32007 settings) ... +[info] Set current project to chipyardRoot (in build file:/home/riscvuser/chipyard/) + + + + + + + + + + + | => Global / checkBuildSources 0s + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +[info] Set current project to tapeout (in build file:/home/riscvuser/chipyard/) + + + + + + + + + + + + + + + + + + + + + | => chisel / Compile / unmanagedClasspath 0s + | => sha3 / dependencyPositions 0s + | => tracegen / dependencyPositions 0s + | => sifive_cache / dependencyPositions 0s + | => boom / dependencyPositions 0s + | => utilities / dependencyPositions 0s + | => rocket-dsptools / dependencyPositions 0s + | => chipyard / dependencyPositions 0s + | => hwacha / dependencyPositions 0s + | => gemmini / dependencyPositions 0s + | => treadle / dependencyPositions 0s + | => firrtl_interpreter / dependencyPositions 0s + | => chisel_testers / dependencyPositions 0s + | => iocell / dependencyPositions 0s + + + + + + + | => icenet / csrFallbackDependencies 0s + | => sha3 / csrFallbackDependencies 0s + | => tracegen / csrFallbackDependencies 0s + | => rocket-dsptools / csrFallbackDependencies 0s + | => sifive_cache / csrFallbackDependencies 0s + | => core / csrProject 0s + | => gemmini / csrFallbackDependencies 0s + | => tapeout / csrFallbackDependencies 0s + | => core / moduleSettings 0s + | => chisel / csrProject 0s + | => treadle / update 0s + | => rocketConfig / update 0s + | => tapeout / Compile / copyResources 0s + + + + + + + + + + + + + + + + + + + | => core / projectDescriptors 0s + + + + + + + + + + + + + + + + | => rocketchip / projectDescriptors 0s + | => hardfloat / update 0s + | => chisel_testers / update 0s + | => iocell / update 0s + + + + + + + + + + + + | => rocket-dsptools / update 0s + | => ariane / update 0s + | => sha3 / update 0s + | => sifive_cache / update 0s + | => hwacha / update 0s + | => nvdla / update 0s + | => sifive_blocks / update 0s + | => chipyard / projectDescriptors 0s + + + + + + + + + + + + + + + + | => utilities / update 0s + | => boom / update 0s + | => icenet / update 0s + | => gemmini / update 0s + + + + + + + + + + + + + + + + + + + | => chipyard / update 0s + + + + + + + + + + + + + + + + + + + | => tapeout / update 0s + + + + + + + + + + + + + + + + + + + | => tapeout / update 0s + + + + + + + + + + + + + + + + + + + | => tapeout / update 0s + + + + + + + + + + + + + + + + + + + | => tapeout / update 0s +[info] Updating  + + + + + + + + + + + + + + + + + + | => tapeout / update 0s +https://repo1.maven.org/maven2/io/github/daviddenton/handlebars-? + 0.0% [ ] 0 B (0 B / s) + + + + + + + + + + + + + + + + + + | => tapeout / update 0s + + + + + + + + + + + + + + + + + + | => tapeout / update 0s +https://repo1.maven.org/maven2/io/github/daviddenton/handlebars-? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/github/daviddenton/handlebars-? + 100.0% [##########] 3.8 KiB (14.5 KiB / s) + + + + + + + + + + + + + + + + + + | => tapeout / update 0s + + + + + + + + + + + + + + + + + + | => tapeout / update 0s + + + + + + + + + + + + + + + + + + | => tapeout / update 0s +[info] Resolved dependencies + + + + + + + + + + + + + + + + + | => tapeout / update 0s + + + + + + + + + + + + + + + + + | => tapeout / update 0s + + + + + + + + + + + + + + + + + | => tapeout / update 1s +[info] Fetching artifacts of  + + + + + + + + + + + + + + + + | => tapeout / update 1s +https://repo1.maven.org/maven2/io/github/daviddenton/handlebars-? + 0.0% [ ] 0 B (0 B / s) +https://repo1.maven.org/maven2/io/github/daviddenton/handlebars-? + 28.2% [## ] 53.6 KiB (1.7 MiB / s) +https://repo1.maven.org/maven2/io/github/daviddenton/handlebars-? + 100.0% [##########] 189.7 KiB (3.6 MiB / s) +[info] Fetched artifacts of  + + + + + + + + + + + + + + + | => tapeout / update 1s + + + + + + + + + + + + + + + | => tapeout / update 1s + + + + + + + + + + + + + + + | => tapeout / update 1s + + + + + + + + + + + + + + + | => tapeout / update 1s + + + + + + + + + + + + + + + + + + + + + | => utilities / Compile / managedResources 0s + | => utilities / Compile / scalacOptions 0s + | => targetutils / Compile / scalacOptions 0s + | => treadle / Compile / scalacOptions 0s + | => hwacha / Compile / scalacOptions 0s + | => ariane / Compile / scalacOptions 0s + | => boom / Compile / scalacOptions 0s + | => testchipip / Compile / scalacOptions 0s + | => dsptools / Compile / scalacOptions 0s + | => rocketMacros / Compile / scalacOptions 0s + | => sifive_blocks / Compile / scalacOptions 0s + | => rocket-dsptools / Compile / scalacOptions 0s + | => core / Compile / scalacOptions 0s + | => hardfloat / Compile / scalacOptions 0s + | => sha3 / Compile / scalacOptions 0s + | => firrtl_interpreter / Compile / scalacOptions 0s + | => tracegen / Compile / scalacOptions 0s + | => macros / Compile / scalacOptions 0s + | => icenet / Compile / scalacOptions 0s + | => chisel / Compile / buildInfo 0s + | => ariane / Compile / unmanagedResources / inputFileStamps 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + + + + + + | => treadle / Compile / previousCompile 0s + | => rocketConfig / Compile / previousCompile 0s + | => rocketMacros / Compile / previousCompile 0s + | => firrtl_interpreter / Compile / previousCompile 0s + | => macros / Compile / previousCompile 0s + | => ariane / Compile / unmanagedResources / inputFileStamps 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + + + + + + | => ariane / Compile / copyResources 0s + | => treadle / Compile / previousCompile 0s + | => rocketConfig / Compile / previousCompile 0s + | => rocketMacros / Compile / previousCompile 0s + | => firrtl_interpreter / Compile / previousCompile 0s + | => macros / Compile / previousCompile 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + + + + + + | => ariane / Compile / copyResources 0s + | => treadle / Compile / previousCompile 0s + | => rocketConfig / Compile / previousCompile 0s + | => rocketMacros / Compile / previousCompile 0s + | => firrtl_interpreter / Compile / previousCompile 0s + | => macros / Compile / previousCompile 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + + + + + + | => firrtl_interpreter / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + | => rocketMacros / Compile / compileIncremental 0s + | => ariane / Compile / copyResources 0s + | => treadle / Compile / previousCompile 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + + + + + + | => macros / Compile / packageBin / packageOptions 0s + | => core / Compile / externalHooks 0s + | => treadle / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => rocketMacros / Compile / compileIncremental 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + + + + + + + + + + + + + + + | => macros / Compile / packageBin / packageOptions 0s + | => core / Compile / externalHooks 0s + | => treadle / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => rocketMacros / Compile / compileIncremental 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + + + + + + + + + + + + + + | => macros / Compile / packageBin / packageOptions 0s + | => core / Compile / externalHooks 0s + | => treadle / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => rocketMacros / Compile / compileIncremental 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + + + + + + + + + | => core / Compile / previousCompile 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + + + + + + + + + | => chisel / Compile / previousCompile 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + + + + + + + + + + + + + + + + + + | => chisel / Compile / previousCompile 0s + | => nvdla / Compile / unmanagedResources / inputFileStamps 0s + + + + + + + + + + + + + + + + + + + + | => iocell / Compile / compileIncremental 0s + | => targetutils / Compile / compileIncremental 0s + | => chisel / Compile / packageBin 0s + | => chisel_testers / Compile / previousCompile 0s + | => nvdla / Compile / copyResources 0s + + + + + + + + + + + + + + + + + + + + + + | => dsptools / Compile / previousCompile 0s + | => hardfloat / Compile / compileIncremental 0s + | => nvdla / Compile / copyResources 0s + + + + + + + + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + + + + + + + + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + + + + + + + + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + + + + + + + + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + + + + + + + + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + + + + + + + + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + + + + + + + + + + + + + + + + + + + + + + + | => rocketchip / Compile / packageBin 0s + + + + + + + + + + + + + + + + + | => sha3 / Compile / compileIncremental 0s + | => nvdla / Compile / compileIncremental 0s + | => ariane / Compile / previousCompile 0s + | => hwacha / Compile / previousCompile 0s + | => sifive_blocks / Compile / previousCompile 0s + | => rocket-dsptools / Compile / previousCompile 0s + | => sifive_cache / Compile / previousCompile 0s + + + + + + + + + + + + + + + + + + + | => sifive_blocks / Compile / compileIncremental 0s + | => nvdla / Compile / packageBin 0s + | => rocket-dsptools / Compile / compileIncremental 0s + | => ariane / Compile / compileIncremental 0s + | => hwacha / Compile / previousCompile 0s + + + + + + + + + + + + + + + + + + + + + | => hwacha / Compile / packageBin 0s + | => testchipip / Compile / previousCompile 0s + | => ariane / Compile / packageBin 0s + + + + + + + + + + + + + + + + + + + + + | => testchipip / Compile / mainClass 0s + | => testchipip / Compile / packageBin / mappings 0s + | => ariane / Compile / packageBin 0s + + + + + + + + + + + + + + + + + + + + | => boom / Compile / previousCompile 0s + | => gemmini / Compile / previousCompile 0s + | => icenet / Compile / previousCompile 0s + | => ariane / Compile / packageBin 0s + + + + + + + + + + + + + + + + + + + + + + | => boom / Compile / previousCompile 0s + | => ariane / Compile / packageBin 0s + + + + + + + + + + + + + + + + + + + + + + | => boom / Compile / compileIncremental 0s + | => ariane / Compile / packageBin 0s + + + + + + + + + + + + + + + + + + + + + + | => tracegen / Compile / compileIncremental 0s + | => ariane / Compile / packageBin 0s + + + + + + + + + + + + + + + + + + + + + + + | => ariane / Compile / packageBin 0s + + + + + + + + + + + + + + + + + + + + + + + | => ariane / Compile / packageBin 0s + + + + + + + + + + + + + + + + + + + + + + + | => ariane / Compile / packageBin 0s + + + + + + + + + + + + + + + + + + + + + + + | => ariane / Compile / packageBin 1s + + + + + + + + + + + + + + + + + + + + + + + | => chipyard / Compile / previousCompile 0s + + + + + + + + + + + + + + + + + + + + + + + | => chipyard / Compile / compileIncremental 0s +[info] Compiling 27 Scala sources to /home/riscvuser/chipyard/tools/barstools/tapeout/target/scala-2.12/classes ... + + + + + + + + + + + + + + + + + + + + + + | => chipyard / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s + + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkAnnotations.scala:97:17: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type + + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  def getAnno = Annotation(target, classOf[ClkSrcTransform], anno.serialize) + + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkAnnotations.scala:113:17: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type + + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  def getAnno = Annotation(target, classOf[ClkSrcTransform], anno.serialize) + + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkAnnotations.scala:136:10: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type + + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  case Annotation(f, t, s) if t == classOf[ClkSrcTransform] => f match { + + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkAnnotations.scala:232:25: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  case chisel3.core.ActualDirection.Input => + + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkAnnotations.scala:236:25: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  case chisel3.core.ActualDirection.Output => + + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkSrcTransform.scala:12:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  override def inputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkSrcTransform.scala:12:41: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  override def inputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkSrcTransform.scala:13:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkSrcTransform.scala:13:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkSrcTransform.scala:19:43: method getMyAnnotations in trait Transform is deprecated (since 1.1): Just collect the actual Annotation types the transform wants + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  val collectedAnnos = HasClkAnnotation(getMyAnnotations(state)) + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkSrcTransform.scala:22:48: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  case None => CircuitState(state.circuit, LowForm) + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/AddIOPadsTransform.scala:16:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  override def inputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/AddIOPadsTransform.scala:16:41: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  override def inputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/AddIOPadsTransform.scala:17:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/AddIOPadsTransform.scala:17:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/AddIOPadsTransform.scala:23:43: method getMyAnnotations in trait Transform is deprecated (since 1.1): Just collect the actual Annotation types the transform wants + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  val collectedAnnos = HasPadAnnotation(getMyAnnotations(state)) + + + + + + | => tapeout / Compile / compileIncremental 5s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 5s + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/ChiselTopModule.scala:30:25: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  case chisel3.core.ActualDirection.Input => + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/PadAnnotations.scala:39:17: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def getAnno = Annotation(target, classOf[AddIOPadsTransform], anno.serialize) + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/PadAnnotations.scala:69:17: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def getAnno = Annotation(target, classOf[AddIOPadsTransform], anno.serialize) + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/PadAnnotations.scala:99:10: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  case Annotation(f, t, s) if t == classOf[AddIOPadsTransform] => f match { + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/AddSuffixToModuleNames.scala:26:19: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def inputForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/AddSuffixToModuleNames.scala:27:20: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def outputForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/AvoidExtModuleCollisions.scala:12:19: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def inputForm = HighForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/AvoidExtModuleCollisions.scala:13:20: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def outputForm = HighForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ConvertToExtModPass.scala:19:19: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def inputForm = HighForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ConvertToExtModPass.scala:20:20: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def outputForm = HighForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/EnumerateModules.scala:24:19: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def inputForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/EnumerateModules.scala:25:20: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def outputForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/Generate.scala:16:33: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] trait HasTapeoutOptions { self: ExecutionOptionsManager with HasFirrtlOptions => + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/Generate.scala:150:30: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  val optionsManager = new ExecutionOptionsManager("tapeout") with HasFirrtlOptions with HasTapeoutOptions + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/Generate.scala:150:70: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  val optionsManager = new ExecutionOptionsManager("tapeout") with HasFirrtlOptions with HasTapeoutOptions + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/Generate.scala:187:27: class FirrtlExecutionSuccess in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  protected def dump(res: FirrtlExecutionSuccess, firFile: Option[String], annoFile: Option[String]): Unit = { + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/Generate.scala:209:25: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  val result = firrtl.Driver.execute(optionsManager) + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/Generate.scala:245:32: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  val harnessResult = firrtl.Driver.execute(optionsManager) + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ReParentCircuit.scala:16:19: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def inputForm = HighForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ReParentCircuit.scala:17:20: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def outputForm = HighForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/RemoveUnusedModules.scala:14:19: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def inputForm = HighForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/RemoveUnusedModules.scala:15:20: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def outputForm = HighForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:13:47: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def apply(target: ModuleName): Annotation = Annotation(target, classOf[ResetInverterTransform], "invert") + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:15:10: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  case Annotation(m, t, "invert") if t == classOf[ResetInverterTransform] => Some(m) + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:46:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  override def inputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:46:41: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  override def inputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:47:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:47:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:50:5: method getMyAnnotations in trait Transform is deprecated (since 1.1): Just collect the actual Annotation types the transform wants + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  getMyAnnotations(state) match { + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:13:47: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def apply(target: ModuleName): Annotation = Annotation(target, classOf[RetimeTransform], "retime") + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:15:10: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  case Annotation(m, t, "retime") if t == classOf[RetimeTransform] => Some(m) + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:21:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  override def inputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:21:41: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  override def inputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:22:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:22:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  override def outputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:25:5: method getMyAnnotations in trait Transform is deprecated (since 1.1): Just collect the actual Annotation types the transform wants + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  getMyAnnotations(state) match { + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:20:12: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  case Annotation(f, t, s) if t == classOf[firrtl.transforms.BlackBoxTargetDirAnno] => + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:37:5: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  Annotation(CircuitName("All"), classOf[TechnologyLocation], dir) + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:41:18: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def inputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:41:32: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def inputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:42:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def outputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:42:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  def outputForm: CircuitForm = LowForm + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:47:12: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  case Annotation(f, t, s) if t == classOf[TechnologyLocation] => Some(s) + + + + + + | => tapeout / Compile / compileIncremental 6s +[warn]  ^ + + + + + + | => tapeout / Compile / compileIncremental 6s + + + + + + | => tapeout / Compile / compileIncremental 6s + + + + + + | => tapeout / Compile / compileIncremental 6s + + + + + + | => tapeout / Compile / compileIncremental 6s + + + + + + | => tapeout / Compile / compileIncremental 6s + + + + + + | => tapeout / Compile / compileIncremental 6s + + + + + + | => tapeout / Compile / compileIncremental 6s + + + + + + | => tapeout / Compile / compileIncremental 6s + + + + + + | => tapeout / Compile / compileIncremental 7s + + + + + + | => tapeout / Compile / compileIncremental 7s + + + + + + | => tapeout / Compile / compileIncremental 7s + + + + + + | => tapeout / Compile / compileIncremental 7s + + + + + + | => tapeout / Compile / compileIncremental 7s + + + + + + | => tapeout / Compile / compileIncremental 7s + + + + + + | => tapeout / Compile / compileIncremental 7s + + + + + + | => tapeout / Compile / compileIncremental 7s + + + + + + | => tapeout / Compile / compileIncremental 7s + + + + + + | => tapeout / Compile / compileIncremental 7s + + + + + + | => tapeout / Compile / compileIncremental 8s + + + + + + | => tapeout / Compile / compileIncremental 8s + + + + + + | => tapeout / Compile / compileIncremental 8s + + + + + + | => tapeout / Compile / compileIncremental 8s + + + + + + | => tapeout / Compile / compileIncremental 8s + + + + + + | => tapeout / Compile / compileIncremental 8s + + + + + + | => tapeout / Compile / compileIncremental 8s + + + + + + | => tapeout / Compile / compileIncremental 8s + + + + + + | => tapeout / Compile / compileIncremental 8s + + + + + + | => tapeout / Compile / compileIncremental 8s + + + + + + | => tapeout / Compile / compileIncremental 9s + + + + + + | => tapeout / Compile / compileIncremental 9s + + + + + + | => tapeout / Compile / compileIncremental 9s + + + + + + | => tapeout / Compile / compileIncremental 9s + + + + + + | => tapeout / Compile / compileIncremental 9s + + + + + + | => tapeout / Compile / compileIncremental 9s + + + + + + | => tapeout / Compile / compileIncremental 9s + + + + + + | => tapeout / Compile / compileIncremental 9s + + + + + + | => tapeout / Compile / compileIncremental 9s + + + + + + | => tapeout / Compile / compileIncremental 9s + + + + + + | => tapeout / Compile / compileIncremental 10s + + + + + + | => tapeout / Compile / compileIncremental 10s + + + + + + | => tapeout / Compile / compileIncremental 10s + + + + + + | => tapeout / Compile / compileIncremental 10s + + + + + + | => tapeout / Compile / compileIncremental 10s + + + + + + | => tapeout / Compile / compileIncremental 10s + + + + + + | => tapeout / Compile / compileIncremental 10s +[warn] there were 8 feature warnings; re-run with -feature for details + + + + + + | => tapeout / Compile / compileIncremental 10s +[warn] 60 warnings found + + + + + + | => tapeout / Compile / compileIncremental 10s + + + + + + | => tapeout / Compile / compileIncremental 10s + + + + + + | => tapeout / Compile / compile 0s + + + + + + | => tapeout / Compile / compile 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + | => tapeout / Compile / compile 0s + + + + + + | => tapeout / Compile / packageBin 0s + + + + + + | => tapeout / Compile / bgRunMain 0s + + + + + + | => tapeout / Compile / bgRunMain 0s + + + + + + | => tapeout / Compile / bgRunMain 0s + + + + + + | => tapeout / Compile / bgRunMain 0s + + + + + + | => tapeout / Compile / bgRunMain 0s + + + + + + | => tapeout / Compile / bgRunMain 0s + + + + + + | => tapeout / Compile / bgRunMain 0s +[info] running barstools.tapeout.transforms.GenerateTopAndHarness -o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.v -tho /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.v -i /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.fir --syn-top ChipTop --harness-top TestHarness -faf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.anno.json -tsaof /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.anno.json -tdf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.top.f -tsf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.fir -thaof /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.anno.json -hdf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.harness.f -thf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.fir --infer-rw --repl-seq-mem -c:TestHarness:-o:/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.conf -thconf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.conf -td /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig + + + + + + | => tapeout / Compile / runMain 0s +------------------------------------------------------------------------------ +Warning: firrtl.Driver is deprecated since 1.2! +Please switch to firrtl.stage.FirrtlMain +------------------------------------------------------------------------------ + + + + + + | => tapeout / Compile / runMain 0s + + + + + + | => tapeout / Compile / runMain 0s + + + + + + | => tapeout / Compile / runMain 0s + + + + + + | => tapeout / Compile / runMain 0s + + + + + + | => tapeout / Compile / runMain 0s + + + + + + | => tapeout / Compile / runMain 0s + + + + + + | => tapeout / Compile / runMain 0s + + + + + + | => tapeout / Compile / runMain 0s + + + + + + | => tapeout / Compile / runMain 0s + + + + + + | => tapeout / Compile / runMain 1s + + + + + + | => tapeout / Compile / runMain 1s + + + + + + | => tapeout / Compile / runMain 1s + + + + + + | => tapeout / Compile / runMain 1s + + + + + + | => tapeout / Compile / runMain 1s + + + + + + | => tapeout / Compile / runMain 1s + + + + + + | => tapeout / Compile / runMain 1s + + + + + + | => tapeout / Compile / runMain 1s + + + + + + | => tapeout / Compile / runMain 1s + + + + + + | => tapeout / Compile / runMain 2s + + + + + + | => tapeout / Compile / runMain 2s + + + + + + | => tapeout / Compile / runMain 2s + + + + + + | => tapeout / Compile / runMain 2s + + + + + + | => tapeout / Compile / runMain 2s + + + + + + | => tapeout / Compile / runMain 2s + + + + + + | => tapeout / Compile / runMain 2s + + + + + + | => tapeout / Compile / runMain 2s + + + + + + | => tapeout / Compile / runMain 2s + + + + + + | => tapeout / Compile / runMain 3s + + + + + + | => tapeout / Compile / runMain 3s + + + + + + | => tapeout / Compile / runMain 3s + + + + + + | => tapeout / Compile / runMain 3s + + + + + + | => tapeout / Compile / runMain 3s + + + + + + | => tapeout / Compile / runMain 3s + + + + + + | => tapeout / Compile / runMain 3s + + + + + + | => tapeout / Compile / runMain 3s + + + + + + | => tapeout / Compile / runMain 3s + + + + + + | => tapeout / Compile / runMain 3s + + + + + + | => tapeout / Compile / runMain 4s + + + + + + | => tapeout / Compile / runMain 4s + + + + + + | => tapeout / Compile / runMain 4s + + + + + + | => tapeout / Compile / runMain 4s + + + + + + | => tapeout / Compile / runMain 4s + + + + + + | => tapeout / Compile / runMain 4s + + + + + + | => tapeout / Compile / runMain 4s + + + + + + | => tapeout / Compile / runMain 4s + + + + + + | => tapeout / Compile / runMain 4s + + + + + + | => tapeout / Compile / runMain 5s + + + + + + | => tapeout / Compile / runMain 5s + + + + + + | => tapeout / Compile / runMain 5s + + + + + + | => tapeout / Compile / runMain 5s + + + + + + | => tapeout / Compile / runMain 5s + + + + + + | => tapeout / Compile / runMain 5s + + + + + + | => tapeout / Compile / runMain 5s + + + + + + | => tapeout / Compile / runMain 5s + + + + + + | => tapeout / Compile / runMain 5s + + + + + + | => tapeout / Compile / runMain 6s + + + + + + | => tapeout / Compile / runMain 6s + + + + + + | => tapeout / Compile / runMain 6s + + + + + + | => tapeout / Compile / runMain 6s + + + + + + | => tapeout / Compile / runMain 6s + + + + + + | => tapeout / Compile / runMain 6s + + + + + + | => tapeout / Compile / runMain 6s + + + + + + | => tapeout / Compile / runMain 6s + + + + + + | => tapeout / Compile / runMain 6s + + + + + + | => tapeout / Compile / runMain 6s + + + + + + | => tapeout / Compile / runMain 7s + + + + + + | => tapeout / Compile / runMain 7s + + + + + + | => tapeout / Compile / runMain 7s + + + + + + | => tapeout / Compile / runMain 7s + + + + + + | => tapeout / Compile / runMain 7s + + + + + + | => tapeout / Compile / runMain 7s + + + + + + | => tapeout / Compile / runMain 7s + + + + + + | => tapeout / Compile / runMain 7s + + + + + + | => tapeout / Compile / runMain 7s + + + + + + | => tapeout / Compile / runMain 7s + + + + + + | => tapeout / Compile / runMain 8s + + + + + + | => tapeout / Compile / runMain 8s + + + + + + | => tapeout / Compile / runMain 8s + + + + + + | => tapeout / Compile / runMain 8s + + + + + + | => tapeout / Compile / runMain 8s + + + + + + | => tapeout / Compile / runMain 8s + + + + + + | => tapeout / Compile / runMain 8s + + + + + + | => tapeout / Compile / runMain 8s + + + + + + | => tapeout / Compile / runMain 8s + + + + + + | => tapeout / Compile / runMain 8s + + + + + + | => tapeout / Compile / runMain 9s + + + + + + | => tapeout / Compile / runMain 9s + + + + + + | => tapeout / Compile / runMain 9s + + + + + + | => tapeout / Compile / runMain 9s + + + + + + | => tapeout / Compile / runMain 9s + + + + + + | => tapeout / Compile / runMain 9s + + + + + + | => tapeout / Compile / runMain 9s + + + + + + | => tapeout / Compile / runMain 9s + + + + + + | => tapeout / Compile / runMain 9s + + + + + + | => tapeout / Compile / runMain 10s + + + + + + | => tapeout / Compile / runMain 10s + + + + + + | => tapeout / Compile / runMain 10s + + + + + + | => tapeout / Compile / runMain 10s + + + + + + | => tapeout / Compile / runMain 10s + + + + + + | => tapeout / Compile / runMain 10s + + + + + + | => tapeout / Compile / runMain 10s + + + + + + | => tapeout / Compile / runMain 10s + + + + + + | => tapeout / Compile / runMain 10s + + + + + + | => tapeout / Compile / runMain 10s + + + + + + | => tapeout / Compile / runMain 11s + + + + + + | => tapeout / Compile / runMain 11s + + + + + + | => tapeout / Compile / runMain 11s + + + + + + | => tapeout / Compile / runMain 11s + + + + + + | => tapeout / Compile / runMain 11s + + + + + + | => tapeout / Compile / runMain 11s + + + + + + | => tapeout / Compile / runMain 11s + + + + + + | => tapeout / Compile / runMain 11s + + + + + + | => tapeout / Compile / runMain 11s + + + + + + | => tapeout / Compile / runMain 11s + + + + + + | => tapeout / Compile / runMain 12s + + + + + + | => tapeout / Compile / runMain 12s + + + + + + | => tapeout / Compile / runMain 12s + + + + + + | => tapeout / Compile / runMain 12s + + + + + + | => tapeout / Compile / runMain 12s + + + + + + | => tapeout / Compile / runMain 12s + + + + + + | => tapeout / Compile / runMain 12s + + + + + + | => tapeout / Compile / runMain 12s + + + + + + | => tapeout / Compile / runMain 12s + + + + + + | => tapeout / Compile / runMain 12s + + + + + + | => tapeout / Compile / runMain 13s + + + + + + | => tapeout / Compile / runMain 13s + + + + + + | => tapeout / Compile / runMain 13s + + + + + + | => tapeout / Compile / runMain 13s + + + + + + | => tapeout / Compile / runMain 13s + + + + + + | => tapeout / Compile / runMain 13s + + + + + + | => tapeout / Compile / runMain 13s + + + + + + | => tapeout / Compile / runMain 13s + + + + + + | => tapeout / Compile / runMain 13s + + + + + + | => tapeout / Compile / runMain 13s + + + + + + | => tapeout / Compile / runMain 14s + + + + + + | => tapeout / Compile / runMain 14s +Computed transform order in: 491.4 ms + + + + + + | => tapeout / Compile / runMain 14s + + + + + + | => tapeout / Compile / runMain 14s + + + + + + | => tapeout / Compile / runMain 14s + + + + + + | => tapeout / Compile / runMain 14s + + + + + + | => tapeout / Compile / runMain 14s + + + + + + | => tapeout / Compile / runMain 14s + + + + + + | => tapeout / Compile / runMain 14s + + + + + + | => tapeout / Compile / runMain 14s + + + + + + | => tapeout / Compile / runMain 15s + + + + + + | => tapeout / Compile / runMain 15s + + + + + + | => tapeout / Compile / runMain 15s + + + + + + | => tapeout / Compile / runMain 15s + + + + + + | => tapeout / Compile / runMain 15s + + + + + + | => tapeout / Compile / runMain 15s + + + + + + | => tapeout / Compile / runMain 15s + + + + + + | => tapeout / Compile / runMain 15s + + + + + + | => tapeout / Compile / runMain 15s + + + + + + | => tapeout / Compile / runMain 15s + + + + + + | => tapeout / Compile / runMain 16s + + + + + + | => tapeout / Compile / runMain 16s + + + + + + | => tapeout / Compile / runMain 16s + + + + + + | => tapeout / Compile / runMain 16s + + + + + + | => tapeout / Compile / runMain 16s + + + + + + | => tapeout / Compile / runMain 16s + + + + + + | => tapeout / Compile / runMain 16s + + + + + + | => tapeout / Compile / runMain 16s + + + + + + | => tapeout / Compile / runMain 16s + + + + + + | => tapeout / Compile / runMain 16s + + + + + + | => tapeout / Compile / runMain 17s + + + + + + | => tapeout / Compile / runMain 17s + + + + + + | => tapeout / Compile / runMain 17s + + + + + + | => tapeout / Compile / runMain 17s + + + + + + | => tapeout / Compile / runMain 17s + + + + + + | => tapeout / Compile / runMain 17s + + + + + + | => tapeout / Compile / runMain 17s + + + + + + | => tapeout / Compile / runMain 17s + + + + + + | => tapeout / Compile / runMain 17s + + + + + + | => tapeout / Compile / runMain 17s + + + + + + | => tapeout / Compile / runMain 18s + + + + + + | => tapeout / Compile / runMain 18s + + + + + + | => tapeout / Compile / runMain 18s + + + + + + | => tapeout / Compile / runMain 18s + + + + + + | => tapeout / Compile / runMain 18s + + + + + + | => tapeout / Compile / runMain 18s + + + + + + | => tapeout / Compile / runMain 18s + + + + + + | => tapeout / Compile / runMain 18s + + + + + + | => tapeout / Compile / runMain 18s + + + + + + | => tapeout / Compile / runMain 18s + + + + + + | => tapeout / Compile / runMain 19s + + + + + + | => tapeout / Compile / runMain 19s + + + + + + | => tapeout / Compile / runMain 19s + + + + + + | => tapeout / Compile / runMain 19s + + + + + + | => tapeout / Compile / runMain 19s + + + + + + | => tapeout / Compile / runMain 19s + + + + + + | => tapeout / Compile / runMain 19s + + + + + + | => tapeout / Compile / runMain 19s + + + + + + | => tapeout / Compile / runMain 19s + + + + + + | => tapeout / Compile / runMain 20s + + + + + + | => tapeout / Compile / runMain 20s + + + + + + | => tapeout / Compile / runMain 20s + + + + + + | => tapeout / Compile / runMain 20s + + + + + + | => tapeout / Compile / runMain 20s + + + + + + | => tapeout / Compile / runMain 20s + + + + + + | => tapeout / Compile / runMain 20s + + + + + + | => tapeout / Compile / runMain 20s + + + + + + | => tapeout / Compile / runMain 20s + + + + + + | => tapeout / Compile / runMain 20s + + + + + + | => tapeout / Compile / runMain 21s + + + + + + | => tapeout / Compile / runMain 21s + + + + + + | => tapeout / Compile / runMain 21s + + + + + + | => tapeout / Compile / runMain 21s + + + + + + | => tapeout / Compile / runMain 21s + + + + + + | => tapeout / Compile / runMain 21s + + + + + + | => tapeout / Compile / runMain 21s + + + + + + | => tapeout / Compile / runMain 21s + + + + + + | => tapeout / Compile / runMain 21s + + + + + + | => tapeout / Compile / runMain 21s + + + + + + | => tapeout / Compile / runMain 22s + + + + + + | => tapeout / Compile / runMain 22s + + + + + + | => tapeout / Compile / runMain 22s + + + + + + | => tapeout / Compile / runMain 22s + + + + + + | => tapeout / Compile / runMain 22s + + + + + + | => tapeout / Compile / runMain 22s + + + + + + | => tapeout / Compile / runMain 22s + + + + + + | => tapeout / Compile / runMain 22s + + + + + + | => tapeout / Compile / runMain 22s + + + + + + | => tapeout / Compile / runMain 22s + + + + + + | => tapeout / Compile / runMain 23s + + + + + + | => tapeout / Compile / runMain 23s + + + + + + | => tapeout / Compile / runMain 23s + + + + + + | => tapeout / Compile / runMain 23s + + + + + + | => tapeout / Compile / runMain 23s + + + + + + | => tapeout / Compile / runMain 23s + + + + + + | => tapeout / Compile / runMain 23s + + + + + + | => tapeout / Compile / runMain 23s + + + + + + | => tapeout / Compile / runMain 23s + + + + + + | => tapeout / Compile / runMain 23s + + + + + + | => tapeout / Compile / runMain 24s + + + + + + | => tapeout / Compile / runMain 24s + + + + + + | => tapeout / Compile / runMain 24s + + + + + + | => tapeout / Compile / runMain 24s + + + + + + | => tapeout / Compile / runMain 24s + + + + + + | => tapeout / Compile / runMain 24s + + + + + + | => tapeout / Compile / runMain 24s + + + + + + | => tapeout / Compile / runMain 24s + + + + + + | => tapeout / Compile / runMain 24s + + + + + + | => tapeout / Compile / runMain 24s + + + + + + | => tapeout / Compile / runMain 25s + + + + + + | => tapeout / Compile / runMain 25s + + + + + + | => tapeout / Compile / runMain 25s + + + + + + | => tapeout / Compile / runMain 25s + + + + + + | => tapeout / Compile / runMain 25s + + + + + + | => tapeout / Compile / runMain 25s + + + + + + | => tapeout / Compile / runMain 25s + + + + + + | => tapeout / Compile / runMain 25s + + + + + + | => tapeout / Compile / runMain 25s + + + + + + | => tapeout / Compile / runMain 25s + + + + + + | => tapeout / Compile / runMain 26s + + + + + + | => tapeout / Compile / runMain 26s + + + + + + | => tapeout / Compile / runMain 26s + + + + + + | => tapeout / Compile / runMain 26s + + + + + + | => tapeout / Compile / runMain 26s + + + + + + | => tapeout / Compile / runMain 26s + + + + + + | => tapeout / Compile / runMain 26s + + + + + + | => tapeout / Compile / runMain 26s + + + + + + | => tapeout / Compile / runMain 26s + + + + + + | => tapeout / Compile / runMain 26s + + + + + + | => tapeout / Compile / runMain 27s + + + + + + | => tapeout / Compile / runMain 27s + + + + + + | => tapeout / Compile / runMain 27s + + + + + + | => tapeout / Compile / runMain 27s + + + + + + | => tapeout / Compile / runMain 27s + + + + + + | => tapeout / Compile / runMain 27s + + + + + + | => tapeout / Compile / runMain 27s + + + + + + | => tapeout / Compile / runMain 27s + + + + + + | => tapeout / Compile / runMain 27s + + + + + + | => tapeout / Compile / runMain 27s + + + + + + | => tapeout / Compile / runMain 28s + + + + + + | => tapeout / Compile / runMain 28s + + + + + + | => tapeout / Compile / runMain 28s + + + + + + | => tapeout / Compile / runMain 28s + + + + + + | => tapeout / Compile / runMain 28s + + + + + + | => tapeout / Compile / runMain 28s + + + + + + | => tapeout / Compile / runMain 28s + + + + + + | => tapeout / Compile / runMain 28s + + + + + + | => tapeout / Compile / runMain 28s + + + + + + | => tapeout / Compile / runMain 28s + + + + + + | => tapeout / Compile / runMain 29s + + + + + + | => tapeout / Compile / runMain 29s + + + + + + | => tapeout / Compile / runMain 29s + + + + + + | => tapeout / Compile / runMain 29s + + + + + + | => tapeout / Compile / runMain 29s + + + + + + | => tapeout / Compile / runMain 29s + + + + + + | => tapeout / Compile / runMain 29s + + + + + + | => tapeout / Compile / runMain 29s + + + + + + | => tapeout / Compile / runMain 29s + + + + + + | => tapeout / Compile / runMain 29s + + + + + + | => tapeout / Compile / runMain 30s + + + + + + | => tapeout / Compile / runMain 30s + + + + + + | => tapeout / Compile / runMain 30s + + + + + + | => tapeout / Compile / runMain 30s + + + + + + | => tapeout / Compile / runMain 30s + + + + + + | => tapeout / Compile / runMain 30s + + + + + + | => tapeout / Compile / runMain 30s + + + + + + | => tapeout / Compile / runMain 30s + + + + + + | => tapeout / Compile / runMain 30s + + + + + + | => tapeout / Compile / runMain 30s + + + + + + | => tapeout / Compile / runMain 31s + + + + + + | => tapeout / Compile / runMain 31s + + + + + + | => tapeout / Compile / runMain 31s + + + + + + | => tapeout / Compile / runMain 31s + + + + + + | => tapeout / Compile / runMain 31s + + + + + + | => tapeout / Compile / runMain 31s + + + + + + | => tapeout / Compile / runMain 31s + + + + + + | => tapeout / Compile / runMain 31s + + + + + + | => tapeout / Compile / runMain 31s + + + + + + | => tapeout / Compile / runMain 31s + + + + + + | => tapeout / Compile / runMain 32s + + + + + + | => tapeout / Compile / runMain 32s + + + + + + | => tapeout / Compile / runMain 32s + + + + + + | => tapeout / Compile / runMain 32s + + + + + + | => tapeout / Compile / runMain 32s + + + + + + | => tapeout / Compile / runMain 32s + + + + + + | => tapeout / Compile / runMain 32s + + + + + + | => tapeout / Compile / runMain 32s + + + + + + | => tapeout / Compile / runMain 32s + + + + + + | => tapeout / Compile / runMain 33s + + + + + + | => tapeout / Compile / runMain 33s + + + + + + | => tapeout / Compile / runMain 33s + + + + + + | => tapeout / Compile / runMain 33s + + + + + + | => tapeout / Compile / runMain 33s + + + + + + | => tapeout / Compile / runMain 33s + + + + + + | => tapeout / Compile / runMain 33s + + + + + + | => tapeout / Compile / runMain 33s + + + + + + | => tapeout / Compile / runMain 34s + + + + + + | => tapeout / Compile / runMain 34s + + + + + + | => tapeout / Compile / runMain 34s + + + + + + | => tapeout / Compile / runMain 34s + + + + + + | => tapeout / Compile / runMain 34s + + + + + + | => tapeout / Compile / runMain 34s + + + + + + | => tapeout / Compile / runMain 34s + + + + + + | => tapeout / Compile / runMain 34s + + + + + + | => tapeout / Compile / runMain 34s + + + + + + | => tapeout / Compile / runMain 34s + + + + + + | => tapeout / Compile / runMain 35s + + + + + + | => tapeout / Compile / runMain 35s + + + + + + | => tapeout / Compile / runMain 35s + + + + + + | => tapeout / Compile / runMain 35s + + + + + + | => tapeout / Compile / runMain 35s + + + + + + | => tapeout / Compile / runMain 35s + + + + + + | => tapeout / Compile / runMain 35s + + + + + + | => tapeout / Compile / runMain 35s + + + + + + | => tapeout / Compile / runMain 35s + + + + + + | => tapeout / Compile / runMain 35s + + + + + + | => tapeout / Compile / runMain 36s + + + + + + | => tapeout / Compile / runMain 36s + + + + + + | => tapeout / Compile / runMain 36s + + + + + + | => tapeout / Compile / runMain 36s + + + + + + | => tapeout / Compile / runMain 36s + + + + + + | => tapeout / Compile / runMain 36s + + + + + + | => tapeout / Compile / runMain 36s + + + + + + | => tapeout / Compile / runMain 36s + + + + + + | => tapeout / Compile / runMain 36s + + + + + + | => tapeout / Compile / runMain 36s + + + + + + | => tapeout / Compile / runMain 37s + + + + + + | => tapeout / Compile / runMain 37s + + + + + + | => tapeout / Compile / runMain 37s + + + + + + | => tapeout / Compile / runMain 37s + + + + + + | => tapeout / Compile / runMain 37s + + + + + + | => tapeout / Compile / runMain 37s + + + + + + | => tapeout / Compile / runMain 37s + + + + + + | => tapeout / Compile / runMain 37s + + + + + + | => tapeout / Compile / runMain 37s + + + + + + | => tapeout / Compile / runMain 37s + + + + + + | => tapeout / Compile / runMain 38s + + + + + + | => tapeout / Compile / runMain 38s + + + + + + | => tapeout / Compile / runMain 38s + + + + + + | => tapeout / Compile / runMain 38s + + + + + + | => tapeout / Compile / runMain 38s + + + + + + | => tapeout / Compile / runMain 38s + + + + + + | => tapeout / Compile / runMain 38s + + + + + + | => tapeout / Compile / runMain 38s + + + + + + | => tapeout / Compile / runMain 38s + + + + + + | => tapeout / Compile / runMain 38s + + + + + + | => tapeout / Compile / runMain 39s + + + + + + | => tapeout / Compile / runMain 39s + + + + + + | => tapeout / Compile / runMain 39s + + + + + + | => tapeout / Compile / runMain 39s + + + + + + | => tapeout / Compile / runMain 39s + + + + + + | => tapeout / Compile / runMain 39s + + + + + + | => tapeout / Compile / runMain 39s + + + + + + | => tapeout / Compile / runMain 39s + + + + + + | => tapeout / Compile / runMain 39s + + + + + + | => tapeout / Compile / runMain 39s + + + + + + | => tapeout / Compile / runMain 40s + + + + + + | => tapeout / Compile / runMain 40s + + + + + + | => tapeout / Compile / runMain 40s + + + + + + | => tapeout / Compile / runMain 40s + + + + + + | => tapeout / Compile / runMain 40s + + + + + + | => tapeout / Compile / runMain 40s + + + + + + | => tapeout / Compile / runMain 40s + + + + + + | => tapeout / Compile / runMain 40s + + + + + + | => tapeout / Compile / runMain 40s + + + + + + | => tapeout / Compile / runMain 41s + + + + + + | => tapeout / Compile / runMain 41s + + + + + + | => tapeout / Compile / runMain 41s + + + + + + | => tapeout / Compile / runMain 41s + + + + + + | => tapeout / Compile / runMain 41s + + + + + + | => tapeout / Compile / runMain 41s + + + + + + | => tapeout / Compile / runMain 41s + + + + + + | => tapeout / Compile / runMain 41s + + + + + + | => tapeout / Compile / runMain 41s + + + + + + | => tapeout / Compile / runMain 41s + + + + + + | => tapeout / Compile / runMain 42s + + + + + + | => tapeout / Compile / runMain 42s + + + + + + | => tapeout / Compile / runMain 42s + + + + + + | => tapeout / Compile / runMain 42s + + + + + + | => tapeout / Compile / runMain 42s + + + + + + | => tapeout / Compile / runMain 42s + + + + + + | => tapeout / Compile / runMain 42s + + + + + + | => tapeout / Compile / runMain 42s + + + + + + | => tapeout / Compile / runMain 42s + + + + + + | => tapeout / Compile / runMain 42s + + + + + + | => tapeout / Compile / runMain 43s + + + + + + | => tapeout / Compile / runMain 43s + + + + + + | => tapeout / Compile / runMain 43s + + + + + + | => tapeout / Compile / runMain 43s + + + + + + | => tapeout / Compile / runMain 43s + + + + + + | => tapeout / Compile / runMain 43s + + + + + + | => tapeout / Compile / runMain 43s + + + + + + | => tapeout / Compile / runMain 43s + + + + + + | => tapeout / Compile / runMain 43s + + + + + + | => tapeout / Compile / runMain 43s + + + + + + | => tapeout / Compile / runMain 44s + + + + + + | => tapeout / Compile / runMain 44s + + + + + + | => tapeout / Compile / runMain 44s + + + + + + | => tapeout / Compile / runMain 44s + + + + + + | => tapeout / Compile / runMain 44s + + + + + + | => tapeout / Compile / runMain 44s + + + + + + | => tapeout / Compile / runMain 44s + + + + + + | => tapeout / Compile / runMain 44s + + + + + + | => tapeout / Compile / runMain 44s + + + + + + | => tapeout / Compile / runMain 44s + + + + + + | => tapeout / Compile / runMain 45s + + + + + + | => tapeout / Compile / runMain 45s + + + + + + | => tapeout / Compile / runMain 45s + + + + + + | => tapeout / Compile / runMain 45s + + + + + + | => tapeout / Compile / runMain 45s + + + + + + | => tapeout / Compile / runMain 45s + + + + + + | => tapeout / Compile / runMain 45s + + + + + + | => tapeout / Compile / runMain 45s + + + + + + | => tapeout / Compile / runMain 45s + + + + + + | => tapeout / Compile / runMain 46s + + + + + + | => tapeout / Compile / runMain 46s + + + + + + | => tapeout / Compile / runMain 46s + + + + + + | => tapeout / Compile / runMain 46s + + + + + + | => tapeout / Compile / runMain 46s + + + + + + | => tapeout / Compile / runMain 46s + + + + + + | => tapeout / Compile / runMain 46s + + + + + + | => tapeout / Compile / runMain 46s + + + + + + | => tapeout / Compile / runMain 46s + + + + + + | => tapeout / Compile / runMain 46s + + + + + + | => tapeout / Compile / runMain 47s + + + + + + | => tapeout / Compile / runMain 47s + + + + + + | => tapeout / Compile / runMain 47s + + + + + + | => tapeout / Compile / runMain 47s + + + + + + | => tapeout / Compile / runMain 47s + + + + + + | => tapeout / Compile / runMain 47s + + + + + + | => tapeout / Compile / runMain 47s + + + + + + | => tapeout / Compile / runMain 47s + + + + + + | => tapeout / Compile / runMain 47s + + + + + + | => tapeout / Compile / runMain 47s + + + + + + | => tapeout / Compile / runMain 48s + + + + + + | => tapeout / Compile / runMain 48s + + + + + + | => tapeout / Compile / runMain 48s + + + + + + | => tapeout / Compile / runMain 48s + + + + + + | => tapeout / Compile / runMain 48s + + + + + + | => tapeout / Compile / runMain 48s + + + + + + | => tapeout / Compile / runMain 48s + + + + + + | => tapeout / Compile / runMain 48s + + + + + + | => tapeout / Compile / runMain 48s + + + + + + | => tapeout / Compile / runMain 48s + + + + + + | => tapeout / Compile / runMain 49s + + + + + + | => tapeout / Compile / runMain 49s + + + + + + | => tapeout / Compile / runMain 49s + + + + + + | => tapeout / Compile / runMain 49s + + + + + + | => tapeout / Compile / runMain 49s + + + + + + | => tapeout / Compile / runMain 49s + + + + + + | => tapeout / Compile / runMain 49s + + + + + + | => tapeout / Compile / runMain 49s + + + + + + | => tapeout / Compile / runMain 49s + + + + + + | => tapeout / Compile / runMain 49s + + + + + + | => tapeout / Compile / runMain 50s + + + + + + | => tapeout / Compile / runMain 50s + + + + + + | => tapeout / Compile / runMain 50s + + + + + + | => tapeout / Compile / runMain 50s + + + + + + | => tapeout / Compile / runMain 50s + + + + + + | => tapeout / Compile / runMain 50s + + + + + + | => tapeout / Compile / runMain 50s + + + + + + | => tapeout / Compile / runMain 50s + + + + + + | => tapeout / Compile / runMain 50s + + + + + + | => tapeout / Compile / runMain 50s + + + + + + | => tapeout / Compile / runMain 51s + + + + + + | => tapeout / Compile / runMain 51s + + + + + + | => tapeout / Compile / runMain 51s + + + + + + | => tapeout / Compile / runMain 51s + + + + + + | => tapeout / Compile / runMain 51s + + + + + + | => tapeout / Compile / runMain 51s + + + + + + | => tapeout / Compile / runMain 51s + + + + + + | => tapeout / Compile / runMain 51s + + + + + + | => tapeout / Compile / runMain 51s + + + + + + | => tapeout / Compile / runMain 51s + + + + + + | => tapeout / Compile / runMain 52s + + + + + + | => tapeout / Compile / runMain 52s + + + + + + | => tapeout / Compile / runMain 52s + + + + + + | => tapeout / Compile / runMain 52s + + + + + + | => tapeout / Compile / runMain 52s + + + + + + | => tapeout / Compile / runMain 52s + + + + + + | => tapeout / Compile / runMain 52s + + + + + + | => tapeout / Compile / runMain 52s + + + + + + | => tapeout / Compile / runMain 52s + + + + + + | => tapeout / Compile / runMain 52s + + + + + + | => tapeout / Compile / runMain 53s + + + + + + | => tapeout / Compile / runMain 53s + + + + + + | => tapeout / Compile / runMain 53s + + + + + + | => tapeout / Compile / runMain 53s + + + + + + | => tapeout / Compile / runMain 53s + + + + + + | => tapeout / Compile / runMain 53s + + + + + + | => tapeout / Compile / runMain 53s + + + + + + | => tapeout / Compile / runMain 53s + + + + + + | => tapeout / Compile / runMain 53s + + + + + + | => tapeout / Compile / runMain 53s + + + + + + | => tapeout / Compile / runMain 54s + + + + + + | => tapeout / Compile / runMain 54s + + + + + + | => tapeout / Compile / runMain 54s + + + + + + | => tapeout / Compile / runMain 54s + + + + + + | => tapeout / Compile / runMain 54s + + + + + + | => tapeout / Compile / runMain 54s + + + + + + | => tapeout / Compile / runMain 54s + + + + + + | => tapeout / Compile / runMain 54s + + + + + + | => tapeout / Compile / runMain 54s + + + + + + | => tapeout / Compile / runMain 54s + + + + + + | => tapeout / Compile / runMain 55s + + + + + + | => tapeout / Compile / runMain 55s + + + + + + | => tapeout / Compile / runMain 55s + + + + + + | => tapeout / Compile / runMain 55s + + + + + + | => tapeout / Compile / runMain 55s + + + + + + | => tapeout / Compile / runMain 55s + + + + + + | => tapeout / Compile / runMain 55s + + + + + + | => tapeout / Compile / runMain 55s + + + + + + | => tapeout / Compile / runMain 55s + + + + + + | => tapeout / Compile / runMain 55s + + + + + + | => tapeout / Compile / runMain 56s + + + + + + | => tapeout / Compile / runMain 56s + + + + + + | => tapeout / Compile / runMain 56s + + + + + + | => tapeout / Compile / runMain 56s + + + + + + | => tapeout / Compile / runMain 56s + + + + + + | => tapeout / Compile / runMain 56s + + + + + + | => tapeout / Compile / runMain 56s + + + + + + | => tapeout / Compile / runMain 56s + + + + + + | => tapeout / Compile / runMain 56s + + + + + + | => tapeout / Compile / runMain 56s + + + + + + | => tapeout / Compile / runMain 57s + + + + + + | => tapeout / Compile / runMain 57s + + + + + + | => tapeout / Compile / runMain 57s + + + + + + | => tapeout / Compile / runMain 57s + + + + + + | => tapeout / Compile / runMain 57s + + + + + + | => tapeout / Compile / runMain 57s + + + + + + | => tapeout / Compile / runMain 57s + + + + + + | => tapeout / Compile / runMain 57s + + + + + + | => tapeout / Compile / runMain 57s + + + + + + | => tapeout / Compile / runMain 57s + + + + + + | => tapeout / Compile / runMain 58s + + + + + + | => tapeout / Compile / runMain 58s + + + + + + | => tapeout / Compile / runMain 58s + + + + + + | => tapeout / Compile / runMain 58s + + + + + + | => tapeout / Compile / runMain 58s + + + + + + | => tapeout / Compile / runMain 58s + + + + + + | => tapeout / Compile / runMain 58s + + + + + + | => tapeout / Compile / runMain 58s + + + + + + | => tapeout / Compile / runMain 58s + + + + + + | => tapeout / Compile / runMain 58s + + + + + + | => tapeout / Compile / runMain 59s + + + + + + | => tapeout / Compile / runMain 59s + + + + + + | => tapeout / Compile / runMain 59s + + + + + + | => tapeout / Compile / runMain 59s + + + + + + | => tapeout / Compile / runMain 59s + + + + + + | => tapeout / Compile / runMain 59s + + + + + + | => tapeout / Compile / runMain 59s + + + + + + | => tapeout / Compile / runMain 59s + + + + + + | => tapeout / Compile / runMain 59s + + + + + + | => tapeout / Compile / runMain 59s + + + + + + | => tapeout / Compile / runMain 60s + + + + + + | => tapeout / Compile / runMain 60s + + + + + + | => tapeout / Compile / runMain 60s + + + + + + | => tapeout / Compile / runMain 60s + + + + + + | => tapeout / Compile / runMain 60s + + + + + + | => tapeout / Compile / runMain 60s + + + + + + | => tapeout / Compile / runMain 60s + + + + + + | => tapeout / Compile / runMain 60s + + + + + + | => tapeout / Compile / runMain 60s + + + + + + | => tapeout / Compile / runMain 60s + + + + + + | => tapeout / Compile / runMain 61s + + + + + + | => tapeout / Compile / runMain 61s + + + + + + | => tapeout / Compile / runMain 61s + + + + + + | => tapeout / Compile / runMain 61s + + + + + + | => tapeout / Compile / runMain 61s + + + + + + | => tapeout / Compile / runMain 61s + + + + + + | => tapeout / Compile / runMain 61s + + + + + + | => tapeout / Compile / runMain 61s + + + + + + | => tapeout / Compile / runMain 61s + + + + + + | => tapeout / Compile / runMain 62s + + + + + + | => tapeout / Compile / runMain 62s + + + + + + | => tapeout / Compile / runMain 62s + + + + + + | => tapeout / Compile / runMain 62s + + + + + + | => tapeout / Compile / runMain 62s + + + + + + | => tapeout / Compile / runMain 62s + + + + + + | => tapeout / Compile / runMain 62s + + + + + + | => tapeout / Compile / runMain 62s + + + + + + | => tapeout / Compile / runMain 62s + + + + + + | => tapeout / Compile / runMain 62s + + + + + + | => tapeout / Compile / runMain 63s + + + + + + | => tapeout / Compile / runMain 63s + + + + + + | => tapeout / Compile / runMain 63s + + + + + + | => tapeout / Compile / runMain 63s + + + + + + | => tapeout / Compile / runMain 63s + + + + + + | => tapeout / Compile / runMain 63s + + + + + + | => tapeout / Compile / runMain 63s + + + + + + | => tapeout / Compile / runMain 63s + + + + + + | => tapeout / Compile / runMain 63s + + + + + + | => tapeout / Compile / runMain 63s + + + + + + | => tapeout / Compile / runMain 64s + + + + + + | => tapeout / Compile / runMain 64s + + + + + + | => tapeout / Compile / runMain 64s + + + + + + | => tapeout / Compile / runMain 64s + + + + + + | => tapeout / Compile / runMain 64s + + + + + + | => tapeout / Compile / runMain 64s + + + + + + | => tapeout / Compile / runMain 64s + + + + + + | => tapeout / Compile / runMain 64s + + + + + + | => tapeout / Compile / runMain 64s + + + + + + | => tapeout / Compile / runMain 64s + + + + + + | => tapeout / Compile / runMain 65s + + + + + + | => tapeout / Compile / runMain 65s + + + + + + | => tapeout / Compile / runMain 65s + + + + + + | => tapeout / Compile / runMain 65s + + + + + + | => tapeout / Compile / runMain 65s + + + + + + | => tapeout / Compile / runMain 65s + + + + + + | => tapeout / Compile / runMain 65s + + + + + + | => tapeout / Compile / runMain 65s + + + + + + | => tapeout / Compile / runMain 65s + + + + + + | => tapeout / Compile / runMain 65s + + + + + + | => tapeout / Compile / runMain 66s + + + + + + | => tapeout / Compile / runMain 66s + + + + + + | => tapeout / Compile / runMain 66s + + + + + + | => tapeout / Compile / runMain 66s + + + + + + | => tapeout / Compile / runMain 66s + + + + + + | => tapeout / Compile / runMain 66s + + + + + + | => tapeout / Compile / runMain 66s + + + + + + | => tapeout / Compile / runMain 66s + + + + + + | => tapeout / Compile / runMain 66s + + + + + + | => tapeout / Compile / runMain 66s + + + + + + | => tapeout / Compile / runMain 67s + + + + + + | => tapeout / Compile / runMain 67s + + + + + + | => tapeout / Compile / runMain 67s + + + + + + | => tapeout / Compile / runMain 67s + + + + + + | => tapeout / Compile / runMain 67s + + + + + + | => tapeout / Compile / runMain 67s + + + + + + | => tapeout / Compile / runMain 67s + + + + + + | => tapeout / Compile / runMain 67s + + + + + + | => tapeout / Compile / runMain 67s + + + + + + | => tapeout / Compile / runMain 67s + + + + + + | => tapeout / Compile / runMain 68s + + + + + + | => tapeout / Compile / runMain 68s + + + + + + | => tapeout / Compile / runMain 68s + + + + + + | => tapeout / Compile / runMain 68s + + + + + + | => tapeout / Compile / runMain 68s + + + + + + | => tapeout / Compile / runMain 68s + + + + + + | => tapeout / Compile / runMain 68s + + + + + + | => tapeout / Compile / runMain 68s + + + + + + | => tapeout / Compile / runMain 68s + + + + + + | => tapeout / Compile / runMain 68s + + + + + + | => tapeout / Compile / runMain 69s + + + + + + | => tapeout / Compile / runMain 69s + + + + + + | => tapeout / Compile / runMain 69s + + + + + + | => tapeout / Compile / runMain 69s + + + + + + | => tapeout / Compile / runMain 69s + + + + + + | => tapeout / Compile / runMain 69s + + + + + + | => tapeout / Compile / runMain 69s + + + + + + | => tapeout / Compile / runMain 69s + + + + + + | => tapeout / Compile / runMain 69s + + + + + + | => tapeout / Compile / runMain 69s + + + + + + | => tapeout / Compile / runMain 70s + + + + + + | => tapeout / Compile / runMain 70s + + + + + + | => tapeout / Compile / runMain 70s + + + + + + | => tapeout / Compile / runMain 70s + + + + + + | => tapeout / Compile / runMain 70s + + + + + + | => tapeout / Compile / runMain 70s + + + + + + | => tapeout / Compile / runMain 70s + + + + + + | => tapeout / Compile / runMain 70s + + + + + + | => tapeout / Compile / runMain 70s + + + + + + | => tapeout / Compile / runMain 70s + + + + + + | => tapeout / Compile / runMain 71s + + + + + + | => tapeout / Compile / runMain 71s + + + + + + | => tapeout / Compile / runMain 71s + + + + + + | => tapeout / Compile / runMain 71s + + + + + + | => tapeout / Compile / runMain 71s + + + + + + | => tapeout / Compile / runMain 71s + + + + + + | => tapeout / Compile / runMain 71s + + + + + + | => tapeout / Compile / runMain 71s + + + + + + | => tapeout / Compile / runMain 71s + + + + + + | => tapeout / Compile / runMain 71s + + + + + + | => tapeout / Compile / runMain 72s + + + + + + | => tapeout / Compile / runMain 72s + + + + + + | => tapeout / Compile / runMain 72s + + + + + + | => tapeout / Compile / runMain 72s + + + + + + | => tapeout / Compile / runMain 72s + + + + + + | => tapeout / Compile / runMain 72s + + + + + + | => tapeout / Compile / runMain 72s + + + + + + | => tapeout / Compile / runMain 72s + + + + + + | => tapeout / Compile / runMain 72s + + + + + + | => tapeout / Compile / runMain 72s + + + + + + | => tapeout / Compile / runMain 73s + + + + + + | => tapeout / Compile / runMain 73s + + + + + + | => tapeout / Compile / runMain 73s + + + + + + | => tapeout / Compile / runMain 73s + + + + + + | => tapeout / Compile / runMain 73s + + + + + + | => tapeout / Compile / runMain 73s + + + + + + | => tapeout / Compile / runMain 73s + + + + + + | => tapeout / Compile / runMain 73s + + + + + + | => tapeout / Compile / runMain 73s + + + + + + | => tapeout / Compile / runMain 73s + + + + + + | => tapeout / Compile / runMain 74s + + + + + + | => tapeout / Compile / runMain 74s + + + + + + | => tapeout / Compile / runMain 74s + + + + + + | => tapeout / Compile / runMain 74s + + + + + + | => tapeout / Compile / runMain 74s + + + + + + | => tapeout / Compile / runMain 74s + + + + + + | => tapeout / Compile / runMain 74s + + + + + + | => tapeout / Compile / runMain 74s + + + + + + | => tapeout / Compile / runMain 74s + + + + + + | => tapeout / Compile / runMain 74s + + + + + + | => tapeout / Compile / runMain 75s + + + + + + | => tapeout / Compile / runMain 75s + + + + + + | => tapeout / Compile / runMain 75s + + + + + + | => tapeout / Compile / runMain 75s + + + + + + | => tapeout / Compile / runMain 75s + + + + + + | => tapeout / Compile / runMain 75s + + + + + + | => tapeout / Compile / runMain 75s + + + + + + | => tapeout / Compile / runMain 75s + + + + + + | => tapeout / Compile / runMain 75s + + + + + + | => tapeout / Compile / runMain 75s + + + + + + | => tapeout / Compile / runMain 76s + + + + + + | => tapeout / Compile / runMain 76s + + + + + + | => tapeout / Compile / runMain 76s + + + + + + | => tapeout / Compile / runMain 76s + + + + + + | => tapeout / Compile / runMain 76s + + + + + + | => tapeout / Compile / runMain 76s + + + + + + | => tapeout / Compile / runMain 76s + + + + + + | => tapeout / Compile / runMain 76s + + + + + + | => tapeout / Compile / runMain 76s + + + + + + | => tapeout / Compile / runMain 76s + + + + + + | => tapeout / Compile / runMain 77s + + + + + + | => tapeout / Compile / runMain 77s + + + + + + | => tapeout / Compile / runMain 77s + + + + + + | => tapeout / Compile / runMain 77s + + + + + + | => tapeout / Compile / runMain 77s + + + + + + | => tapeout / Compile / runMain 77s + + + + + + | => tapeout / Compile / runMain 77s + + + + + + | => tapeout / Compile / runMain 77s + + + + + + | => tapeout / Compile / runMain 77s + + + + + + | => tapeout / Compile / runMain 77s + + + + + + | => tapeout / Compile / runMain 78s + + + + + + | => tapeout / Compile / runMain 78s + + + + + + | => tapeout / Compile / runMain 78s + + + + + + | => tapeout / Compile / runMain 78s + + + + + + | => tapeout / Compile / runMain 78s + + + + + + | => tapeout / Compile / runMain 78s + + + + + + | => tapeout / Compile / runMain 78s + + + + + + | => tapeout / Compile / runMain 78s + + + + + + | => tapeout / Compile / runMain 78s + + + + + + | => tapeout / Compile / runMain 78s + + + + + + | => tapeout / Compile / runMain 79s + + + + + + | => tapeout / Compile / runMain 79s + + + + + + | => tapeout / Compile / runMain 79s + + + + + + | => tapeout / Compile / runMain 79s + + + + + + | => tapeout / Compile / runMain 79s + + + + + + | => tapeout / Compile / runMain 79s + + + + + + | => tapeout / Compile / runMain 79s + + + + + + | => tapeout / Compile / runMain 79s + + + + + + | => tapeout / Compile / runMain 79s + + + + + + | => tapeout / Compile / runMain 80s + + + + + + | => tapeout / Compile / runMain 80s + + + + + + | => tapeout / Compile / runMain 80s + + + + + + | => tapeout / Compile / runMain 80s + + + + + + | => tapeout / Compile / runMain 80s + + + + + + | => tapeout / Compile / runMain 80s + + + + + + | => tapeout / Compile / runMain 80s + + + + + + | => tapeout / Compile / runMain 80s + + + + + + | => tapeout / Compile / runMain 80s + + + + + + | => tapeout / Compile / runMain 80s + + + + + + | => tapeout / Compile / runMain 81s + + + + + + | => tapeout / Compile / runMain 81s + + + + + + | => tapeout / Compile / runMain 81s + + + + + + | => tapeout / Compile / runMain 81s + + + + + + | => tapeout / Compile / runMain 81s + + + + + + | => tapeout / Compile / runMain 81s + + + + + + | => tapeout / Compile / runMain 81s + + + + + + | => tapeout / Compile / runMain 81s + + + + + + | => tapeout / Compile / runMain 81s + + + + + + | => tapeout / Compile / runMain 81s + + + + + + | => tapeout / Compile / runMain 82s + + + + + + | => tapeout / Compile / runMain 82s + + + + + + | => tapeout / Compile / runMain 82s + + + + + + | => tapeout / Compile / runMain 82s + + + + + + | => tapeout / Compile / runMain 82s + + + + + + | => tapeout / Compile / runMain 82s + + + + + + | => tapeout / Compile / runMain 82s + + + + + + | => tapeout / Compile / runMain 82s + + + + + + | => tapeout / Compile / runMain 82s + + + + + + | => tapeout / Compile / runMain 82s + + + + + + | => tapeout / Compile / runMain 83s + + + + + + | => tapeout / Compile / runMain 83s + + + + + + | => tapeout / Compile / runMain 83s + + + + + + | => tapeout / Compile / runMain 83s + + + + + + | => tapeout / Compile / runMain 83s + + + + + + | => tapeout / Compile / runMain 83s + + + + + + | => tapeout / Compile / runMain 83s + + + + + + | => tapeout / Compile / runMain 83s + + + + + + | => tapeout / Compile / runMain 83s + + + + + + | => tapeout / Compile / runMain 83s + + + + + + | => tapeout / Compile / runMain 84s + + + + + + | => tapeout / Compile / runMain 84s + + + + + + | => tapeout / Compile / runMain 84s + + + + + + | => tapeout / Compile / runMain 84s + + + + + + | => tapeout / Compile / runMain 84s + + + + + + | => tapeout / Compile / runMain 84s + + + + + + | => tapeout / Compile / runMain 84s + + + + + + | => tapeout / Compile / runMain 84s + + + + + + | => tapeout / Compile / runMain 84s + + + + + + | => tapeout / Compile / runMain 84s + + + + + + | => tapeout / Compile / runMain 85s + + + + + + | => tapeout / Compile / runMain 85s + + + + + + | => tapeout / Compile / runMain 85s + + + + + + | => tapeout / Compile / runMain 85s + + + + + + | => tapeout / Compile / runMain 85s + + + + + + | => tapeout / Compile / runMain 85s + + + + + + | => tapeout / Compile / runMain 85s + + + + + + | => tapeout / Compile / runMain 85s + + + + + + | => tapeout / Compile / runMain 85s + + + + + + | => tapeout / Compile / runMain 85s + + + + + + | => tapeout / Compile / runMain 86s + + + + + + | => tapeout / Compile / runMain 86s + + + + + + | => tapeout / Compile / runMain 86s + + + + + + | => tapeout / Compile / runMain 86s + + + + + + | => tapeout / Compile / runMain 86s + + + + + + | => tapeout / Compile / runMain 86s + + + + + + | => tapeout / Compile / runMain 86s + + + + + + | => tapeout / Compile / runMain 86s + + + + + + | => tapeout / Compile / runMain 86s + + + + + + | => tapeout / Compile / runMain 86s + + + + + + | => tapeout / Compile / runMain 87s + + + + + + | => tapeout / Compile / runMain 87s + + + + + + | => tapeout / Compile / runMain 87s + + + + + + | => tapeout / Compile / runMain 87s + + + + + + | => tapeout / Compile / runMain 87s + + + + + + | => tapeout / Compile / runMain 87s + + + + + + | => tapeout / Compile / runMain 87s + + + + + + | => tapeout / Compile / runMain 87s + + + + + + | => tapeout / Compile / runMain 87s + + + + + + | => tapeout / Compile / runMain 87s + + + + + + | => tapeout / Compile / runMain 88s + + + + + + | => tapeout / Compile / runMain 88s + + + + + + | => tapeout / Compile / runMain 88s + + + + + + | => tapeout / Compile / runMain 88s + + + + + + | => tapeout / Compile / runMain 88s + + + + + + | => tapeout / Compile / runMain 88s + + + + + + | => tapeout / Compile / runMain 88s + + + + + + | => tapeout / Compile / runMain 88s + + + + + + | => tapeout / Compile / runMain 88s + + + + + + | => tapeout / Compile / runMain 88s + + + + + + | => tapeout / Compile / runMain 89s + + + + + + | => tapeout / Compile / runMain 89s + + + + + + | => tapeout / Compile / runMain 89s + + + + + + | => tapeout / Compile / runMain 89s + + + + + + | => tapeout / Compile / runMain 89s + + + + + + | => tapeout / Compile / runMain 89s + + + + + + | => tapeout / Compile / runMain 89s + + + + + + | => tapeout / Compile / runMain 89s + + + + + + | => tapeout / Compile / runMain 89s + + + + + + | => tapeout / Compile / runMain 89s + + + + + + | => tapeout / Compile / runMain 90s + + + + + + | => tapeout / Compile / runMain 90s + + + + + + | => tapeout / Compile / runMain 90s + + + + + + | => tapeout / Compile / runMain 90s + + + + + + | => tapeout / Compile / runMain 90s + + + + + + | => tapeout / Compile / runMain 90s + + + + + + | => tapeout / Compile / runMain 90s + + + + + + | => tapeout / Compile / runMain 90s + + + + + + | => tapeout / Compile / runMain 90s + + + + + + | => tapeout / Compile / runMain 90s + + + + + + | => tapeout / Compile / runMain 91s + + + + + + | => tapeout / Compile / runMain 91s + + + + + + | => tapeout / Compile / runMain 91s + + + + + + | => tapeout / Compile / runMain 91s + + + + + + | => tapeout / Compile / runMain 91s + + + + + + | => tapeout / Compile / runMain 91s + + + + + + | => tapeout / Compile / runMain 91s + + + + + + | => tapeout / Compile / runMain 91s + + + + + + | => tapeout / Compile / runMain 91s + + + + + + | => tapeout / Compile / runMain 91s + + + + + + | => tapeout / Compile / runMain 92s + + + + + + | => tapeout / Compile / runMain 92s + + + + + + | => tapeout / Compile / runMain 92s + + + + + + | => tapeout / Compile / runMain 92s + + + + + + | => tapeout / Compile / runMain 92s + + + + + + | => tapeout / Compile / runMain 92s + + + + + + | => tapeout / Compile / runMain 92s + + + + + + | => tapeout / Compile / runMain 92s + + + + + + | => tapeout / Compile / runMain 92s + + + + + + | => tapeout / Compile / runMain 93s + + + + + + | => tapeout / Compile / runMain 93s + + + + + + | => tapeout / Compile / runMain 93s + + + + + + | => tapeout / Compile / runMain 93s + + + + + + | => tapeout / Compile / runMain 93s + + + + + + | => tapeout / Compile / runMain 93s + + + + + + | => tapeout / Compile / runMain 93s + + + + + + | => tapeout / Compile / runMain 93s + + + + + + | => tapeout / Compile / runMain 93s + + + + + + | => tapeout / Compile / runMain 93s + + + + + + | => tapeout / Compile / runMain 94s + + + + + + | => tapeout / Compile / runMain 94s + + + + + + | => tapeout / Compile / runMain 94s + + + + + + | => tapeout / Compile / runMain 94s + + + + + + | => tapeout / Compile / runMain 94s + + + + + + | => tapeout / Compile / runMain 94s + + + + + + | => tapeout / Compile / runMain 94s + + + + + + | => tapeout / Compile / runMain 94s + + + + + + | => tapeout / Compile / runMain 94s + + + + + + | => tapeout / Compile / runMain 94s + + + + + + | => tapeout / Compile / runMain 95s + + + + + + | => tapeout / Compile / runMain 95s + + + + + + | => tapeout / Compile / runMain 95s + + + + + + | => tapeout / Compile / runMain 95s + + + + + + | => tapeout / Compile / runMain 95s + + + + + + | => tapeout / Compile / runMain 95s + + + + + + | => tapeout / Compile / runMain 95s + + + + + + | => tapeout / Compile / runMain 95s +Total FIRRTL Compile Time: 81583.1 ms + + + + + + | => tapeout / Compile / runMain 95s + + + + + + | => tapeout / Compile / runMain 95s + + + + + + | => tapeout / Compile / runMain 96s + + + + + + | => tapeout / Compile / runMain 96s + + + + + + | => tapeout / Compile / runMain 96s + + + + + + | => tapeout / Compile / runMain 96s + + + + + + | => tapeout / Compile / runMain 96s + + + + + + | => tapeout / Compile / runMain 96s + + + + + + | => tapeout / Compile / runMain 96s + + + + + + | => tapeout / Compile / runMain 96s + + + + + + | => tapeout / Compile / runMain 96s + + + + + + | => tapeout / Compile / runMain 96s + + + + + + | => tapeout / Compile / runMain 97s + + + + + + | => tapeout / Compile / runMain 97s + + + + + + | => tapeout / Compile / runMain 97s + + + + + + | => tapeout / Compile / runMain 97s + + + + + + | => tapeout / Compile / runMain 97s + + + + + + | => tapeout / Compile / runMain 97s + + + + + + | => tapeout / Compile / runMain 97s +------------------------------------------------------------------------------ +Warning: firrtl.Driver is deprecated since 1.2! +Please switch to firrtl.stage.FirrtlMain +------------------------------------------------------------------------------ + + + + + + | => tapeout / Compile / runMain 97s + + + + + + | => tapeout / Compile / runMain 97s + + + + + + | => tapeout / Compile / runMain 97s + + + + + + | => tapeout / Compile / runMain 98s + + + + + + | => tapeout / Compile / runMain 98s + + + + + + | => tapeout / Compile / runMain 98s + + + + + + | => tapeout / Compile / runMain 98s + + + + + + | => tapeout / Compile / runMain 98s + + + + + + | => tapeout / Compile / runMain 98s + + + + + + | => tapeout / Compile / runMain 98s + + + + + + | => tapeout / Compile / runMain 98s + + + + + + | => tapeout / Compile / runMain 98s + + + + + + | => tapeout / Compile / runMain 99s + + + + + + | => tapeout / Compile / runMain 99s + + + + + + | => tapeout / Compile / runMain 99s + + + + + + | => tapeout / Compile / runMain 99s + + + + + + | => tapeout / Compile / runMain 99s + + + + + + | => tapeout / Compile / runMain 99s + + + + + + | => tapeout / Compile / runMain 99s + + + + + + | => tapeout / Compile / runMain 99s + + + + + + | => tapeout / Compile / runMain 99s + + + + + + | => tapeout / Compile / runMain 99s + + + + + + | => tapeout / Compile / runMain 100s + + + + + + | => tapeout / Compile / runMain 100s + + + + + + | => tapeout / Compile / runMain 100s + + + + + + | => tapeout / Compile / runMain 100s + + + + + + | => tapeout / Compile / runMain 100s + + + + + + | => tapeout / Compile / runMain 100s + + + + + + | => tapeout / Compile / runMain 100s + + + + + + | => tapeout / Compile / runMain 100s + + + + + + | => tapeout / Compile / runMain 100s + + + + + + | => tapeout / Compile / runMain 101s + + + + + + | => tapeout / Compile / runMain 101s + + + + + + | => tapeout / Compile / runMain 101s + + + + + + | => tapeout / Compile / runMain 101s + + + + + + | => tapeout / Compile / runMain 101s + + + + + + | => tapeout / Compile / runMain 101s + + + + + + | => tapeout / Compile / runMain 101s + + + + + + | => tapeout / Compile / runMain 101s + + + + + + | => tapeout / Compile / runMain 101s + + + + + + | => tapeout / Compile / runMain 101s + + + + + + | => tapeout / Compile / runMain 102s + + + + + + | => tapeout / Compile / runMain 102s + + + + + + | => tapeout / Compile / runMain 102s + + + + + + | => tapeout / Compile / runMain 102s + + + + + + | => tapeout / Compile / runMain 102s + + + + + + | => tapeout / Compile / runMain 102s + + + + + + | => tapeout / Compile / runMain 102s + + + + + + | => tapeout / Compile / runMain 102s + + + + + + | => tapeout / Compile / runMain 102s + + + + + + | => tapeout / Compile / runMain 102s + + + + + + | => tapeout / Compile / runMain 103s + + + + + + | => tapeout / Compile / runMain 103s + + + + + + | => tapeout / Compile / runMain 103s + + + + + + | => tapeout / Compile / runMain 103s + + + + + + | => tapeout / Compile / runMain 103s + + + + + + | => tapeout / Compile / runMain 103s + + + + + + | => tapeout / Compile / runMain 103s + + + + + + | => tapeout / Compile / runMain 103s + + + + + + | => tapeout / Compile / runMain 103s + + + + + + | => tapeout / Compile / runMain 104s + + + + + + | => tapeout / Compile / runMain 104s + + + + + + | => tapeout / Compile / runMain 104s + + + + + + | => tapeout / Compile / runMain 104s + + + + + + | => tapeout / Compile / runMain 104s + + + + + + | => tapeout / Compile / runMain 104s + + + + + + | => tapeout / Compile / runMain 104s + + + + + + | => tapeout / Compile / runMain 104s + + + + + + | => tapeout / Compile / runMain 104s + + + + + + | => tapeout / Compile / runMain 104s + + + + + + | => tapeout / Compile / runMain 105s + + + + + + | => tapeout / Compile / runMain 105s + + + + + + | => tapeout / Compile / runMain 105s + + + + + + | => tapeout / Compile / runMain 105s + + + + + + | => tapeout / Compile / runMain 105s + + + + + + | => tapeout / Compile / runMain 105s + + + + + + | => tapeout / Compile / runMain 105s + + + + + + | => tapeout / Compile / runMain 105s + + + + + + | => tapeout / Compile / runMain 105s + + + + + + | => tapeout / Compile / runMain 106s + + + + + + | => tapeout / Compile / runMain 106s + + + + + + | => tapeout / Compile / runMain 106s + + + + + + | => tapeout / Compile / runMain 106s + + + + + + | => tapeout / Compile / runMain 106s + + + + + + | => tapeout / Compile / runMain 106s + + + + + + | => tapeout / Compile / runMain 106s + + + + + + | => tapeout / Compile / runMain 106s + + + + + + | => tapeout / Compile / runMain 106s + + + + + + | => tapeout / Compile / runMain 106s + + + + + + | => tapeout / Compile / runMain 107s + + + + + + | => tapeout / Compile / runMain 107s + + + + + + | => tapeout / Compile / runMain 107s + + + + + + | => tapeout / Compile / runMain 107s + + + + + + | => tapeout / Compile / runMain 107s +Computed transform order in: 233.4 ms + + + + + + | => tapeout / Compile / runMain 107s + + + + + + | => tapeout / Compile / runMain 107s + + + + + + | => tapeout / Compile / runMain 107s + + + + + + | => tapeout / Compile / runMain 107s + + + + + + | => tapeout / Compile / runMain 107s + + + + + + | => tapeout / Compile / runMain 108s + + + + + + | => tapeout / Compile / runMain 108s + + + + + + | => tapeout / Compile / runMain 108s + + + + + + | => tapeout / Compile / runMain 108s + + + + + + | => tapeout / Compile / runMain 108s + + + + + + | => tapeout / Compile / runMain 108s + + + + + + | => tapeout / Compile / runMain 108s + + + + + + | => tapeout / Compile / runMain 108s + + + + + + | => tapeout / Compile / runMain 108s + + + + + + | => tapeout / Compile / runMain 108s + + + + + + | => tapeout / Compile / runMain 109s + + + + + + | => tapeout / Compile / runMain 109s + + + + + + | => tapeout / Compile / runMain 109s + + + + + + | => tapeout / Compile / runMain 109s + + + + + + | => tapeout / Compile / runMain 109s + + + + + + | => tapeout / Compile / runMain 109s + + + + + + | => tapeout / Compile / runMain 109s + + + + + + | => tapeout / Compile / runMain 109s + + + + + + | => tapeout / Compile / runMain 109s + + + + + + | => tapeout / Compile / runMain 109s + + + + + + | => tapeout / Compile / runMain 110s + + + + + + | => tapeout / Compile / runMain 110s + + + + + + | => tapeout / Compile / runMain 110s + + + + + + | => tapeout / Compile / runMain 110s + + + + + + | => tapeout / Compile / runMain 110s + + + + + + | => tapeout / Compile / runMain 110s + + + + + + | => tapeout / Compile / runMain 110s + + + + + + | => tapeout / Compile / runMain 110s + + + + + + | => tapeout / Compile / runMain 110s + + + + + + | => tapeout / Compile / runMain 110s + + + + + + | => tapeout / Compile / runMain 111s + + + + + + | => tapeout / Compile / runMain 111s + + + + + + | => tapeout / Compile / runMain 111s + + + + + + | => tapeout / Compile / runMain 111s + + + + + + | => tapeout / Compile / runMain 111s + + + + + + | => tapeout / Compile / runMain 111s + + + + + + | => tapeout / Compile / runMain 111s + + + + + + | => tapeout / Compile / runMain 111s + + + + + + | => tapeout / Compile / runMain 111s + + + + + + | => tapeout / Compile / runMain 111s + + + + + + | => tapeout / Compile / runMain 112s + + + + + + | => tapeout / Compile / runMain 112s + + + + + + | => tapeout / Compile / runMain 112s + + + + + + | => tapeout / Compile / runMain 112s + + + + + + | => tapeout / Compile / runMain 112s + + + + + + | => tapeout / Compile / runMain 112s + + + + + + | => tapeout / Compile / runMain 112s + + + + + + | => tapeout / Compile / runMain 112s + + + + + + | => tapeout / Compile / runMain 112s + + + + + + | => tapeout / Compile / runMain 113s + + + + + + | => tapeout / Compile / runMain 113s + + + + + + | => tapeout / Compile / runMain 113s + + + + + + | => tapeout / Compile / runMain 113s + + + + + + | => tapeout / Compile / runMain 113s + + + + + + | => tapeout / Compile / runMain 113s + + + + + + | => tapeout / Compile / runMain 113s + + + + + + | => tapeout / Compile / runMain 113s + + + + + + | => tapeout / Compile / runMain 113s + + + + + + | => tapeout / Compile / runMain 113s + + + + + + | => tapeout / Compile / runMain 114s + + + + + + | => tapeout / Compile / runMain 114s + + + + + + | => tapeout / Compile / runMain 114s + + + + + + | => tapeout / Compile / runMain 114s + + + + + + | => tapeout / Compile / runMain 114s + + + + + + | => tapeout / Compile / runMain 114s + + + + + + | => tapeout / Compile / runMain 114s + + + + + + | => tapeout / Compile / runMain 114s + + + + + + | => tapeout / Compile / runMain 114s + + + + + + | => tapeout / Compile / runMain 114s + + + + + + | => tapeout / Compile / runMain 115s + + + + + + | => tapeout / Compile / runMain 115s + + + + + + | => tapeout / Compile / runMain 115s + + + + + + | => tapeout / Compile / runMain 115s + + + + + + | => tapeout / Compile / runMain 115s + + + + + + | => tapeout / Compile / runMain 115s + + + + + + | => tapeout / Compile / runMain 115s + + + + + + | => tapeout / Compile / runMain 115s + + + + + + | => tapeout / Compile / runMain 115s + + + + + + | => tapeout / Compile / runMain 115s + + + + + + | => tapeout / Compile / runMain 116s + + + + + + | => tapeout / Compile / runMain 116s + + + + + + | => tapeout / Compile / runMain 116s + + + + + + | => tapeout / Compile / runMain 116s + + + + + + | => tapeout / Compile / runMain 116s + + + + + + | => tapeout / Compile / runMain 116s + + + + + + | => tapeout / Compile / runMain 116s + + + + + + | => tapeout / Compile / runMain 116s + + + + + + | => tapeout / Compile / runMain 116s + + + + + + | => tapeout / Compile / runMain 116s + + + + + + | => tapeout / Compile / runMain 117s + + + + + + | => tapeout / Compile / runMain 117s + + + + + + | => tapeout / Compile / runMain 117s + + + + + + | => tapeout / Compile / runMain 117s + + + + + + | => tapeout / Compile / runMain 117s + + + + + + | => tapeout / Compile / runMain 117s + + + + + + | => tapeout / Compile / runMain 117s + + + + + + | => tapeout / Compile / runMain 117s + + + + + + | => tapeout / Compile / runMain 117s + + + + + + | => tapeout / Compile / runMain 117s + + + + + + | => tapeout / Compile / runMain 118s + + + + + + | => tapeout / Compile / runMain 118s + + + + + + | => tapeout / Compile / runMain 118s + + + + + + | => tapeout / Compile / runMain 118s + + + + + + | => tapeout / Compile / runMain 118s + + + + + + | => tapeout / Compile / runMain 118s + + + + + + | => tapeout / Compile / runMain 118s + + + + + + | => tapeout / Compile / runMain 118s + + + + + + | => tapeout / Compile / runMain 118s + + + + + + | => tapeout / Compile / runMain 118s + + + + + + | => tapeout / Compile / runMain 119s + + + + + + | => tapeout / Compile / runMain 119s + + + + + + | => tapeout / Compile / runMain 119s + + + + + + | => tapeout / Compile / runMain 119s + + + + + + | => tapeout / Compile / runMain 119s + + + + + + | => tapeout / Compile / runMain 119s + + + + + + | => tapeout / Compile / runMain 119s + + + + + + | => tapeout / Compile / runMain 119s + + + + + + | => tapeout / Compile / runMain 119s + + + + + + | => tapeout / Compile / runMain 119s + + + + + + | => tapeout / Compile / runMain 120s + + + + + + | => tapeout / Compile / runMain 120s + + + + + + | => tapeout / Compile / runMain 120s + + + + + + | => tapeout / Compile / runMain 120s + + + + + + | => tapeout / Compile / runMain 120s + + + + + + | => tapeout / Compile / runMain 120s + + + + + + | => tapeout / Compile / runMain 120s + + + + + + | => tapeout / Compile / runMain 120s + + + + + + | => tapeout / Compile / runMain 120s + + + + + + | => tapeout / Compile / runMain 120s + + + + + + | => tapeout / Compile / runMain 121s + + + + + + | => tapeout / Compile / runMain 121s + + + + + + | => tapeout / Compile / runMain 121s + + + + + + | => tapeout / Compile / runMain 121s + + + + + + | => tapeout / Compile / runMain 121s + + + + + + | => tapeout / Compile / runMain 121s + + + + + + | => tapeout / Compile / runMain 121s + + + + + + | => tapeout / Compile / runMain 121s + + + + + + | => tapeout / Compile / runMain 121s + + + + + + | => tapeout / Compile / runMain 121s + + + + + + | => tapeout / Compile / runMain 122s + + + + + + | => tapeout / Compile / runMain 122s + + + + + + | => tapeout / Compile / runMain 122s + + + + + + | => tapeout / Compile / runMain 122s + + + + + + | => tapeout / Compile / runMain 122s + + + + + + | => tapeout / Compile / runMain 122s + + + + + + | => tapeout / Compile / runMain 122s + + + + + + | => tapeout / Compile / runMain 122s + + + + + + | => tapeout / Compile / runMain 122s + + + + + + | => tapeout / Compile / runMain 123s + + + + + + | => tapeout / Compile / runMain 123s + + + + + + | => tapeout / Compile / runMain 123s + + + + + + | => tapeout / Compile / runMain 123s + + + + + + | => tapeout / Compile / runMain 123s + + + + + + | => tapeout / Compile / runMain 123s + + + + + + | => tapeout / Compile / runMain 123s + + + + + + | => tapeout / Compile / runMain 123s + + + + + + | => tapeout / Compile / runMain 123s + + + + + + | => tapeout / Compile / runMain 124s + + + + + + | => tapeout / Compile / runMain 124s + + + + + + | => tapeout / Compile / runMain 124s + + + + + + | => tapeout / Compile / runMain 124s + + + + + + | => tapeout / Compile / runMain 124s + + + + + + | => tapeout / Compile / runMain 124s + + + + + + | => tapeout / Compile / runMain 124s + + + + + + | => tapeout / Compile / runMain 124s + + + + + + | => tapeout / Compile / runMain 124s + + + + + + | => tapeout / Compile / runMain 124s + + + + + + | => tapeout / Compile / runMain 125s + + + + + + | => tapeout / Compile / runMain 125s + + + + + + | => tapeout / Compile / runMain 125s + + + + + + | => tapeout / Compile / runMain 125s + + + + + + | => tapeout / Compile / runMain 125s + + + + + + | => tapeout / Compile / runMain 125s + + + + + + | => tapeout / Compile / runMain 125s + + + + + + | => tapeout / Compile / runMain 125s + + + + + + | => tapeout / Compile / runMain 125s + + + + + + | => tapeout / Compile / runMain 125s + + + + + + | => tapeout / Compile / runMain 126s + + + + + + | => tapeout / Compile / runMain 126s + + + + + + | => tapeout / Compile / runMain 126s + + + + + + | => tapeout / Compile / runMain 126s + + + + + + | => tapeout / Compile / runMain 126s + + + + + + | => tapeout / Compile / runMain 126s + + + + + + | => tapeout / Compile / runMain 126s + + + + + + | => tapeout / Compile / runMain 126s + + + + + + | => tapeout / Compile / runMain 126s + + + + + + | => tapeout / Compile / runMain 126s + + + + + + | => tapeout / Compile / runMain 127s + + + + + + | => tapeout / Compile / runMain 127s + + + + + + | => tapeout / Compile / runMain 127s + + + + + + | => tapeout / Compile / runMain 127s + + + + + + | => tapeout / Compile / runMain 127s + + + + + + | => tapeout / Compile / runMain 127s + + + + + + | => tapeout / Compile / runMain 127s + + + + + + | => tapeout / Compile / runMain 127s + + + + + + | => tapeout / Compile / runMain 127s + + + + + + | => tapeout / Compile / runMain 127s + + + + + + | => tapeout / Compile / runMain 128s +Total FIRRTL Compile Time: 20561.7 ms + + + + + + +[success] Total time: 146 s (02:26), completed Dec 23, 2020, 3:49:36 AM +cd /home/riscvuser/chipyard && java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar "project barstoolsMacros" "runMain barstools.macros.MacroCompiler -n /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.conf -v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.v -f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.fir --mode synflops" +OpenJDK 64-Bit Server VM warning: Ignoring option MaxPermSize; support was removed in 8.0 +[info] Loading settings for project chipyard-build from plugins.sbt ... +[info] Loading project definition from /home/riscvuser/chipyard/project + + + + + + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / Compile / externalHooks 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + +[info] Loading settings for project chipyardRoot from build.sbt ... +[info] Loading settings for project barstoolsMacros from build.sbt ... +[info] Loading settings for project mdf from build.sbt ... +[info] Loading settings for project gemmini from build.sbt ... +[info] Loading settings for project ariane from build.sbt ... +[info] Loading settings for project boom from build.sbt ... +[info] Loading settings for project hwacha from build.sbt ... +[info] Loading settings for project icenet from build.sbt ... +[info] Loading settings for project testchipip from build.sbt ... +[info] Loading settings for project rocketConfig from build.sbt ... +[info] Loading settings for project hardfloat from build.sbt ... +[info] Loading settings for project chisel_testers from build.sbt ... +[info] Loading settings for project treadle from build.sbt ... +[info] Loading settings for project firrtl_interpreter from build.sbt ... +[info] Loading settings for project chisel from build.sbt ... +[info] Loading settings for project sim-build from plugins.sbt ... +[info] Loading project definition from /home/riscvuser/chipyard/sims/firesim/sim/project + + + + + + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / Compile / compileIncremental 0s + + + + + + +[info] Loading settings for project firesim from build.sbt ... +[info] Loading settings for project midas from build.sbt ... +[info] Loading settings for project targetutils from build.sbt ... +[info] Resolving key references (32007 settings) ... +[info] Set current project to chipyardRoot (in build file:/home/riscvuser/chipyard/) + + + + + + + + + + + | => Global / checkBuildSources 0s + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +[info] Set current project to barstoolsMacros (in build file:/home/riscvuser/chipyard/) + + + + + + + + + + + + + + + + + + + + + | => core / projectDescriptors 0s + | => mdf / update 0s + | => rocketMacros / update 0s + | => firrtl_interpreter / update 0s + | => rocketConfig / update 0s + + + + + + + + + | => core / projectDescriptors 0s + | => mdf / update 0s + + + + + + + + | => hardfloat / projectDescriptors 0s + | => targetutils / update 0s + | => mdf / update 0s + + + + + + + + + + | => mdf / update 0s + + + + + + + + + + | => mdf / update 0s + + + + + + + + + + | => mdf / update 0s + + + + + + + + + + | => mdf / update 0s + + + + + + + + + + | => mdf / update 0s + + + + + + + + + + | => mdf / update 0s + + + + + + + + + + | => mdf / update 0s + + + + + + + + + + | => barstoolsMacros / update 0s + + + + + + + + + + | => barstoolsMacros / update 0s + + + + + + + + + + | => barstoolsMacros / update 0s + + + + + + + + + + | => barstoolsMacros / update 0s + + + + + + + + + + | => barstoolsMacros / update 0s + + + + + + | => mdf / scalaCompilerBridgeScope / csrConfiguration 0s + | => mdf / Compile / unmanagedClasspath 0s + | => firrtl_interpreter / scalaCompilerBridgeScope / csrConfiguration 0s + | => firrtl_interpreter / scalaCompilerBridgeScope / csrConfiguration 0s + | => core / Compile / scalacOptions 0s + | => macros / Compile / scalacOptions 0s + | => rocketMacros / Compile / scalacOptions 0s + | => targetutils / Compile / scalacOptions 0s + | => hardfloat / Compile / scalacOptions 0s + | => rocketConfig / Compile / scalacOptions 0s + | => chisel / Compile / scalacOptions 0s + | => mdf / Compile / scalacOptions 0s + | => rocketchip / Compile / scalacOptions 0s + | => firrtl_interpreter / Compile / scalacOptions 0s + | => chisel / Compile / buildInfo 0s + | => rocketchip / Compile / unmanagedSources / inputFileStamps 0s + + + + + + + + + + + + + + + + + | => firrtl_interpreter / Compile / previousCompile 0s + | => macros / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 0s + | => rocketConfig / Compile / previousCompile 0s + | => rocketMacros / Compile / previousCompile 0s +[info] Compiling 7 Scala sources to /home/riscvuser/chipyard/tools/barstools/mdf/scalalib/target/scala-2.12/classes ... + + + + + + + + + + + + + + + + | => firrtl_interpreter / Compile / previousCompile 0s + | => macros / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 0s + | => rocketConfig / Compile / previousCompile 0s + | => rocketMacros / Compile / previousCompile 0s + + + + + + + + + + + + + + + + | => firrtl_interpreter / Compile / previousCompile 0s + | => macros / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 0s + | => rocketConfig / Compile / previousCompile 0s + | => rocketMacros / Compile / previousCompile 0s + + + + + + + + + + + + + + + + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + | => rocketMacros / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + + + + + + + + + + | => rocketConfig / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + | => rocketMacros / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + | => core / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + | => core / Compile / compileIncremental 0s + | => mdf / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + + | => chisel / Compile / compileIncremental 0s + | => mdf / Compile / compileIncremental 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + + + + + + + + + + + + | => chisel / Compile / compileIncremental 0s + | => mdf / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + | => targetutils / Compile / compileIncremental 0s + | => mdf / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + | => hardfloat / Compile / packageBin / mappings 0s + | => mdf / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 0s + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 1s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + | => mdf / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + | => rocketchip / Compile / packageBin / mappings 0s + | => mdf / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + | => rocketchip / Compile / packageBin / mappings 0s + | => mdf / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + | => rocketchip / Compile / packageBin 0s + | => mdf / Compile / compileIncremental 1s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 2s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 3s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 4s + + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/mdf/scalalib/src/main/scala/SRAM.scala:55:75: This catches all Throwables. If this is really intended, use `case _ : Throwable` to clear this warning. + + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 4s +[warn]  case Some(x: JsString) => try { BigInt(x.as[String]) } catch { case _ => return None } + + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 4s +[warn]  ^ + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 4s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 4s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 4s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 4s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 4s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 4s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 5s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 5s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 5s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 5s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 5s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 5s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 5s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 5s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 5s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 5s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 6s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 6s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 6s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 6s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 6s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 6s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 6s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 6s + + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 6s +[warn] /home/riscvuser/chipyard/tools/barstools/mdf/scalalib/src/main/scala/SRAM.scala:45:66: catch block may intercept non-local return from method parseJSON + + + + + + + + + + + + + | => mdf / Compile / compileIncremental 6s +[warn]  def parseJSON(json: Map[String, JsValue]): Option[SRAMMacro] = { + + + + + + + + + + + + | => mdf / Compile / compileIncremental 6s +[warn]  ^ + + + + + + + + + + + | => mdf / Compile / compileIncremental 6s + + + + + + + + + + + | => mdf / Compile / compileIncremental 6s + + + + + + + + + + + | => mdf / Compile / compileIncremental 7s + + + + + + + + + + + | => mdf / Compile / compileIncremental 7s + + + + + + + + + + + | => mdf / Compile / compileIncremental 7s + + + + + + + + + + + | => mdf / Compile / compileIncremental 7s + + + + + + + + + + + | => mdf / Compile / compileIncremental 7s + + + + + + + + + + + | => mdf / Compile / compileIncremental 7s + + + + + + + + + + + | => mdf / Compile / compileIncremental 7s + + + + + + + + + + + | => mdf / Compile / compileIncremental 7s + + + + + + + + + + + | => mdf / Compile / compileIncremental 7s + + + + + + + + + + + | => mdf / Compile / compileIncremental 7s + + + + + + + + + + + | => mdf / Compile / compileIncremental 8s + + + + + + + + + + + | => mdf / Compile / compileIncremental 8s + + + + + + + + + + + | => mdf / Compile / compileIncremental 8s + + + + + + + + + + + | => mdf / Compile / compileIncremental 8s + + + + + + + + + + + | => mdf / Compile / compileIncremental 8s + + + + + + + + + + + | => mdf / Compile / compileIncremental 8s + + + + + + + + + + + | => mdf / Compile / compileIncremental 8s + + + + + + + + + + + | => mdf / Compile / compileIncremental 8s + + + + + + + + + + + | => mdf / Compile / compileIncremental 8s + + + + + + + + + + + | => mdf / Compile / compileIncremental 8s +[warn] two warnings found + + + + + + + + + + | => mdf / Compile / compileIncremental 8s + + + + + + + + + + | => mdf / Compile / compile 0s + + + + + + + + + + | => mdf / Compile / compile 0s +[info] Compiling 4 Scala sources to /home/riscvuser/chipyard/tools/barstools/macros/target/scala-2.12/classes ... + + + + + + + + + | => mdf / Compile / compile 0s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 0s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 0s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 0s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 0s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 0s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 0s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 0s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 0s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 0s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 0s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 1s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 1s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 1s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 1s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 1s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 1s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 1s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 1s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 1s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 1s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 2s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 2s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 2s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 2s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 2s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 2s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 2s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 2s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 2s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 2s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 3s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 3s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 3s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 3s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 3s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 3s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 3s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 3s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 3s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 3s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s + + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:96:5: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type + + + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  Annotation(CircuitName(c), classOf[MacroCompilerTransform], MacroCompilerUtil.objToString(p)) + + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:99:10: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  case Annotation(CircuitName(c), t, serialized) if t == classOf[MacroCompilerTransform] => { + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:656:19: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  def inputForm = MidForm + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:657:20: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  def outputForm = MidForm + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:659:38: method getMyAnnotations in trait Transform is deprecated (since 1.1): Just collect the actual Annotation types the transform wants + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  def execute(state: CircuitState) = getMyAnnotations(state) match { + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:722:18: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  def inputForm: CircuitForm = LowForm + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:722:32: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  def inputForm: CircuitForm = LowForm + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:724:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  def outputForm: CircuitForm = LowForm + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:724:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  def outputForm: CircuitForm = LowForm + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:736:29: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] class MacroCompiler extends Compiler { + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:741:7: method getLoweringTransforms in object CompilerUtils is deprecated (since FIRRTL 1.3): Use a TransformManager requesting which transforms you want to run. This will be removed in 1.4. + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  getLoweringTransforms(firrtl.ChirrtlForm, firrtl.LowForm) ++ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:741:36: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  getLoweringTransforms(firrtl.ChirrtlForm, firrtl.LowForm) ++ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:741:56: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  getLoweringTransforms(firrtl.ChirrtlForm, firrtl.LowForm) ++ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:839:56: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  val macroCompilerInput = CircuitState(circuit, MidForm, annotations) + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:844:30: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  val firOptions = new ExecutionOptionsManager("macrocompiler") with HasFirrtlOptions { + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:844:76: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  val firOptions = new ExecutionOptionsManager("macrocompiler") with HasFirrtlOptions { + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:845:27: class FirrtlExecutionOptions in package firrtl is deprecated (since 1.2): Use a FirrtlOptionsView or construct your own view of an AnnotationSeq + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  firrtlOptions = FirrtlExecutionOptions( + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:853:9: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  Driver.execute(firOptions) + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s +[warn]  ^ + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s + + + + + + | => barstoolsMacros / Compile / compileIncremental 4s + + + + + + | => barstoolsMacros / Compile / compileIncremental 5s + + + + + + | => barstoolsMacros / Compile / compileIncremental 5s + + + + + + | => barstoolsMacros / Compile / compileIncremental 5s + + + + + + | => barstoolsMacros / Compile / compileIncremental 5s + + + + + + | => barstoolsMacros / Compile / compileIncremental 5s + + + + + + | => barstoolsMacros / Compile / compileIncremental 5s + + + + + + | => barstoolsMacros / Compile / compileIncremental 5s + + + + + + | => barstoolsMacros / Compile / compileIncremental 5s + + + + + + | => barstoolsMacros / Compile / compileIncremental 5s + + + + + + | => barstoolsMacros / Compile / compileIncremental 5s + + + + + + | => barstoolsMacros / Compile / compileIncremental 6s + + + + + + | => barstoolsMacros / Compile / compileIncremental 6s + + + + + + | => barstoolsMacros / Compile / compileIncremental 6s + + + + + + | => barstoolsMacros / Compile / compileIncremental 6s + + + + + + | => barstoolsMacros / Compile / compileIncremental 6s + + + + + + | => barstoolsMacros / Compile / compileIncremental 6s + + + + + + | => barstoolsMacros / Compile / compileIncremental 6s + + + + + + | => barstoolsMacros / Compile / compileIncremental 6s + + + + + + | => barstoolsMacros / Compile / compileIncremental 6s + + + + + + | => barstoolsMacros / Compile / compileIncremental 7s + + + + + + | => barstoolsMacros / Compile / compileIncremental 7s + + + + + + | => barstoolsMacros / Compile / compileIncremental 7s +[warn] 18 warnings found + + + + + + | => barstoolsMacros / Compile / compileIncremental 7s + + + + + + | => barstoolsMacros / Compile / compileIncremental 7s + + + + + + | => barstoolsMacros / Runtime / dependencyClasspathAsJars 0s + | => barstoolsMacros / Compile / run / runner 0s + + + + + + + | => barstoolsMacros / Compile / bgRunMain 0s + + + + + + + | => barstoolsMacros / Compile / bgRunMain 0s + + + + + + + | => barstoolsMacros / Compile / bgRunMain 0s + + + + + + + | => barstoolsMacros / Compile / bgRunMain 0s +[info] running barstools.macros.MacroCompiler -n /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.conf -v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.v -f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.fir --mode synflops + + + + + + + | => barstoolsMacros / Compile / runMain 0s +------------------------------------------------------------------------------ +Warning: getMyAnnotations is deprecated, use collect and match on concrete types +------------------------------------------------------------------------------ + + + + + + + | => barstoolsMacros / Compile / runMain 0s + + + + + + + | => barstoolsMacros / Compile / runMain 0s +------------------------------------------------------------------------------ +Warning: firrtl.Driver is deprecated since 1.2! +Please switch to firrtl.stage.FirrtlMain +------------------------------------------------------------------------------ + + + + + + + | => barstoolsMacros / Compile / runMain 0s + + + + + + + | => barstoolsMacros / Compile / runMain 0s + + + + + + + | => barstoolsMacros / Compile / runMain 0s + + + + + + + | => barstoolsMacros / Compile / runMain 0s + + + + + + + | => barstoolsMacros / Compile / runMain 0s + + + + + + + | => barstoolsMacros / Compile / runMain 0s + + + + + + + | => barstoolsMacros / Compile / runMain 0s + + + + + + + | => barstoolsMacros / Compile / runMain 1s + + + + + + + | => barstoolsMacros / Compile / runMain 1s + + + + + + + | => barstoolsMacros / Compile / runMain 1s + + + + + + + | => barstoolsMacros / Compile / runMain 1s + + + + + + + | => barstoolsMacros / Compile / runMain 1s + + + + + + + | => barstoolsMacros / Compile / runMain 1s + + + + + + + | => barstoolsMacros / Compile / runMain 1s + + + + + + + | => barstoolsMacros / Compile / runMain 1s + + + + + + + | => barstoolsMacros / Compile / runMain 1s +Computed transform order in: 317.7 ms + + + + + + + | => barstoolsMacros / Compile / runMain 1s + + + + + + + | => barstoolsMacros / Compile / runMain 2s + + + + + + + | => barstoolsMacros / Compile / runMain 2s + + + + + + + | => barstoolsMacros / Compile / runMain 2s + + + + + + + | => barstoolsMacros / Compile / runMain 2s + + + + + + + | => barstoolsMacros / Compile / runMain 2s + + + + + + + | => barstoolsMacros / Compile / runMain 2s + + + + + + + | => barstoolsMacros / Compile / runMain 2s + + + + + + + | => barstoolsMacros / Compile / runMain 2s + + + + + + + | => barstoolsMacros / Compile / runMain 2s + + + + + + + | => barstoolsMacros / Compile / runMain 2s + + + + + + + | => barstoolsMacros / Compile / runMain 3s + + + + + + + | => barstoolsMacros / Compile / runMain 3s +Total FIRRTL Compile Time: 1246.4 ms + + + + + + + +[success] Total time: 22 s, completed Dec 23, 2020, 3:50:22 AM +cd /home/riscvuser/chipyard && java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar "project barstoolsMacros" "runMain barstools.macros.MacroCompiler -n /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.conf -v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.v -f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.fir --mode synflops" +OpenJDK 64-Bit Server VM warning: Ignoring option MaxPermSize; support was removed in 8.0 +[info] Loading settings for project chipyard-build from plugins.sbt ... +[info] Loading project definition from /home/riscvuser/chipyard/project + + + + + + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / update 0s + + + + + + | => chipyard-build / Compile / previousCompile 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + | => chipyard-build / Compile / compileIncremental 0s + + + + + + +[info] Loading settings for project chipyardRoot from build.sbt ... +[info] Loading settings for project barstoolsMacros from build.sbt ... +[info] Loading settings for project mdf from build.sbt ... +[info] Loading settings for project gemmini from build.sbt ... +[info] Loading settings for project ariane from build.sbt ... +[info] Loading settings for project boom from build.sbt ... +[info] Loading settings for project hwacha from build.sbt ... +[info] Loading settings for project icenet from build.sbt ... +[info] Loading settings for project testchipip from build.sbt ... +[info] Loading settings for project rocketConfig from build.sbt ... +[info] Loading settings for project hardfloat from build.sbt ... +[info] Loading settings for project chisel_testers from build.sbt ... +[info] Loading settings for project treadle from build.sbt ... +[info] Loading settings for project firrtl_interpreter from build.sbt ... +[info] Loading settings for project chisel from build.sbt ... +[info] Loading settings for project sim-build from plugins.sbt ... +[info] Loading project definition from /home/riscvuser/chipyard/sims/firesim/sim/project + + + + + + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / update 0s + + + + + + | => sim-build / Compile / compileIncSetup 0s + + + + + + +[info] Loading settings for project firesim from build.sbt ... +[info] Loading settings for project midas from build.sbt ... +[info] Loading settings for project targetutils from build.sbt ... +[info] Resolving key references (32007 settings) ... +[info] Set current project to chipyardRoot (in build file:/home/riscvuser/chipyard/) + + + + + + + + + + + | => Global / checkBuildSources 0s + + + + + + | => Global / checkBuildSources 0s + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +[info] Set current project to barstoolsMacros (in build file:/home/riscvuser/chipyard/) + + + + + + + + + + + + + + + + + + + + + | => core / projectDescriptors 0s + | => mdf / update 0s + | => firrtl_interpreter / update 0s + | => rocketMacros / update 0s + | => rocketConfig / update 0s + + + + + + + + + + | => core / projectDescriptors 0s + + + + + + + + + + | => hardfloat / update 0s + + + + + + + + + + + + + + + + | => firrtl_interpreter / Compile / previousCompile 0s + | => macros / Compile / previousCompile 0s + | => mdf / Compile / previousCompile 0s + | => rocketMacros / Compile / previousCompile 0s + | => rocketConfig / Compile / previousCompile 0s + + + + + + | => firrtl_interpreter / Compile / previousCompile 0s + | => macros / Compile / previousCompile 0s + | => mdf / Compile / previousCompile 0s + | => rocketMacros / Compile / previousCompile 0s + | => rocketConfig / Compile / previousCompile 0s + + + + + + | => mdf / Compile / compileIncremental 0s + | => rocketConfig / Compile / compileIncremental 0s + | => rocketMacros / Compile / compileIncremental 0s + | => macros / Compile / compileIncremental 0s + | => firrtl_interpreter / Compile / previousCompile 0s + + + + + + | => rocketMacros / Compile / packageBin 0s + | => rocketConfig / Compile / packageBin 0s + | => macros / Compile / packageBin 0s + | => core / Compile / externalHooks 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => mdf / Compile / compileIncremental 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + | => rocketMacros / Compile / packageBin 0s + | => rocketConfig / Compile / packageBin 0s + | => macros / Compile / packageBin 0s + | => core / Compile / externalHooks 0s + | => firrtl_interpreter / Compile / compileIncremental 0s + | => mdf / Compile / compileIncremental 0s + + + + + + + + + + + | => core / Compile / compileIncremental 0s + + + + + + + + + + + | => chisel / Compile / compileIncremental 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + + + + + | => chisel / Compile / compileIncremental 0s + + + + + + + + + + | => hardfloat / Compile / previousCompile 0s + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + + + + + + + + + + | => rocketchip / Compile / previousCompile 0s +[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list + + + + + + + + + | => rocketchip / Compile / previousCompile 0s + + + + + + + + + | => rocketchip / Compile / packageBin / mappings 0s + + + + + + + + + | => barstoolsMacros / Compile / previousCompile 0s + + + + + + + + + | => barstoolsMacros / Compile / bgRunMain 0s + + + + + + + + + | => barstoolsMacros / Compile / bgRunMain 0s + + + + + + + + + | => barstoolsMacros / Compile / bgRunMain 0s + + + + + + + + + | => barstoolsMacros / Compile / bgRunMain 0s + + + + + + + + + | => barstoolsMacros / Compile / bgRunMain 0s +[info] running barstools.macros.MacroCompiler -n /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.conf -v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.v -f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.fir --mode synflops +WARNING: Empty *.mems.conf file. No memories generated. + + + + + + + + + +[success] Total time: 3 s, completed Dec 23, 2020, 3:50:47 AM +awk '{print ;}' /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/sim_files.f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.top.f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.harness.f | sort -u | grep -v '.*\.\(svh\|h\)$' > /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/sim_files.common.f +rm -rf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig +mkdir -p /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig +verilator --cc --exe -O3 -CFLAGS " -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR" -CFLAGS "-I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h" -LDFLAGS " -L/home/riscvuser/chipyard/sims/verilator -lpthread" /home/riscvuser/chipyard/riscv-tools-install/lib/libfesvr.a /home/riscvuser/chipyard/tools/DRAMSim2/libdramsim.a --timescale 1ns/1ps --top-module TestHarness -Wno-fatal --assert --output-split 10000 --output-split-cfuncs 100 --max-num-width 1048576 -f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/sim_files.common.f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.v +define+PRINTF_COND=\$c\(\"verbose\",\"\&\&\"\,\"done_reset\"\) +define+STOP_COND=\$c\(\"done_reset\"\) -o /home/riscvuser/chipyard/sims/verilator/simulator-chipyard-RocketConfig -Mdir /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig -CFLAGS "-include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h" +touch /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.mk +make -C /home/riscvuser/chipyard/tools/DRAMSim2 libdramsim.a +make[1]: Entering directory '/home/riscvuser/chipyard/tools/DRAMSim2' +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o PrintMacros.o -c PrintMacros.cpp +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o Transaction.o -c Transaction.cpp +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o BusPacket.o -c BusPacket.cpp +BusPacket.cpp: In member function 'void DRAMSim::BusPacket::print(uint64_t, bool)': +BusPacket.cpp:63:2: warning: nonnull argument 'this' compared to NULL [-Wnonnull-compare] + if (this == NULL) + ^~ +BusPacket.cpp: In member function 'void DRAMSim::BusPacket::print()': +BusPacket.cpp:104:2: warning: nonnull argument 'this' compared to NULL [-Wnonnull-compare] + if (this == NULL) //pointer use makes this a necessary precaution + ^~ +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o BankState.o -c BankState.cpp +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o CommandQueue.o -c CommandQueue.cpp +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o MemorySystem.o -c MemorySystem.cpp +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o SimulatorObject.o -c SimulatorObject.cpp +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o IniReader.o -c IniReader.cpp +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o AddressMapping.o -c AddressMapping.cpp +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o ClockDomain.o -c ClockDomain.cpp +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o MultiChannelMemorySystem.o -c MultiChannelMemorySystem.cpp +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o Rank.o -c Rank.cpp +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o MemoryController.o -c MemoryController.cpp +g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o Bank.o -c Bank.cpp +ar crs libdramsim.a PrintMacros.o Transaction.o BusPacket.o BankState.o CommandQueue.o MemorySystem.o SimulatorObject.o IniReader.o AddressMapping.o ClockDomain.o MultiChannelMemorySystem.o Rank.o MemoryController.o Bank.o +make[1]: Leaving directory '/home/riscvuser/chipyard/tools/DRAMSim2' +make VM_PARALLEL_BUILDS=1 -C /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig -f VTestHarness.mk +make[1]: Entering directory '/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig' +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o SimDRAM.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/SimDRAM.cc +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o SimDTM.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/SimDTM.cc +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o SimJTAG.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/SimJTAG.cc +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o SimSerial.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/SimSerial.cc +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o SimUART.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/SimUART.cc +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o emulator.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/emulator.cc +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o mm.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/mm.cc +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o mm_dramsim2.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/mm_dramsim2.cc +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o remote_bitbang.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/remote_bitbang.cc +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o uart.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/uart.cc +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/uart.cc:7:0: warning: "_XOPEN_SOURCE" redefined + #define _XOPEN_SOURCE + +In file included from /usr/include/inttypes.h:25:0, + from /usr/local/share/verilator/include/verilatedos.h:305, + from /usr/local/share/verilator/include/verilated.h:27, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/include/features.h:202:0: note: this is the location of the previous definition + # define _XOPEN_SOURCE 700 + +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o verilated.o /usr/local/share/verilator/include/verilated.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o verilated_dpi.o /usr/local/share/verilator/include/verilated_dpi.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness.o VTestHarness.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__1.o VTestHarness__1.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__2.o VTestHarness__2.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__3.o VTestHarness__3.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__4.o VTestHarness__4.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__5.o VTestHarness__5.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__6.o VTestHarness__6.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__7.o VTestHarness__7.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__8.o VTestHarness__8.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__9.o VTestHarness__9.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__10.o VTestHarness__10.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__11.o VTestHarness__11.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__12.o VTestHarness__12.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__13.o VTestHarness__13.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__14.o VTestHarness__14.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__15.o VTestHarness__15.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__16.o VTestHarness__16.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__17.o VTestHarness__17.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__18.o VTestHarness__18.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__19.o VTestHarness__19.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__20.o VTestHarness__20.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__21.o VTestHarness__21.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__22.o VTestHarness__22.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__23.o VTestHarness__23.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__24.o VTestHarness__24.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__25.o VTestHarness__25.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__26.o VTestHarness__26.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__27.o VTestHarness__27.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__28.o VTestHarness__28.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__29.o VTestHarness__29.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__30.o VTestHarness__30.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__31.o VTestHarness__31.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__32.o VTestHarness__32.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__33.o VTestHarness__33.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__34.o VTestHarness__34.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__35.o VTestHarness__35.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__36.o VTestHarness__36.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__37.o VTestHarness__37.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__38.o VTestHarness__38.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__39.o VTestHarness__39.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__40.o VTestHarness__40.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__41.o VTestHarness__41.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__42.o VTestHarness__42.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__43.o VTestHarness__43.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__44.o VTestHarness__44.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__45.o VTestHarness__45.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__46.o VTestHarness__46.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__47.o VTestHarness__47.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__48.o VTestHarness__48.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__49.o VTestHarness__49.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__50.o VTestHarness__50.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__51.o VTestHarness__51.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__52.o VTestHarness__52.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__53.o VTestHarness__53.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__54.o VTestHarness__54.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__55.o VTestHarness__55.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__56.o VTestHarness__56.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__57.o VTestHarness__57.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__58.o VTestHarness__58.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__59.o VTestHarness__59.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__60.o VTestHarness__60.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__61.o VTestHarness__61.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__62.o VTestHarness__62.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness___024unit.o VTestHarness___024unit.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__Slow.o VTestHarness__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__1__Slow.o VTestHarness__1__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__2__Slow.o VTestHarness__2__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__3__Slow.o VTestHarness__3__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__4__Slow.o VTestHarness__4__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__5__Slow.o VTestHarness__5__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__6__Slow.o VTestHarness__6__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__7__Slow.o VTestHarness__7__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__8__Slow.o VTestHarness__8__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__9__Slow.o VTestHarness__9__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__10__Slow.o VTestHarness__10__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__11__Slow.o VTestHarness__11__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__12__Slow.o VTestHarness__12__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__13__Slow.o VTestHarness__13__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__14__Slow.o VTestHarness__14__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__15__Slow.o VTestHarness__15__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__16__Slow.o VTestHarness__16__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__17__Slow.o VTestHarness__17__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__18__Slow.o VTestHarness__18__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__19__Slow.o VTestHarness__19__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__20__Slow.o VTestHarness__20__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__21__Slow.o VTestHarness__21__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__22__Slow.o VTestHarness__22__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__23__Slow.o VTestHarness__23__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__24__Slow.o VTestHarness__24__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__25__Slow.o VTestHarness__25__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness___024unit__Slow.o VTestHarness___024unit__Slow.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__Dpi.o VTestHarness__Dpi.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__Syms.o VTestHarness__Syms.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__Syms__1.o VTestHarness__Syms__1.cpp +In file included from /usr/local/share/verilator/include/verilated.h:27:0, + from /usr/local/share/verilator/include/verilated_vcd_c.h:23, + from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, + from :0: +/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined + #define __STDC_FORMAT_MACROS + +:0:0: note: this is the location of the previous definition +ar -cr VTestHarness__ALL.a VTestHarness.o VTestHarness__1.o VTestHarness__2.o VTestHarness__3.o VTestHarness__4.o VTestHarness__5.o VTestHarness__6.o VTestHarness__7.o VTestHarness__8.o VTestHarness__9.o VTestHarness__10.o VTestHarness__11.o VTestHarness__12.o VTestHarness__13.o VTestHarness__14.o VTestHarness__15.o VTestHarness__16.o VTestHarness__17.o VTestHarness__18.o VTestHarness__19.o VTestHarness__20.o VTestHarness__21.o VTestHarness__22.o VTestHarness__23.o VTestHarness__24.o VTestHarness__25.o VTestHarness__26.o VTestHarness__27.o VTestHarness__28.o VTestHarness__29.o VTestHarness__30.o VTestHarness__31.o VTestHarness__32.o VTestHarness__33.o VTestHarness__34.o VTestHarness__35.o VTestHarness__36.o VTestHarness__37.o VTestHarness__38.o VTestHarness__39.o VTestHarness__40.o VTestHarness__41.o VTestHarness__42.o VTestHarness__43.o VTestHarness__44.o VTestHarness__45.o VTestHarness__46.o VTestHarness__47.o VTestHarness__48.o VTestHarness__49.o VTestHarness__50.o VTestHarness__51.o VTestHarness__52.o VTestHarness__53.o VTestHarness__54.o VTestHarness__55.o VTestHarness__56.o VTestHarness__57.o VTestHarness__58.o VTestHarness__59.o VTestHarness__60.o VTestHarness__61.o VTestHarness__62.o VTestHarness___024unit.o VTestHarness__Slow.o VTestHarness__1__Slow.o VTestHarness__2__Slow.o VTestHarness__3__Slow.o VTestHarness__4__Slow.o VTestHarness__5__Slow.o VTestHarness__6__Slow.o VTestHarness__7__Slow.o VTestHarness__8__Slow.o VTestHarness__9__Slow.o VTestHarness__10__Slow.o VTestHarness__11__Slow.o VTestHarness__12__Slow.o VTestHarness__13__Slow.o VTestHarness__14__Slow.o VTestHarness__15__Slow.o VTestHarness__16__Slow.o VTestHarness__17__Slow.o VTestHarness__18__Slow.o VTestHarness__19__Slow.o VTestHarness__20__Slow.o VTestHarness__21__Slow.o VTestHarness__22__Slow.o VTestHarness__23__Slow.o VTestHarness__24__Slow.o VTestHarness__25__Slow.o VTestHarness___024unit__Slow.o VTestHarness__Dpi.o VTestHarness__Syms.o VTestHarness__Syms__1.o +ranlib VTestHarness__ALL.a +g++ SimDRAM.o SimDTM.o SimJTAG.o SimSerial.o SimUART.o emulator.o mm.o mm_dramsim2.o remote_bitbang.o uart.o verilated.o verilated_dpi.o VTestHarness__ALL.a -L/home/riscvuser/chipyard/sims/verilator -lpthread /home/riscvuser/chipyard/riscv-tools-install/lib/libfesvr.a /home/riscvuser/chipyard/tools/DRAMSim2/libdramsim.a -o /home/riscvuser/chipyard/sims/verilator/simulator-chipyard-RocketConfig +make[1]: Leaving directory '/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig' +]0;riscvuser@dd954fd5a122: ~/chipyard/sims/verilatorriscvuser@dd954fd5a122:~/chipyard/sims/verilator$ ls +Makefile generated-src +dramsim2_ini simulator-chipyard-RocketConfig +]0;riscvuser@dd954fd5a122: ~/chipyard/sims/verilatorriscvuser@dd954fd5a122:~/chipyard/sims/verilator$ exit +logout +[schwarzem@a6:/scratch/schwarzem/chipyard]$ exit +exit + +Script done on 2020-12-22 19:53:47-0800 From b528058cc4ba0c87e3087b5660a4490668a715e5 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Mon, 11 Jan 2021 17:38:25 -0800 Subject: [PATCH 016/225] part 2 of removing log files --- aptget-sudo.txt | 231 - no-apget.txt | 70 - no-apt-utils.txt | 3403 -- verilator-no-env.txt | 68235 ----------------------------------------- 4 files changed, 71939 deletions(-) delete mode 100644 aptget-sudo.txt delete mode 100644 no-apget.txt delete mode 100644 no-apt-utils.txt delete mode 100644 verilator-no-env.txt diff --git a/aptget-sudo.txt b/aptget-sudo.txt deleted file mode 100644 index 5dc12d2945..0000000000 --- a/aptget-sudo.txt +++ /dev/null @@ -1,231 +0,0 @@ -Script started on 2020-12-22 18:21:21-0800 -[schwarzem@a6:/scratch/schwarzem/chipyard]$ docker buidld . -double free or corruption (out) -SIGABRT: abort -PC=0x7f17c6ce2fb7 m=0 sigcode=18446744073709551610 -signal arrived during cgo execution - -goroutine 1 [syscall, locked to thread]: -runtime.cgocall(0x4afd50, 0xc420061cc0, 0xc420061ce8) - /usr/lib/go-1.8/src/runtime/cgocall.go:131 +0xe2 fp=0xc420061c90 sp=0xc420061c50 -github.com/docker/docker-credential-helpers/secretservice._Cfunc_free(0x1fcd990) - github.com/docker/docker-credential-helpers/secretservice/_obj/_cgo_gotypes.go:111 +0x41 fp=0xc420061cc0 sp=0xc420061c90 -github.com/docker/docker-credential-helpers/secretservice.Secretservice.List.func5(0x1fcd990) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/secretservice/secretservice_linux.go:96 +0x60 fp=0xc420061cf8 sp=0xc420061cc0 -github.com/docker/docker-credential-helpers/secretservice.Secretservice.List(0x0, 0x756060, 0xc420016350) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/secretservice/secretservice_linux.go:97 +0x217 fp=0xc420061da0 sp=0xc420061cf8 -github.com/docker/docker-credential-helpers/secretservice.(*Secretservice).List(0x77e548, 0xc420061e88, 0x410022, 0xc4200162a0) - :4 +0x46 fp=0xc420061de0 sp=0xc420061da0 -github.com/docker/docker-credential-helpers/credentials.List(0x756ba0, 0x77e548, 0x7560e0, 0xc42000e018, 0x0, 0x10) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:145 +0x3e fp=0xc420061e68 sp=0xc420061de0 -github.com/docker/docker-credential-helpers/credentials.HandleCommand(0x756ba0, 0x77e548, 0x7ffd158c1b1c, 0x4, 0x7560a0, 0xc42000e010, 0x7560e0, 0xc42000e018, 0x40e398, 0x4d35c0) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:60 +0x16d fp=0xc420061ed8 sp=0xc420061e68 -github.com/docker/docker-credential-helpers/credentials.Serve(0x756ba0, 0x77e548) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:41 +0x1cb fp=0xc420061f58 sp=0xc420061ed8 -main.main() - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/secretservice/cmd/main_linux.go:9 +0x4f fp=0xc420061f88 sp=0xc420061f58 -runtime.main() - /usr/lib/go-1.8/src/runtime/proc.go:185 +0x20a fp=0xc420061fe0 sp=0xc420061f88 -runtime.goexit() - /usr/lib/go-1.8/src/runtime/asm_amd64.s:2197 +0x1 fp=0xc420061fe8 sp=0xc420061fe0 - -goroutine 17 [syscall, locked to thread]: -runtime.goexit() - /usr/lib/go-1.8/src/runtime/asm_amd64.s:2197 +0x1 - -rax 0x0 -rbx 0x7ffd158c0cc0 -rcx 0x7f17c6ce2fb7 -rdx 0x0 -rdi 0x2 -rsi 0x7ffd158c0a50 -rbp 0x7ffd158c0dc0 -rsp 0x7ffd158c0a50 -r8 0x0 -r9 0x7ffd158c0a50 -r10 0x8 -r11 0x246 -r12 0x7ffd158c0cc0 -r13 0x1000 -r14 0x0 -r15 0x30 -rip 0x7f17c6ce2fb7 -rflags 0x246 -cs 0x33 -fs 0x0 -gs 0x0 -Sending build context to Docker daemon 557.1kB Sending build context to Docker daemon 7.515MB -Step 1/12 : FROM ubuntu:18.04 - ---> 2c047404e52d -Step 2/12 : MAINTAINER jacobgadikian@gmail.com - ---> Using cache - ---> eada3313413a -Step 3/12 : RUN apt-get update && apt-get upgrade -y && apt-get install -y sudo - ---> Running in c27755861e07 -Get:1 http://security.ubuntu.com/ubuntu bionic-security InRelease [88.7 kB] -Get:2 http://archive.ubuntu.com/ubuntu bionic InRelease [242 kB] -Get:3 http://security.ubuntu.com/ubuntu bionic-security/restricted amd64 Packages [237 kB] -Get:4 http://security.ubuntu.com/ubuntu bionic-security/multiverse amd64 Packages [15.3 kB] -Get:5 http://security.ubuntu.com/ubuntu bionic-security/main amd64 Packages [1816 kB] -Get:6 http://archive.ubuntu.com/ubuntu bionic-updates InRelease [88.7 kB] -Get:7 http://security.ubuntu.com/ubuntu bionic-security/universe amd64 Packages [1372 kB] -Get:8 http://archive.ubuntu.com/ubuntu bionic-backports InRelease [74.6 kB] -Get:9 http://archive.ubuntu.com/ubuntu bionic/main amd64 Packages [1344 kB] -Get:10 http://archive.ubuntu.com/ubuntu bionic/restricted amd64 Packages [13.5 kB] -Get:11 http://archive.ubuntu.com/ubuntu bionic/multiverse amd64 Packages [186 kB] -Get:12 http://archive.ubuntu.com/ubuntu bionic/universe amd64 Packages [11.3 MB] -Get:13 http://archive.ubuntu.com/ubuntu bionic-updates/multiverse amd64 Packages [53.8 kB] -Get:14 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 Packages [2136 kB] -Get:15 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 Packages [2244 kB] -Get:16 http://archive.ubuntu.com/ubuntu bionic-updates/restricted amd64 Packages [266 kB] -Get:17 http://archive.ubuntu.com/ubuntu bionic-backports/main amd64 Packages [11.3 kB] -Get:18 http://archive.ubuntu.com/ubuntu bionic-backports/universe amd64 Packages [11.4 kB] -Fetched 21.5 MB in 4s (6089 kB/s) -Reading package lists... -Reading package lists... -Building dependency tree... -Reading state information... -Calculating upgrade... -The following packages will be upgraded: - apt libapt-pkg5.0 libc-bin libc6 -4 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. -Need to get 5482 kB of archives. -After this operation, 0 B of additional disk space will be used. -Get:1 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libc6 amd64 2.27-3ubuntu1.4 [2832 kB] -Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libc-bin amd64 2.27-3ubuntu1.4 [643 kB] -Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libapt-pkg5.0 amd64 1.6.12ubuntu0.2 [806 kB] -Get:4 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 apt amd64 1.6.12ubuntu0.2 [1201 kB] -debconf: delaying package configuration, since apt-utils is not installed -Fetched 5482 kB in 1s (3663 kB/s) -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) -Preparing to unpack .../libc6_2.27-3ubuntu1.4_amd64.deb ... -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -debconf: unable to initialize frontend: Readline -debconf: (Can't locate Term/ReadLine.pm in @INC (you may need to install the Term::ReadLine module) (@INC contains: /etc/perl /usr/local/lib/x86_64-linux-gnu/perl/5.26.1 /usr/local/share/perl/5.26.1 /usr/lib/x86_64-linux-gnu/perl5/5.26 /usr/share/perl5 /usr/lib/x86_64-linux-gnu/perl/5.26 /usr/share/perl/5.26 /usr/local/lib/site_perl /usr/lib/x86_64-linux-gnu/perl-base) at /usr/share/perl5/Debconf/FrontEnd/Readline.pm line 7.) -debconf: falling back to frontend: Teletype -Unpacking libc6:amd64 (2.27-3ubuntu1.4) over (2.27-3ubuntu1.3) ... -Setting up libc6:amd64 (2.27-3ubuntu1.4) ... -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -debconf: unable to initialize frontend: Readline -debconf: (Can't locate Term/ReadLine.pm in @INC (you may need to install the Term::ReadLine module) (@INC contains: /etc/perl /usr/local/lib/x86_64-linux-gnu/perl/5.26.1 /usr/local/share/perl/5.26.1 /usr/lib/x86_64-linux-gnu/perl5/5.26 /usr/share/perl5 /usr/lib/x86_64-linux-gnu/perl/5.26 /usr/share/perl/5.26 /usr/local/lib/site_perl /usr/lib/x86_64-linux-gnu/perl-base) at /usr/share/perl5/Debconf/FrontEnd/Readline.pm line 7.) -debconf: falling back to frontend: Teletype -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) -Preparing to unpack .../libc-bin_2.27-3ubuntu1.4_amd64.deb ... -Unpacking libc-bin (2.27-3ubuntu1.4) over (2.27-3ubuntu1.3) ... -Setting up libc-bin (2.27-3ubuntu1.4) ... -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) -Preparing to unpack .../libapt-pkg5.0_1.6.12ubuntu0.2_amd64.deb ... -Unpacking libapt-pkg5.0:amd64 (1.6.12ubuntu0.2) over (1.6.12ubuntu0.1) ... -Setting up libapt-pkg5.0:amd64 (1.6.12ubuntu0.2) ... -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) -Preparing to unpack .../apt_1.6.12ubuntu0.2_amd64.deb ... -Unpacking apt (1.6.12ubuntu0.2) over (1.6.12ubuntu0.1) ... -Setting up apt (1.6.12ubuntu0.2) ... -Processing triggers for libc-bin (2.27-3ubuntu1.4) ... -Reading package lists... -Building dependency tree... -Reading state information... -The following NEW packages will be installed: - sudo -0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. -Need to get 428 kB of archives. -After this operation, 1765 kB of additional disk space will be used. -Get:1 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 sudo amd64 1.8.21p2-3ubuntu1.3 [428 kB] -debconf: delaying package configuration, since apt-utils is not installed -Fetched 428 kB in 1s (441 kB/s) -Selecting previously unselected package sudo. -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) -Preparing to unpack .../sudo_1.8.21p2-3ubuntu1.3_amd64.deb ... -Unpacking sudo (1.8.21p2-3ubuntu1.3) ... -Setting up sudo (1.8.21p2-3ubuntu1.3) ... -Removing intermediate container c27755861e07 - ---> e698584146a9 -Step 4/12 : RUN groupadd --gid 3434 riscvuser && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep - ---> Running in 1e53f8d31d4e -Removing intermediate container 1e53f8d31d4e - ---> 15fd862c9209 -Step 5/12 : WORKDIR /home/riscvuser - ---> Running in b8f02acf19fc -Removing intermediate container b8f02acf19fc - ---> 02954f57aa07 -Step 6/12 : USER riscvuser - ---> Running in 798c5fb253c3 -Removing intermediate container 798c5fb253c3 - ---> 38b2cbaf0b9d -Step 7/12 : RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install -y keyboard-configuration && sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup - ---> Running in c7d1326a30e1 -Reading package lists... -Building dependency tree... -Reading state information... -The following additional packages will be installed: - liblocale-gettext-perl -The following NEW packages will be installed: - keyboard-configuration liblocale-gettext-perl -0 upgraded, 2 newly installed, 0 to remove and 0 not upgraded. -Need to get 386 kB of archives. -After this operation, 2675 kB of additional disk space will be used. -Get:1 http://archive.ubuntu.com/ubuntu bionic/main amd64 liblocale-gettext-perl amd64 1.07-3build2 [16.6 kB] -Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 keyboard-configuration all 1.178ubuntu2.9 [369 kB] -debconf: delaying package configuration, since apt-utils is not installed -Fetched 386 kB in 1s (366 kB/s) -Selecting previously unselected package liblocale-gettext-perl. -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4100 files and directories currently installed.) -Preparing to unpack .../liblocale-gettext-perl_1.07-3build2_amd64.deb ... -Unpacking liblocale-gettext-perl (1.07-3build2) ... -Selecting previously unselected package keyboard-configuration. -Preparing to unpack .../keyboard-configuration_1.178ubuntu2.9_all.deb ... -Unpacking keyboard-configuration (1.178ubuntu2.9) ... -Setting up liblocale-gettext-perl (1.07-3build2) ... -Setting up keyboard-configuration (1.178ubuntu2.9) ... -Your console font configuration will be updated the next time your system -boots. If you want to update it now, run 'setupcon' from a virtual console. -Reading package lists... -Building dependency tree... -Reading state information... -The following additional packages will be installed: - console-setup-linux kbd xkb-data -Suggested packages: - locales -The following NEW packages will be installed: - console-setup console-setup-linux kbd xkb-data -0 upgraded, 4 newly installed, 0 to remove and 0 not upgraded. -Need to get 1627 kB of archives. -After this operation, 6256 kB of additional disk space will be used. -Get:1 http://archive.ubuntu.com/ubuntu bionic/main amd64 kbd amd64 2.0.4-2ubuntu1 [215 kB] -Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 console-setup-linux all 1.178ubuntu2.9 [982 kB] -Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 xkb-data all 2.23.1-1ubuntu1.18.04.1 [325 kB] -Get:4 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 console-setup all 1.178ubuntu2.9 [105 kB] -debconf: delaying package configuration, since apt-utils is not installed -Fetched 1627 kB in 1s (1166 kB/s) -Selecting previously unselected package kbd. -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4139 files and directories currently installed.) -Preparing to unpack .../kbd_2.0.4-2ubuntu1_amd64.deb ... -Unpacking kbd (2.0.4-2ubuntu1) ... -Selecting previously unselected package console-setup-linux. -Preparing to unpack .../console-setup-linux_1.178ubuntu2.9_all.deb ... -Unpacking console-setup-linux (1.178ubuntu2.9) ... -Selecting previously unselected package xkb-data. -Preparing to unpack .../xkb-data_2.23.1-1ubuntu1.18.04.1_all.deb ... -Unpacking xkb-data (2.23.1-1ubuntu1.18.04.1) ... -Selecting previously unselected package console-setup. -Preparing to unpack .../console-setup_1.178ubuntu2.9_all.deb ... -Unpacking console-setup (1.178ubuntu2.9) ... -Setting up xkb-data (2.23.1-1ubuntu1.18.04.1) ... -Setting up console-setup-linux (1.178ubuntu2.9) ... -update-alternatives: using /etc/console-setup/vtrgb to provide /etc/vtrgb (vtrgb) in auto mode -Setting up console-setup (1.178ubuntu2.9) ... -Setting up kbd (2.0.4-2ubuntu1) ... -Removing intermediate container c7d1326a30e1 - ---> e7015c0130f5 -Step 8/12 : RUN git clone https://github.com/schwarz-em/chipyard.git && cd chipyard && ./scripts/ubuntu-req.sh 1>/dev/null - ---> Running in f5878ae67d8a -/bin/sh: 1: git: not found -The command '/bin/sh -c git clone https://github.com/schwarz-em/chipyard.git && cd chipyard && ./scripts/ubuntu-req.sh 1>/dev/null' returned a non-zero code: 127 -[schwarzem@a6:/scratch/schwarzem/chipyard]$ docker build .sciript no-tapaptaptutils-utilsexit -exit - -Script done on 2020-12-22 18:25:28-0800 diff --git a/no-apget.txt b/no-apget.txt deleted file mode 100644 index 78d690a15c..0000000000 --- a/no-apget.txt +++ /dev/null @@ -1,70 +0,0 @@ -Script started on 2020-12-22 18:19:10-0800 -[schwarzem@a6:/scratch/schwarzem/chipyard]$ docker build . -double free or corruption (out) -SIGABRT: abort -PC=0x7fdf84420fb7 m=0 sigcode=18446744073709551610 -signal arrived during cgo execution - -goroutine 1 [syscall, locked to thread]: -runtime.cgocall(0x4afd50, 0xc420061cc0, 0xc420061ce8) - /usr/lib/go-1.8/src/runtime/cgocall.go:131 +0xe2 fp=0xc420061c90 sp=0xc420061c50 -github.com/docker/docker-credential-helpers/secretservice._Cfunc_free(0x1a91990) - github.com/docker/docker-credential-helpers/secretservice/_obj/_cgo_gotypes.go:111 +0x41 fp=0xc420061cc0 sp=0xc420061c90 -github.com/docker/docker-credential-helpers/secretservice.Secretservice.List.func5(0x1a91990) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/secretservice/secretservice_linux.go:96 +0x60 fp=0xc420061cf8 sp=0xc420061cc0 -github.com/docker/docker-credential-helpers/secretservice.Secretservice.List(0x0, 0x756060, 0xc420016350) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/secretservice/secretservice_linux.go:97 +0x217 fp=0xc420061da0 sp=0xc420061cf8 -github.com/docker/docker-credential-helpers/secretservice.(*Secretservice).List(0x77e548, 0xc420061e88, 0x410022, 0xc4200162a0) - :4 +0x46 fp=0xc420061de0 sp=0xc420061da0 -github.com/docker/docker-credential-helpers/credentials.List(0x756ba0, 0x77e548, 0x7560e0, 0xc42000e018, 0x0, 0x10) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:145 +0x3e fp=0xc420061e68 sp=0xc420061de0 -github.com/docker/docker-credential-helpers/credentials.HandleCommand(0x756ba0, 0x77e548, 0x7ffdff7d8b1c, 0x4, 0x7560a0, 0xc42000e010, 0x7560e0, 0xc42000e018, 0x40e398, 0x4d35c0) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:60 +0x16d fp=0xc420061ed8 sp=0xc420061e68 -github.com/docker/docker-credential-helpers/credentials.Serve(0x756ba0, 0x77e548) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:41 +0x1cb fp=0xc420061f58 sp=0xc420061ed8 -main.main() - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/secretservice/cmd/main_linux.go:9 +0x4f fp=0xc420061f88 sp=0xc420061f58 -runtime.main() - /usr/lib/go-1.8/src/runtime/proc.go:185 +0x20a fp=0xc420061fe0 sp=0xc420061f88 -runtime.goexit() - /usr/lib/go-1.8/src/runtime/asm_amd64.s:2197 +0x1 fp=0xc420061fe8 sp=0xc420061fe0 - -goroutine 17 [syscall, locked to thread]: -runtime.goexit() - /usr/lib/go-1.8/src/runtime/asm_amd64.s:2197 +0x1 - -rax 0x0 -rbx 0x7ffdff7d79c0 -rcx 0x7fdf84420fb7 -rdx 0x0 -rdi 0x2 -rsi 0x7ffdff7d7750 -rbp 0x7ffdff7d7ac0 -rsp 0x7ffdff7d7750 -r8 0x0 -r9 0x7ffdff7d7750 -r10 0x8 -r11 0x246 -r12 0x7ffdff7d79c0 -r13 0x1000 -r14 0x0 -r15 0x30 -rip 0x7fdf84420fb7 -rflags 0x246 -cs 0x33 -fs 0x0 -gs 0x0 -Sending build context to Docker daemon 557.1kB Sending build context to Docker daemon 7.51MB -Step 1/11 : FROM ubuntu:18.04 - ---> 2c047404e52d -Step 2/11 : MAINTAINER jacobgadikian@gmail.com - ---> Using cache - ---> eada3313413a -Step 3/11 : RUN groupadd --gid 3434 riscvuser && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep - ---> Running in e95c9de803d8 -/bin/sh: 1: cannot create /etc/sudoers.d/50-riscvuser: Directory nonexistent -The command '/bin/sh -c groupadd --gid 3434 riscvuser && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep' returned a non-zero code: 2 -[schwarzem@a6:/scratch/schwarzem/chipyard]$ exit -exit - -Script done on 2020-12-22 18:20:07-0800 diff --git a/no-apt-utils.txt b/no-apt-utils.txt deleted file mode 100644 index 0a52582c5b..0000000000 --- a/no-apt-utils.txt +++ /dev/null @@ -1,3403 +0,0 @@ -Script started on 2020-12-22 18:25:39-0800 -[schwarzem@a6:/scratch/schwarzem/chipyard]$ docker build . -double free or corruption (out) -SIGABRT: abort -PC=0x7f9d7fa1bfb7 m=0 sigcode=18446744073709551610 -signal arrived during cgo execution - -goroutine 1 [syscall, locked to thread]: -runtime.cgocall(0x4afd50, 0xc420061cc0, 0xc420061ce8) - /usr/lib/go-1.8/src/runtime/cgocall.go:131 +0xe2 fp=0xc420061c90 sp=0xc420061c50 -github.com/docker/docker-credential-helpers/secretservice._Cfunc_free(0x1a0f990) - github.com/docker/docker-credential-helpers/secretservice/_obj/_cgo_gotypes.go:111 +0x41 fp=0xc420061cc0 sp=0xc420061c90 -github.com/docker/docker-credential-helpers/secretservice.Secretservice.List.func5(0x1a0f990) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/secretservice/secretservice_linux.go:96 +0x60 fp=0xc420061cf8 sp=0xc420061cc0 -github.com/docker/docker-credential-helpers/secretservice.Secretservice.List(0x0, 0x756060, 0xc420016350) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/secretservice/secretservice_linux.go:97 +0x217 fp=0xc420061da0 sp=0xc420061cf8 -github.com/docker/docker-credential-helpers/secretservice.(*Secretservice).List(0x77e548, 0xc420061e88, 0x410022, 0xc4200162a0) - :4 +0x46 fp=0xc420061de0 sp=0xc420061da0 -github.com/docker/docker-credential-helpers/credentials.List(0x756ba0, 0x77e548, 0x7560e0, 0xc42000e018, 0x0, 0x10) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:145 +0x3e fp=0xc420061e68 sp=0xc420061de0 -github.com/docker/docker-credential-helpers/credentials.HandleCommand(0x756ba0, 0x77e548, 0x7ffe380a4b1c, 0x4, 0x7560a0, 0xc42000e010, 0x7560e0, 0xc42000e018, 0x40e398, 0x4d35c0) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:60 +0x16d fp=0xc420061ed8 sp=0xc420061e68 -github.com/docker/docker-credential-helpers/credentials.Serve(0x756ba0, 0x77e548) - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/obj-x86_64-linux-gnu/src/github.com/docker/docker-credential-helpers/credentials/credentials.go:41 +0x1cb fp=0xc420061f58 sp=0xc420061ed8 -main.main() - /build/golang-github-docker-docker-credential-helpers-cMhSy1/golang-github-docker-docker-credential-helpers-0.5.0/secretservice/cmd/main_linux.go:9 +0x4f fp=0xc420061f88 sp=0xc420061f58 -runtime.main() - /usr/lib/go-1.8/src/runtime/proc.go:185 +0x20a fp=0xc420061fe0 sp=0xc420061f88 -runtime.goexit() - /usr/lib/go-1.8/src/runtime/asm_amd64.s:2197 +0x1 fp=0xc420061fe8 sp=0xc420061fe0 - -goroutine 17 [syscall, locked to thread]: -runtime.goexit() - /usr/lib/go-1.8/src/runtime/asm_amd64.s:2197 +0x1 - -rax 0x0 -rbx 0x7ffe380a2dd0 -rcx 0x7f9d7fa1bfb7 -rdx 0x0 -rdi 0x2 -rsi 0x7ffe380a2b60 -rbp 0x7ffe380a2ed0 -rsp 0x7ffe380a2b60 -r8 0x0 -r9 0x7ffe380a2b60 -r10 0x8 -r11 0x246 -r12 0x7ffe380a2dd0 -r13 0x1000 -r14 0x0 -r15 0x30 -rip 0x7f9d7fa1bfb7 -rflags 0x246 -cs 0x33 -fs 0x0 -gs 0x0 -Sending build context to Docker daemon 557.1kB Sending build context to Docker daemon 7.535MB -Step 1/12 : FROM ubuntu:18.04 - ---> 2c047404e52d -Step 2/12 : MAINTAINER jacobgadikian@gmail.com - ---> Using cache - ---> eada3313413a -Step 3/12 : RUN apt-get update && apt-get upgrade -y && apt-get install -y curl git sudo - ---> Running in 1c41b0062191 -Get:1 http://security.ubuntu.com/ubuntu bionic-security InRelease [88.7 kB] -Get:2 http://archive.ubuntu.com/ubuntu bionic InRelease [242 kB] -Get:3 http://security.ubuntu.com/ubuntu bionic-security/main amd64 Packages [1816 kB] -Get:4 http://archive.ubuntu.com/ubuntu bionic-updates InRelease [88.7 kB] -Get:5 http://security.ubuntu.com/ubuntu bionic-security/restricted amd64 Packages [237 kB] -Get:6 http://security.ubuntu.com/ubuntu bionic-security/universe amd64 Packages [1372 kB] -Get:7 http://security.ubuntu.com/ubuntu bionic-security/multiverse amd64 Packages [15.3 kB] -Get:8 http://archive.ubuntu.com/ubuntu bionic-backports InRelease [74.6 kB] -Get:9 http://archive.ubuntu.com/ubuntu bionic/universe amd64 Packages [11.3 MB] -Get:10 http://archive.ubuntu.com/ubuntu bionic/restricted amd64 Packages [13.5 kB] -Get:11 http://archive.ubuntu.com/ubuntu bionic/main amd64 Packages [1344 kB] -Get:12 http://archive.ubuntu.com/ubuntu bionic/multiverse amd64 Packages [186 kB] -Get:13 http://archive.ubuntu.com/ubuntu bionic-updates/universe amd64 Packages [2136 kB] -Get:14 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 Packages [2244 kB] -Get:15 http://archive.ubuntu.com/ubuntu bionic-updates/multiverse amd64 Packages [53.8 kB] -Get:16 http://archive.ubuntu.com/ubuntu bionic-updates/restricted amd64 Packages [266 kB] -Get:17 http://archive.ubuntu.com/ubuntu bionic-backports/universe amd64 Packages [11.4 kB] -Get:18 http://archive.ubuntu.com/ubuntu bionic-backports/main amd64 Packages [11.3 kB] -Fetched 21.5 MB in 3s (6280 kB/s) -Reading package lists... -Reading package lists... -Building dependency tree... -Reading state information... -Calculating upgrade... -The following packages will be upgraded: - apt libapt-pkg5.0 libc-bin libc6 -4 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. -Need to get 5482 kB of archives. -After this operation, 0 B of additional disk space will be used. -Get:1 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libc6 amd64 2.27-3ubuntu1.4 [2832 kB] -Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libc-bin amd64 2.27-3ubuntu1.4 [643 kB] -Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libapt-pkg5.0 amd64 1.6.12ubuntu0.2 [806 kB] -Get:4 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 apt amd64 1.6.12ubuntu0.2 [1201 kB] -debconf: delaying package configuration, since apt-utils is not installed -Fetched 5482 kB in 2s (3510 kB/s) -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) -Preparing to unpack .../libc6_2.27-3ubuntu1.4_amd64.deb ... -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -debconf: unable to initialize frontend: Readline -debconf: (Can't locate Term/ReadLine.pm in @INC (you may need to install the Term::ReadLine module) (@INC contains: /etc/perl /usr/local/lib/x86_64-linux-gnu/perl/5.26.1 /usr/local/share/perl/5.26.1 /usr/lib/x86_64-linux-gnu/perl5/5.26 /usr/share/perl5 /usr/lib/x86_64-linux-gnu/perl/5.26 /usr/share/perl/5.26 /usr/local/lib/site_perl /usr/lib/x86_64-linux-gnu/perl-base) at /usr/share/perl5/Debconf/FrontEnd/Readline.pm line 7.) -debconf: falling back to frontend: Teletype -Unpacking libc6:amd64 (2.27-3ubuntu1.4) over (2.27-3ubuntu1.3) ... -Setting up libc6:amd64 (2.27-3ubuntu1.4) ... -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -debconf: unable to initialize frontend: Readline -debconf: (Can't locate Term/ReadLine.pm in @INC (you may need to install the Term::ReadLine module) (@INC contains: /etc/perl /usr/local/lib/x86_64-linux-gnu/perl/5.26.1 /usr/local/share/perl/5.26.1 /usr/lib/x86_64-linux-gnu/perl5/5.26 /usr/share/perl5 /usr/lib/x86_64-linux-gnu/perl/5.26 /usr/share/perl/5.26 /usr/local/lib/site_perl /usr/lib/x86_64-linux-gnu/perl-base) at /usr/share/perl5/Debconf/FrontEnd/Readline.pm line 7.) -debconf: falling back to frontend: Teletype -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) -Preparing to unpack .../libc-bin_2.27-3ubuntu1.4_amd64.deb ... -Unpacking libc-bin (2.27-3ubuntu1.4) over (2.27-3ubuntu1.3) ... -Setting up libc-bin (2.27-3ubuntu1.4) ... -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) -Preparing to unpack .../libapt-pkg5.0_1.6.12ubuntu0.2_amd64.deb ... -Unpacking libapt-pkg5.0:amd64 (1.6.12ubuntu0.2) over (1.6.12ubuntu0.1) ... -Setting up libapt-pkg5.0:amd64 (1.6.12ubuntu0.2) ... -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) -Preparing to unpack .../apt_1.6.12ubuntu0.2_amd64.deb ... -Unpacking apt (1.6.12ubuntu0.2) over (1.6.12ubuntu0.1) ... -Setting up apt (1.6.12ubuntu0.2) ... -Processing triggers for libc-bin (2.27-3ubuntu1.4) ... -Reading package lists... -Building dependency tree... -Reading state information... -The following additional packages will be installed: - ca-certificates git-man krb5-locales less libasn1-8-heimdal libbsd0 - libcurl3-gnutls libcurl4 libedit2 liberror-perl libexpat1 libgdbm-compat4 - libgdbm5 libgssapi-krb5-2 libgssapi3-heimdal libhcrypto4-heimdal - libheimbase1-heimdal libheimntlm0-heimdal libhx509-5-heimdal libk5crypto3 - libkeyutils1 libkrb5-26-heimdal libkrb5-3 libkrb5support0 libldap-2.4-2 - libldap-common libnghttp2-14 libperl5.26 libpsl5 libroken18-heimdal librtmp1 - libsasl2-2 libsasl2-modules libsasl2-modules-db libsqlite3-0 libssl1.0.0 - libssl1.1 libwind0-heimdal libx11-6 libx11-data libxau6 libxcb1 libxdmcp6 - libxext6 libxmuu1 multiarch-support netbase openssh-client openssl patch - perl perl-modules-5.26 publicsuffix xauth -Suggested packages: - gettext-base git-daemon-run | git-daemon-sysvinit git-doc git-el git-email - git-gui gitk gitweb git-cvs git-mediawiki git-svn gdbm-l10n krb5-doc - krb5-user libsasl2-modules-gssapi-mit | libsasl2-modules-gssapi-heimdal - libsasl2-modules-ldap libsasl2-modules-otp libsasl2-modules-sql keychain - libpam-ssh monkeysphere ssh-askpass ed diffutils-doc perl-doc - libterm-readline-gnu-perl | libterm-readline-perl-perl make -The following NEW packages will be installed: - ca-certificates curl git git-man krb5-locales less libasn1-8-heimdal libbsd0 - libcurl3-gnutls libcurl4 libedit2 liberror-perl libexpat1 libgdbm-compat4 - libgdbm5 libgssapi-krb5-2 libgssapi3-heimdal libhcrypto4-heimdal - libheimbase1-heimdal libheimntlm0-heimdal libhx509-5-heimdal libk5crypto3 - libkeyutils1 libkrb5-26-heimdal libkrb5-3 libkrb5support0 libldap-2.4-2 - libldap-common libnghttp2-14 libperl5.26 libpsl5 libroken18-heimdal librtmp1 - libsasl2-2 libsasl2-modules libsasl2-modules-db libsqlite3-0 libssl1.0.0 - libssl1.1 libwind0-heimdal libx11-6 libx11-data libxau6 libxcb1 libxdmcp6 - libxext6 libxmuu1 multiarch-support netbase openssh-client openssl patch - perl perl-modules-5.26 publicsuffix sudo xauth -0 upgraded, 57 newly installed, 0 to remove and 0 not upgraded. -Need to get 19.7 MB of archives. -After this operation, 105 MB of additional disk space will be used. -Get:1 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 multiarch-support amd64 2.27-3ubuntu1.4 [6944 B] -Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libxau6 amd64 1:1.0.8-1ubuntu1 [7556 B] -Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libbsd0 amd64 0.8.7-1ubuntu0.1 [41.6 kB] -Get:4 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxdmcp6 amd64 1:1.1.2-3 [10.7 kB] -Get:5 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libxcb1 amd64 1.13-2~ubuntu18.04 [45.5 kB] -Get:6 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libx11-data all 2:1.6.4-3ubuntu0.3 [114 kB] -Get:7 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libx11-6 amd64 2:1.6.4-3ubuntu0.3 [571 kB] -Get:8 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxext6 amd64 2:1.3.3-1 [29.4 kB] -Get:9 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 perl-modules-5.26 all 5.26.1-6ubuntu0.5 [2762 kB] -Get:10 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgdbm5 amd64 1.14.1-6 [26.0 kB] -Get:11 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgdbm-compat4 amd64 1.14.1-6 [6084 B] -Get:12 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libperl5.26 amd64 5.26.1-6ubuntu0.5 [3534 kB] -Get:13 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 perl amd64 5.26.1-6ubuntu0.5 [201 kB] -Get:14 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libssl1.1 amd64 1.1.1-1ubuntu2.1~18.04.7 [1301 kB] -Get:15 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 openssl amd64 1.1.1-1ubuntu2.1~18.04.7 [614 kB] -Get:16 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 ca-certificates all 20201027ubuntu0.18.04.1 [153 kB] -Get:17 http://archive.ubuntu.com/ubuntu bionic/main amd64 less amd64 487-0.1 [112 kB] -Get:18 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libexpat1 amd64 2.2.5-3ubuntu0.2 [80.5 kB] -Get:19 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libsqlite3-0 amd64 3.22.0-1ubuntu0.4 [499 kB] -Get:20 http://archive.ubuntu.com/ubuntu bionic/main amd64 netbase all 5.4 [12.7 kB] -Get:21 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 sudo amd64 1.8.21p2-3ubuntu1.3 [428 kB] -Get:22 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 krb5-locales all 1.16-2ubuntu0.2 [13.4 kB] -Get:23 http://archive.ubuntu.com/ubuntu bionic/main amd64 libedit2 amd64 3.1-20170329-1 [76.9 kB] -Get:24 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libkrb5support0 amd64 1.16-2ubuntu0.2 [30.8 kB] -Get:25 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libk5crypto3 amd64 1.16-2ubuntu0.2 [85.5 kB] -Get:26 http://archive.ubuntu.com/ubuntu bionic/main amd64 libkeyutils1 amd64 1.5.9-9.2ubuntu2 [8720 B] -Get:27 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libkrb5-3 amd64 1.16-2ubuntu0.2 [279 kB] -Get:28 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libgssapi-krb5-2 amd64 1.16-2ubuntu0.2 [122 kB] -Get:29 http://archive.ubuntu.com/ubuntu bionic/main amd64 libpsl5 amd64 0.19.1-5build1 [41.8 kB] -Get:30 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libssl1.0.0 amd64 1.0.2n-1ubuntu5.5 [1088 kB] -Get:31 http://archive.ubuntu.com/ubuntu bionic/main amd64 libxmuu1 amd64 2:1.1.2-2 [9674 B] -Get:32 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 openssh-client amd64 1:7.6p1-4ubuntu0.3 [614 kB] -Get:33 http://archive.ubuntu.com/ubuntu bionic/main amd64 publicsuffix all 20180223.1310-1 [97.6 kB] -Get:34 http://archive.ubuntu.com/ubuntu bionic/main amd64 xauth amd64 1:1.0.10-1 [24.6 kB] -Get:35 http://archive.ubuntu.com/ubuntu bionic/main amd64 libroken18-heimdal amd64 7.5.0+dfsg-1 [41.3 kB] -Get:36 http://archive.ubuntu.com/ubuntu bionic/main amd64 libasn1-8-heimdal amd64 7.5.0+dfsg-1 [175 kB] -Get:37 http://archive.ubuntu.com/ubuntu bionic/main amd64 libheimbase1-heimdal amd64 7.5.0+dfsg-1 [29.3 kB] -Get:38 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhcrypto4-heimdal amd64 7.5.0+dfsg-1 [85.9 kB] -Get:39 http://archive.ubuntu.com/ubuntu bionic/main amd64 libwind0-heimdal amd64 7.5.0+dfsg-1 [47.8 kB] -Get:40 http://archive.ubuntu.com/ubuntu bionic/main amd64 libhx509-5-heimdal amd64 7.5.0+dfsg-1 [107 kB] -Get:41 http://archive.ubuntu.com/ubuntu bionic/main amd64 libkrb5-26-heimdal amd64 7.5.0+dfsg-1 [206 kB] -Get:42 http://archive.ubuntu.com/ubuntu bionic/main amd64 libheimntlm0-heimdal amd64 7.5.0+dfsg-1 [14.8 kB] -Get:43 http://archive.ubuntu.com/ubuntu bionic/main amd64 libgssapi3-heimdal amd64 7.5.0+dfsg-1 [96.5 kB] -Get:44 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libsasl2-modules-db amd64 2.1.27~101-g0780600+dfsg-3ubuntu2.1 [14.8 kB] -Get:45 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libsasl2-2 amd64 2.1.27~101-g0780600+dfsg-3ubuntu2.1 [49.2 kB] -Get:46 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libldap-common all 2.4.45+dfsg-1ubuntu1.8 [17.0 kB] -Get:47 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libldap-2.4-2 amd64 2.4.45+dfsg-1ubuntu1.8 [155 kB] -Get:48 http://archive.ubuntu.com/ubuntu bionic/main amd64 libnghttp2-14 amd64 1.30.0-1ubuntu1 [77.8 kB] -Get:49 http://archive.ubuntu.com/ubuntu bionic/main amd64 librtmp1 amd64 2.4+20151223.gitfa8646d.1-1 [54.2 kB] -Get:50 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libcurl4 amd64 7.58.0-2ubuntu3.12 [214 kB] -Get:51 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 curl amd64 7.58.0-2ubuntu3.12 [159 kB] -Get:52 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libcurl3-gnutls amd64 7.58.0-2ubuntu3.12 [212 kB] -Get:53 http://archive.ubuntu.com/ubuntu bionic/main amd64 liberror-perl all 0.17025-1 [22.8 kB] -Get:54 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 git-man all 1:2.17.1-1ubuntu0.7 [804 kB] -Get:55 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 git amd64 1:2.17.1-1ubuntu0.7 [3915 kB] -Get:56 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 libsasl2-modules amd64 2.1.27~101-g0780600+dfsg-3ubuntu2.1 [48.8 kB] -Get:57 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 patch amd64 2.7.6-2ubuntu1.1 [102 kB] -debconf: delaying package configuration, since apt-utils is not installed -Fetched 19.7 MB in 3s (6627 kB/s) -Selecting previously unselected package multiarch-support. -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4045 files and directories currently installed.) -Preparing to unpack .../0-multiarch-support_2.27-3ubuntu1.4_amd64.deb ... -Unpacking multiarch-support (2.27-3ubuntu1.4) ... -Selecting previously unselected package libxau6:amd64. -Preparing to unpack .../1-libxau6_1%3a1.0.8-1ubuntu1_amd64.deb ... -Unpacking libxau6:amd64 (1:1.0.8-1ubuntu1) ... -Selecting previously unselected package libbsd0:amd64. -Preparing to unpack .../2-libbsd0_0.8.7-1ubuntu0.1_amd64.deb ... -Unpacking libbsd0:amd64 (0.8.7-1ubuntu0.1) ... -Selecting previously unselected package libxdmcp6:amd64. -Preparing to unpack .../3-libxdmcp6_1%3a1.1.2-3_amd64.deb ... -Unpacking libxdmcp6:amd64 (1:1.1.2-3) ... -Selecting previously unselected package libxcb1:amd64. -Preparing to unpack .../4-libxcb1_1.13-2~ubuntu18.04_amd64.deb ... -Unpacking libxcb1:amd64 (1.13-2~ubuntu18.04) ... -Selecting previously unselected package libx11-data. -Preparing to unpack .../5-libx11-data_2%3a1.6.4-3ubuntu0.3_all.deb ... -Unpacking libx11-data (2:1.6.4-3ubuntu0.3) ... -Selecting previously unselected package libx11-6:amd64. -Preparing to unpack .../6-libx11-6_2%3a1.6.4-3ubuntu0.3_amd64.deb ... -Unpacking libx11-6:amd64 (2:1.6.4-3ubuntu0.3) ... -Setting up multiarch-support (2.27-3ubuntu1.4) ... -Selecting previously unselected package libxext6:amd64. -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4335 files and directories currently installed.) -Preparing to unpack .../00-libxext6_2%3a1.3.3-1_amd64.deb ... -Unpacking libxext6:amd64 (2:1.3.3-1) ... -Selecting previously unselected package perl-modules-5.26. -Preparing to unpack .../01-perl-modules-5.26_5.26.1-6ubuntu0.5_all.deb ... -Unpacking perl-modules-5.26 (5.26.1-6ubuntu0.5) ... -Selecting previously unselected package libgdbm5:amd64. -Preparing to unpack .../02-libgdbm5_1.14.1-6_amd64.deb ... -Unpacking libgdbm5:amd64 (1.14.1-6) ... -Selecting previously unselected package libgdbm-compat4:amd64. -Preparing to unpack .../03-libgdbm-compat4_1.14.1-6_amd64.deb ... -Unpacking libgdbm-compat4:amd64 (1.14.1-6) ... -Selecting previously unselected package libperl5.26:amd64. -Preparing to unpack .../04-libperl5.26_5.26.1-6ubuntu0.5_amd64.deb ... -Unpacking libperl5.26:amd64 (5.26.1-6ubuntu0.5) ... -Selecting previously unselected package perl. -Preparing to unpack .../05-perl_5.26.1-6ubuntu0.5_amd64.deb ... -Unpacking perl (5.26.1-6ubuntu0.5) ... -Selecting previously unselected package libssl1.1:amd64. -Preparing to unpack .../06-libssl1.1_1.1.1-1ubuntu2.1~18.04.7_amd64.deb ... -Unpacking libssl1.1:amd64 (1.1.1-1ubuntu2.1~18.04.7) ... -Selecting previously unselected package openssl. -Preparing to unpack .../07-openssl_1.1.1-1ubuntu2.1~18.04.7_amd64.deb ... -Unpacking openssl (1.1.1-1ubuntu2.1~18.04.7) ... -Selecting previously unselected package ca-certificates. -Preparing to unpack .../08-ca-certificates_20201027ubuntu0.18.04.1_all.deb ... -Unpacking ca-certificates (20201027ubuntu0.18.04.1) ... -Selecting previously unselected package less. -Preparing to unpack .../09-less_487-0.1_amd64.deb ... -Unpacking less (487-0.1) ... -Selecting previously unselected package libexpat1:amd64. -Preparing to unpack .../10-libexpat1_2.2.5-3ubuntu0.2_amd64.deb ... -Unpacking libexpat1:amd64 (2.2.5-3ubuntu0.2) ... -Selecting previously unselected package libsqlite3-0:amd64. -Preparing to unpack .../11-libsqlite3-0_3.22.0-1ubuntu0.4_amd64.deb ... -Unpacking libsqlite3-0:amd64 (3.22.0-1ubuntu0.4) ... -Selecting previously unselected package netbase. -Preparing to unpack .../12-netbase_5.4_all.deb ... -Unpacking netbase (5.4) ... -Selecting previously unselected package sudo. -Preparing to unpack .../13-sudo_1.8.21p2-3ubuntu1.3_amd64.deb ... -Unpacking sudo (1.8.21p2-3ubuntu1.3) ... -Selecting previously unselected package krb5-locales. -Preparing to unpack .../14-krb5-locales_1.16-2ubuntu0.2_all.deb ... -Unpacking krb5-locales (1.16-2ubuntu0.2) ... -Selecting previously unselected package libedit2:amd64. -Preparing to unpack .../15-libedit2_3.1-20170329-1_amd64.deb ... -Unpacking libedit2:amd64 (3.1-20170329-1) ... -Selecting previously unselected package libkrb5support0:amd64. -Preparing to unpack .../16-libkrb5support0_1.16-2ubuntu0.2_amd64.deb ... -Unpacking libkrb5support0:amd64 (1.16-2ubuntu0.2) ... -Selecting previously unselected package libk5crypto3:amd64. -Preparing to unpack .../17-libk5crypto3_1.16-2ubuntu0.2_amd64.deb ... -Unpacking libk5crypto3:amd64 (1.16-2ubuntu0.2) ... -Selecting previously unselected package libkeyutils1:amd64. -Preparing to unpack .../18-libkeyutils1_1.5.9-9.2ubuntu2_amd64.deb ... -Unpacking libkeyutils1:amd64 (1.5.9-9.2ubuntu2) ... -Selecting previously unselected package libkrb5-3:amd64. -Preparing to unpack .../19-libkrb5-3_1.16-2ubuntu0.2_amd64.deb ... -Unpacking libkrb5-3:amd64 (1.16-2ubuntu0.2) ... -Selecting previously unselected package libgssapi-krb5-2:amd64. -Preparing to unpack .../20-libgssapi-krb5-2_1.16-2ubuntu0.2_amd64.deb ... -Unpacking libgssapi-krb5-2:amd64 (1.16-2ubuntu0.2) ... -Selecting previously unselected package libpsl5:amd64. -Preparing to unpack .../21-libpsl5_0.19.1-5build1_amd64.deb ... -Unpacking libpsl5:amd64 (0.19.1-5build1) ... -Selecting previously unselected package libssl1.0.0:amd64. -Preparing to unpack .../22-libssl1.0.0_1.0.2n-1ubuntu5.5_amd64.deb ... -Unpacking libssl1.0.0:amd64 (1.0.2n-1ubuntu5.5) ... -Selecting previously unselected package libxmuu1:amd64. -Preparing to unpack .../23-libxmuu1_2%3a1.1.2-2_amd64.deb ... -Unpacking libxmuu1:amd64 (2:1.1.2-2) ... -Selecting previously unselected package openssh-client. -Preparing to unpack .../24-openssh-client_1%3a7.6p1-4ubuntu0.3_amd64.deb ... -Unpacking openssh-client (1:7.6p1-4ubuntu0.3) ... -Selecting previously unselected package publicsuffix. -Preparing to unpack .../25-publicsuffix_20180223.1310-1_all.deb ... -Unpacking publicsuffix (20180223.1310-1) ... -Selecting previously unselected package xauth. -Preparing to unpack .../26-xauth_1%3a1.0.10-1_amd64.deb ... -Unpacking xauth (1:1.0.10-1) ... -Selecting previously unselected package libroken18-heimdal:amd64. -Preparing to unpack .../27-libroken18-heimdal_7.5.0+dfsg-1_amd64.deb ... -Unpacking libroken18-heimdal:amd64 (7.5.0+dfsg-1) ... -Selecting previously unselected package libasn1-8-heimdal:amd64. -Preparing to unpack .../28-libasn1-8-heimdal_7.5.0+dfsg-1_amd64.deb ... -Unpacking libasn1-8-heimdal:amd64 (7.5.0+dfsg-1) ... -Selecting previously unselected package libheimbase1-heimdal:amd64. -Preparing to unpack .../29-libheimbase1-heimdal_7.5.0+dfsg-1_amd64.deb ... -Unpacking libheimbase1-heimdal:amd64 (7.5.0+dfsg-1) ... -Selecting previously unselected package libhcrypto4-heimdal:amd64. -Preparing to unpack .../30-libhcrypto4-heimdal_7.5.0+dfsg-1_amd64.deb ... -Unpacking libhcrypto4-heimdal:amd64 (7.5.0+dfsg-1) ... -Selecting previously unselected package libwind0-heimdal:amd64. -Preparing to unpack .../31-libwind0-heimdal_7.5.0+dfsg-1_amd64.deb ... -Unpacking libwind0-heimdal:amd64 (7.5.0+dfsg-1) ... -Selecting previously unselected package libhx509-5-heimdal:amd64. -Preparing to unpack .../32-libhx509-5-heimdal_7.5.0+dfsg-1_amd64.deb ... -Unpacking libhx509-5-heimdal:amd64 (7.5.0+dfsg-1) ... -Selecting previously unselected package libkrb5-26-heimdal:amd64. -Preparing to unpack .../33-libkrb5-26-heimdal_7.5.0+dfsg-1_amd64.deb ... -Unpacking libkrb5-26-heimdal:amd64 (7.5.0+dfsg-1) ... -Selecting previously unselected package libheimntlm0-heimdal:amd64. -Preparing to unpack .../34-libheimntlm0-heimdal_7.5.0+dfsg-1_amd64.deb ... -Unpacking libheimntlm0-heimdal:amd64 (7.5.0+dfsg-1) ... -Selecting previously unselected package libgssapi3-heimdal:amd64. -Preparing to unpack .../35-libgssapi3-heimdal_7.5.0+dfsg-1_amd64.deb ... -Unpacking libgssapi3-heimdal:amd64 (7.5.0+dfsg-1) ... -Selecting previously unselected package libsasl2-modules-db:amd64. -Preparing to unpack .../36-libsasl2-modules-db_2.1.27~101-g0780600+dfsg-3ubuntu2.1_amd64.deb ... -Unpacking libsasl2-modules-db:amd64 (2.1.27~101-g0780600+dfsg-3ubuntu2.1) ... -Selecting previously unselected package libsasl2-2:amd64. -Preparing to unpack .../37-libsasl2-2_2.1.27~101-g0780600+dfsg-3ubuntu2.1_amd64.deb ... -Unpacking libsasl2-2:amd64 (2.1.27~101-g0780600+dfsg-3ubuntu2.1) ... -Selecting previously unselected package libldap-common. -Preparing to unpack .../38-libldap-common_2.4.45+dfsg-1ubuntu1.8_all.deb ... -Unpacking libldap-common (2.4.45+dfsg-1ubuntu1.8) ... -Selecting previously unselected package libldap-2.4-2:amd64. -Preparing to unpack .../39-libldap-2.4-2_2.4.45+dfsg-1ubuntu1.8_amd64.deb ... -Unpacking libldap-2.4-2:amd64 (2.4.45+dfsg-1ubuntu1.8) ... -Selecting previously unselected package libnghttp2-14:amd64. -Preparing to unpack .../40-libnghttp2-14_1.30.0-1ubuntu1_amd64.deb ... -Unpacking libnghttp2-14:amd64 (1.30.0-1ubuntu1) ... -Selecting previously unselected package librtmp1:amd64. -Preparing to unpack .../41-librtmp1_2.4+20151223.gitfa8646d.1-1_amd64.deb ... -Unpacking librtmp1:amd64 (2.4+20151223.gitfa8646d.1-1) ... -Selecting previously unselected package libcurl4:amd64. -Preparing to unpack .../42-libcurl4_7.58.0-2ubuntu3.12_amd64.deb ... -Unpacking libcurl4:amd64 (7.58.0-2ubuntu3.12) ... -Selecting previously unselected package curl. -Preparing to unpack .../43-curl_7.58.0-2ubuntu3.12_amd64.deb ... -Unpacking curl (7.58.0-2ubuntu3.12) ... -Selecting previously unselected package libcurl3-gnutls:amd64. -Preparing to unpack .../44-libcurl3-gnutls_7.58.0-2ubuntu3.12_amd64.deb ... -Unpacking libcurl3-gnutls:amd64 (7.58.0-2ubuntu3.12) ... -Selecting previously unselected package liberror-perl. -Preparing to unpack .../45-liberror-perl_0.17025-1_all.deb ... -Unpacking liberror-perl (0.17025-1) ... -Selecting previously unselected package git-man. -Preparing to unpack .../46-git-man_1%3a2.17.1-1ubuntu0.7_all.deb ... -Unpacking git-man (1:2.17.1-1ubuntu0.7) ... -Selecting previously unselected package git. -Preparing to unpack .../47-git_1%3a2.17.1-1ubuntu0.7_amd64.deb ... -Unpacking git (1:2.17.1-1ubuntu0.7) ... -Selecting previously unselected package libsasl2-modules:amd64. -Preparing to unpack .../48-libsasl2-modules_2.1.27~101-g0780600+dfsg-3ubuntu2.1_amd64.deb ... -Unpacking libsasl2-modules:amd64 (2.1.27~101-g0780600+dfsg-3ubuntu2.1) ... -Selecting previously unselected package patch. -Preparing to unpack .../49-patch_2.7.6-2ubuntu1.1_amd64.deb ... -Unpacking patch (2.7.6-2ubuntu1.1) ... -Setting up libedit2:amd64 (3.1-20170329-1) ... -Setting up git-man (1:2.17.1-1ubuntu0.7) ... -Setting up libexpat1:amd64 (2.2.5-3ubuntu0.2) ... -Setting up less (487-0.1) ... -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -Setting up libssl1.0.0:amd64 (1.0.2n-1ubuntu5.5) ... -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -Setting up libnghttp2-14:amd64 (1.30.0-1ubuntu1) ... -Setting up sudo (1.8.21p2-3ubuntu1.3) ... -Setting up libldap-common (2.4.45+dfsg-1ubuntu1.8) ... -Setting up libpsl5:amd64 (0.19.1-5build1) ... -Setting up libsasl2-modules-db:amd64 (2.1.27~101-g0780600+dfsg-3ubuntu2.1) ... -Setting up libsasl2-2:amd64 (2.1.27~101-g0780600+dfsg-3ubuntu2.1) ... -Setting up libroken18-heimdal:amd64 (7.5.0+dfsg-1) ... -Setting up librtmp1:amd64 (2.4+20151223.gitfa8646d.1-1) ... -Setting up perl-modules-5.26 (5.26.1-6ubuntu0.5) ... -Setting up libgdbm5:amd64 (1.14.1-6) ... -Setting up libbsd0:amd64 (0.8.7-1ubuntu0.1) ... -Setting up libkrb5support0:amd64 (1.16-2ubuntu0.2) ... -Setting up patch (2.7.6-2ubuntu1.1) ... -Setting up krb5-locales (1.16-2ubuntu0.2) ... -Setting up publicsuffix (20180223.1310-1) ... -Setting up libssl1.1:amd64 (1.1.1-1ubuntu2.1~18.04.7) ... -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -Setting up libheimbase1-heimdal:amd64 (7.5.0+dfsg-1) ... -Setting up openssl (1.1.1-1ubuntu2.1~18.04.7) ... -Setting up libsqlite3-0:amd64 (3.22.0-1ubuntu0.4) ... -Setting up libxdmcp6:amd64 (1:1.1.2-3) ... -Setting up libgdbm-compat4:amd64 (1.14.1-6) ... -Setting up libkeyutils1:amd64 (1.5.9-9.2ubuntu2) ... -Setting up libsasl2-modules:amd64 (2.1.27~101-g0780600+dfsg-3ubuntu2.1) ... -Setting up ca-certificates (20201027ubuntu0.18.04.1) ... -debconf: unable to initialize frontend: Dialog -debconf: (TERM is not set, so the dialog frontend is not usable.) -debconf: falling back to frontend: Readline -Updating certificates in /etc/ssl/certs... -138 added, 0 removed; done. -Setting up libx11-data (2:1.6.4-3ubuntu0.3) ... -Setting up libxau6:amd64 (1:1.0.8-1ubuntu1) ... -Setting up netbase (5.4) ... -Setting up libk5crypto3:amd64 (1.16-2ubuntu0.2) ... -Setting up libwind0-heimdal:amd64 (7.5.0+dfsg-1) ... -Setting up libasn1-8-heimdal:amd64 (7.5.0+dfsg-1) ... -Setting up libhcrypto4-heimdal:amd64 (7.5.0+dfsg-1) ... -Setting up libhx509-5-heimdal:amd64 (7.5.0+dfsg-1) ... -Setting up libperl5.26:amd64 (5.26.1-6ubuntu0.5) ... -Setting up libkrb5-3:amd64 (1.16-2ubuntu0.2) ... -Setting up libkrb5-26-heimdal:amd64 (7.5.0+dfsg-1) ... -Setting up libxcb1:amd64 (1.13-2~ubuntu18.04) ... -Setting up libheimntlm0-heimdal:amd64 (7.5.0+dfsg-1) ... -Setting up libx11-6:amd64 (2:1.6.4-3ubuntu0.3) ... -Setting up libxmuu1:amd64 (2:1.1.2-2) ... -Setting up libgssapi-krb5-2:amd64 (1.16-2ubuntu0.2) ... -Setting up perl (5.26.1-6ubuntu0.5) ... -Setting up libgssapi3-heimdal:amd64 (7.5.0+dfsg-1) ... -Setting up openssh-client (1:7.6p1-4ubuntu0.3) ... -Setting up libxext6:amd64 (2:1.3.3-1) ... -Setting up liberror-perl (0.17025-1) ... -Setting up xauth (1:1.0.10-1) ... -Setting up libldap-2.4-2:amd64 (2.4.45+dfsg-1ubuntu1.8) ... -Setting up libcurl3-gnutls:amd64 (7.58.0-2ubuntu3.12) ... -Setting up libcurl4:amd64 (7.58.0-2ubuntu3.12) ... -Setting up git (1:2.17.1-1ubuntu0.7) ... -Setting up curl (7.58.0-2ubuntu3.12) ... -Processing triggers for libc-bin (2.27-3ubuntu1.4) ... -Processing triggers for ca-certificates (20201027ubuntu0.18.04.1) ... -Updating certificates in /etc/ssl/certs... -0 added, 0 removed; done. -Running hooks in /etc/ca-certificates/update.d... -done. -Removing intermediate container 1c41b0062191 - ---> a1d44680a9d4 -Step 4/12 : RUN groupadd --gid 3434 riscvuser && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep - ---> Running in f1e61d1c92a5 -Removing intermediate container f1e61d1c92a5 - ---> 7ae1a44a0f88 -Step 5/12 : WORKDIR /home/riscvuser - ---> Running in 86c878f6c78a -Removing intermediate container 86c878f6c78a - ---> 7360866710f4 -Step 6/12 : USER riscvuser - ---> Running in 3882ccbffe7b -Removing intermediate container 3882ccbffe7b - ---> c1f23cf819bf -Step 7/12 : RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install -y keyboard-configuration && sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup - ---> Running in d4bcb1959542 -Reading package lists... -Building dependency tree... -Reading state information... -The following additional packages will be installed: - liblocale-gettext-perl -The following NEW packages will be installed: - keyboard-configuration liblocale-gettext-perl -0 upgraded, 2 newly installed, 0 to remove and 0 not upgraded. -Need to get 386 kB of archives. -After this operation, 2675 kB of additional disk space will be used. -Get:1 http://archive.ubuntu.com/ubuntu bionic/main amd64 liblocale-gettext-perl amd64 1.07-3build2 [16.6 kB] -Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 keyboard-configuration all 1.178ubuntu2.9 [369 kB] -debconf: delaying package configuration, since apt-utils is not installed -Fetched 386 kB in 1s (274 kB/s) -Selecting previously unselected package liblocale-gettext-perl. -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 7875 files and directories currently installed.) -Preparing to unpack .../liblocale-gettext-perl_1.07-3build2_amd64.deb ... -Unpacking liblocale-gettext-perl (1.07-3build2) ... -Selecting previously unselected package keyboard-configuration. -Preparing to unpack .../keyboard-configuration_1.178ubuntu2.9_all.deb ... -Unpacking keyboard-configuration (1.178ubuntu2.9) ... -Setting up liblocale-gettext-perl (1.07-3build2) ... -Setting up keyboard-configuration (1.178ubuntu2.9) ... -Your console font configuration will be updated the next time your system -boots. If you want to update it now, run 'setupcon' from a virtual console. -Reading package lists... -Building dependency tree... -Reading state information... -The following additional packages will be installed: - console-setup-linux kbd xkb-data -Suggested packages: - locales -The following NEW packages will be installed: - console-setup console-setup-linux kbd xkb-data -0 upgraded, 4 newly installed, 0 to remove and 0 not upgraded. -Need to get 1627 kB of archives. -After this operation, 6256 kB of additional disk space will be used. -Get:1 http://archive.ubuntu.com/ubuntu bionic/main amd64 kbd amd64 2.0.4-2ubuntu1 [215 kB] -Get:2 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 console-setup-linux all 1.178ubuntu2.9 [982 kB] -Get:3 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 xkb-data all 2.23.1-1ubuntu1.18.04.1 [325 kB] -Get:4 http://archive.ubuntu.com/ubuntu bionic-updates/main amd64 console-setup all 1.178ubuntu2.9 [105 kB] -debconf: delaying package configuration, since apt-utils is not installed -Fetched 1627 kB in 1s (1162 kB/s) -Selecting previously unselected package kbd. -(Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 7914 files and directories currently installed.) -Preparing to unpack .../kbd_2.0.4-2ubuntu1_amd64.deb ... -Unpacking kbd (2.0.4-2ubuntu1) ... -Selecting previously unselected package console-setup-linux. -Preparing to unpack .../console-setup-linux_1.178ubuntu2.9_all.deb ... -Unpacking console-setup-linux (1.178ubuntu2.9) ... -Selecting previously unselected package xkb-data. -Preparing to unpack .../xkb-data_2.23.1-1ubuntu1.18.04.1_all.deb ... -Unpacking xkb-data (2.23.1-1ubuntu1.18.04.1) ... -Selecting previously unselected package console-setup. -Preparing to unpack .../console-setup_1.178ubuntu2.9_all.deb ... -Unpacking console-setup (1.178ubuntu2.9) ... -Setting up xkb-data (2.23.1-1ubuntu1.18.04.1) ... -Setting up console-setup-linux (1.178ubuntu2.9) ... -update-alternatives: using /etc/console-setup/vtrgb to provide /etc/vtrgb (vtrgb) in auto mode -Setting up console-setup (1.178ubuntu2.9) ... -Setting up kbd (2.0.4-2ubuntu1) ... -Removing intermediate container d4bcb1959542 - ---> c394950174e5 -Step 8/12 : RUN git clone https://github.com/schwarz-em/chipyard.git && cd chipyard && ./scripts/ubuntu-req.sh 1>/dev/null - ---> Running in e9b3287018db -Cloning into 'chipyard'... -+ sudo apt-get install -y build-essential bison flex -debconf: delaying package configuration, since apt-utils is not installed -+ sudo apt-get install -y libgmp-dev libmpfr-dev libmpc-dev zlib1g-dev vim git default-jdk default-jre -debconf: delaying package configuration, since apt-utils is not installed -+ echo 'deb https://dl.bintray.com/sbt/debian /' -+ sudo tee -a /etc/apt/sources.list.d/sbt.list -+ curl -sL 'https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823' -+ sudo apt-key add -Warning: apt-key output should not be parsed (stdout is not a terminal) -+ sudo apt-get update -+ sudo apt-get install -y sbt -debconf: delaying package configuration, since apt-utils is not installed -+ sudo apt-get install -y texinfo gengetopt -debconf: delaying package configuration, since apt-utils is not installed -+ sudo apt-get install -y libexpat1-dev libusb-dev libncurses5-dev cmake -debconf: delaying package configuration, since apt-utils is not installed -+ sudo apt-get install -y python3.6 patch diffstat texi2html texinfo subversion chrpath git wget -debconf: delaying package configuration, since apt-utils is not installed -+ sudo apt-get install -y libgtk-3-dev gettext -debconf: delaying package configuration, since apt-utils is not installed -+ sudo apt-get install -y python3-pip python3.6-dev rsync libguestfs-tools expat ctags -debconf: delaying package configuration, since apt-utils is not installed -+ sudo apt-get install -y device-tree-compiler -debconf: delaying package configuration, since apt-utils is not installed -+ git clone http://git.veripool.org/git/verilator -Cloning into 'verilator'... -+ cd verilator -+ git checkout v4.034 -Note: checking out 'v4.034'. - -You are in 'detached HEAD' state. You can look around, make experimental -changes and commit them, and you can discard any commits you make in this -state without impacting any branches by performing another checkout. - -If you want to create a new branch to retain commits you create, you may -do so (now or later) by using -b with the checkout command again. Example: - - git checkout -b - -HEAD is now at 9dc65df9 Version bump -+ autoconf -+ ./configure -+ make -j16 -make[2]: warning: -jN forced in submake: disabling jobserver mode. -make[2]: warning: -jN forced in submake: disabling jobserver mode. -+ sudo make install -mkdir /usr/local/share/verilator -mkdir /usr/local/share/verilator/bin -mkdir /usr/local/share/man/man1 -mkdir /usr/local/share/verilator/include -mkdir /usr/local/share/verilator/include/gtkwave -mkdir /usr/local/share/verilator/include/vltstd -mkdir /usr/local/share/verilator/examples -mkdir /usr/local/share/verilator/examples/make_hello_c -mkdir /usr/local/share/verilator/examples/make_hello_sc -mkdir /usr/local/share/verilator/examples/make_tracing_c -mkdir /usr/local/share/verilator/examples/make_tracing_sc -mkdir /usr/local/share/verilator/examples/make_protect_lib -mkdir /usr/local/share/verilator/examples/cmake_hello_c -mkdir /usr/local/share/verilator/examples/cmake_hello_sc -mkdir /usr/local/share/verilator/examples/cmake_tracing_c -mkdir /usr/local/share/verilator/examples/cmake_tracing_sc -mkdir /usr/local/share/verilator/examples/cmake_protect_lib -mkdir /usr/local/share/verilator/examples/xml_py -mkdir /usr/local/share/pkgconfig -Removing intermediate container e9b3287018db - ---> 21bafbf4da21 -Step 9/12 : RUN cd chipyard && export MAKEFLAGS=-"j $(nproc)" && ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null - ---> Running in 676d65941d3a -Removing intermediate container 676d65941d3a - ---> b7d45d90bbcb -Step 10/12 : RUN cd chipyard && export MAKEFLAGS=-"j $(nproc)" && ./scripts/build-toolchains.sh riscv-tools 1>/dev/null - ---> Running in d4c7d9e86f09 -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain'... -Submodule 'qemu' (https://git.qemu.org/git/qemu.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/qemu' -Submodule 'riscv-binutils' (https://github.com/riscv/riscv-binutils-gdb.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-binutils' -Submodule 'riscv-dejagnu' (https://github.com/riscv/riscv-dejagnu.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-dejagnu' -Submodule 'riscv-gcc' (https://github.com/riscv/riscv-gcc.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gcc' -Submodule 'riscv-gdb' (https://github.com/riscv/riscv-binutils-gdb.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gdb' -Submodule 'riscv-glibc' (https://github.com/riscv/riscv-glibc.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-glibc' -Submodule 'riscv-newlib' (https://github.com/riscv/riscv-newlib.git) registered for path 'toolchains/riscv-tools/riscv-gnu-toolchain/riscv-newlib' -Skipping submodule '../toolchains/riscv-tools/riscv-gnu-toolchain/' -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-binutils'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-dejagnu'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gcc'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gdb'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-glibc'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-newlib'... -configure: WARNING: neither ld nor gold are enabled -*** This configuration is not supported in the following subdirectories: - binutils gas gprof - (Any other directories should still work fine.) -*** This configuration is not supported in the following subdirectories: - readline libdecnumber sim gdb - (Any other directories should still work fine.) -configure: WARNING: decimal float is not supported for this target, ignored -ar: `u' modifier ignored since `D' is the default (see `U') -ar: `u' modifier ignored since `D' is the default (see `U') -ar: `u' modifier ignored since `D' is the default (see `U') -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/defparse.y: warning: 27 shift/reduce conflicts [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/mcparse.y: warning: 1 shift/reduce conflict [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/sysinfo.y: warning: 1 shift/reduce conflict [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/rcparse.y: warning: 58 shift/reduce conflicts [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/rcparse.y: warning: 10 reduce/reduce conflicts [-Wconflicts-rr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/doc/binutils.texi:819: warning: @ref node name should not contain `.' -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/binutils/doc/binutils.texi:1239: warning: @xref node name should not contain `.' -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/ld/deffilep.y: warning: 76 shift/reduce conflicts [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/ld/ldlex.l:386: warning, rule cannot be matched -ld.pod around line 568: Expected '=item *' -POD document had syntax errors at /usr/bin/pod2man line 71. -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-binutils/ld/ld.texi:8376: warning: @pxref node name should not contain `.' -/bin/sh: 1: test: false: unexpected operator -*** This configuration is not supported in the following subdirectories: - zlib target-libquadmath target-libitm target-libsanitizer target-libvtv gnattools gotools target-libada target-libhsail-rt target-libstdc++-v3 target-libphobos target-zlib target-libbacktrace target-libgfortran target-libgo target-libffi target-libobjc target-libgomp target-liboffloadmic target-libatomic target-libssp - (Any other directories should still work fine.) -configure: WARNING: decimal float is not supported for this target, ignored -objcopy: /tmp/ls106546: debuglink section already exists -ar: `u' modifier ignored since `D' is the default (see `U') -ar: `u' modifier ignored since `D' is the default (see `U') -../../../../riscv-gcc/libcpp/macro.c: In member function 'vaopt_state::update_type vaopt_state::update(const cpp_token*)': -../../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../../riscv-gcc/libcpp/expr.c: In function 'unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)': -../../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] - 0, message); - ^ -../../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] - virtual_location, 0, message); - ^ -../../../../riscv-gcc/libcpp/macro.c: In function 'cpp_macro* create_iso_definition(cpp_reader*)': -../../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -../../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -ar: `u' modifier ignored since `D' is the default (see `U') -configure: WARNING: python is missing or unusable; some features may be unavailable. -configure: WARNING: libipt is missing or unusable; some features may be unavailable. -libtool: install: warning: remember to run `libtool --finish /home/riscvuser/chipyard/riscv-tools-install/libexec/gcc/riscv64-unknown-linux-gnu/9.2.0' -../../../riscv-gcc/libcpp/expr.c: In function 'unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)': -../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] - 0, message); - ^ -../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] - virtual_location, 0, message); - ^ -../../../riscv-gcc/libcpp/macro.c: In member function 'vaopt_state::update_type vaopt_state::update(const cpp_token*)': -../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../riscv-gcc/libcpp/macro.c: In function 'cpp_macro* create_iso_definition(cpp_reader*)': -../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -ar: `u' modifier ignored since `D' is the default (see `U') -configure: WARNING: decimal float is not supported for this target, ignored -configure: WARNING: fixed-point is not supported for this target, ignored -configure: WARNING: babeltrace is missing or unusable; GDB is unable to read CTF data. -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-profile.c: In function 'profile_pc_init': -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-profile.c:566:8: warning: left shift count >= width of type [-Wshift-count-overflow] - ((1 << sizeof (sim_cia) * (8 - 1)) - ^~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-profile.c:585:21: warning: left shift count >= width of type [-Wshift-count-overflow] - bucket_size = ((1 << ((sizeof (sim_cia) * 8) - 1)) - ^~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c: In function 'map_to_str': -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:359:18: warning: '%ld' directive writing between 1 and 10 bytes into a region of size 9 [-Wformat-overflow=] - sprintf (str, "(%ld)", (long) map); - ^~~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:359:16: note: directive argument in the range [4, 4294967295] - sprintf (str, "(%ld)", (long) map); - ^~~~~~~ -In file included from /usr/include/stdio.h:862:0, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-basics.h:37, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/sim-main.h:24, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:20: -/usr/include/x86_64-linux-gnu/bits/stdio2.h:33:10: note: '__builtin___sprintf_chk' output between 4 and 13 bytes into a destination of size 10 - return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, - ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - __bos (__s), __fmt, __va_arg_pack ()); - ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c: In function 'access_to_str': -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:389:18: warning: '%ld' directive writing between 2 and 10 bytes into a region of size 9 [-Wformat-overflow=] - sprintf (str, "(%ld)", (long) access); - ^~~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:389:16: note: directive argument in the range [16, 4294967295] - sprintf (str, "(%ld)", (long) access); - ^~~~~~~ -In file included from /usr/include/stdio.h:862:0, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-basics.h:37, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/sim-main.h:24, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/sim/riscv/../common/sim-utils.c:20: -/usr/include/x86_64-linux-gnu/bits/stdio2.h:33:10: note: '__builtin___sprintf_chk' output between 5 and 13 bytes into a destination of size 10 - return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, - ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - __bos (__s), __fmt, __va_arg_pack ()); - ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -Links are now set up to build a cross-compiler - from x86_64-pc-linux-gnu to riscv64-unknown-linux-gnu. -../../../riscv-gcc/gcc/genhooks.c: In function 'void emit_documentation(const char*)': -../../../riscv-gcc/gcc/genhooks.c:131:45: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] - while (fscanf (f, "%*[^@]"), buf[0] = '\0', - ^ -../../../riscv-gcc/gcc/genhooks.c:140:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%999s", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/genhooks.c:193:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%5[^ \n]", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/genhooks.c:199:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%999s", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l: In function 'int yylex(const char**)': -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' - "GTY"/{EOID} { return GTY_TOKEN; } - ^~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here - "^" | - ^~~~ -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' - - ^ -../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here - size_t len; - ^ ~~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/c-exp.y: warning: 42 shift/reduce conflicts [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/c-exp.y: warning: 53 reduce/reduce conflicts [-Wconflicts-rr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/m2-exp.y: warning: 34 shift/reduce conflicts [-Wconflicts-sr] -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/m2-exp.y:301.25-44: warning: rule useless in parser due to conflicts [-Wother] - { start_arglist(); } - ^^^^^^^^^^^^^^^^^^^^ -../../../riscv-gcc/gcc/config/riscv/riscv.md:2281:1: warning: operand 0 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2303:1: warning: operand 1 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2326:1: warning: operand 0 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2349:1: warning: operand 1 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2415:1: warning: source missing a mode? -Statistics for recog: - Number of decisions: 1699 - longest path: 32 (code: 188) - longest backtrack: 13 (code: 336) -Statistics for split_insns: - Number of decisions: 209 - longest path: 30 (code: 40) - longest backtrack: 3 (code: 40) -Statistics for peephole2_insns: - Number of decisions: 22 - longest path: 22 (code: 1) - longest backtrack: 0 (code: 1) -Shared 1212 out of 3026 states by creating 356 new states, saving 856 -../../../riscv-gcc/gcc/c/c-typeck.c: In function 'int convert_arguments(location_t, vec, tree, vec*, vec*, tree, tree)': -../../../riscv-gcc/gcc/c/c-typeck.c:3601:28: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_func_diag); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function 'tree_node* build_unary_op(location_t, tree_code, tree, bool)': -../../../riscv-gcc/gcc/c/c-typeck.c:4339:42: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (location, invalid_op_diag); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function 'void warning_init(location_t, int, const char*)': -../../../riscv-gcc/gcc/c/c-typeck.c:6400:43: warning: format not a string literal and no format arguments [-Wformat-security] - warned = warning_at (exploc, opt, gmsgid); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function 'tree_node* build_binary_op(location_t, tree_code, tree, tree, bool)': -../../../riscv-gcc/gcc/c/c-typeck.c:11480:42: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (location, invalid_op_diag); - ^ -In file included from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:26:0: -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/inferior.h: In function 'void handle_vfork_child_exec_or_exit(int)': -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/inferior.h:606:26: warning: '*((void*)(& maybe_restore_inferior)+40).scoped_restore_current_inferior::m_saved_inf' may be used uninitialized in this function [-Wmaybe-uninitialized] - { set_current_inferior (m_saved_inf); } - ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:931:6: note: '*((void*)(& maybe_restore_inferior)+40).scoped_restore_current_inferior::m_saved_inf' was declared here - maybe_restore_inferior; - ^~~~~~~~~~~~~~~~~~~~~~ -In file included from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/inferior.h:49:0, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:26: -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/progspace.h:314:31: warning: '*((void*)(& maybe_restore_inferior)+32).scoped_restore_current_program_space::m_saved_pspace' may be used uninitialized in this function [-Wmaybe-uninitialized] - { set_current_program_space (m_saved_pspace); } - ~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/infrun.c:931:6: note: '*((void*)(& maybe_restore_inferior)+32).scoped_restore_current_program_space::m_saved_pspace' was declared here - maybe_restore_inferior; - ^~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/c/c-convert.c: In function 'tree_node* convert(tree, tree)': -../../../riscv-gcc/gcc/c/c-convert.c:81:31: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_conv_diag); - ^ -In file included from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/exceptions.h:23:0, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/utils.h:24, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/defs.h:670, - from /home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/record-btrace.c:22: -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/ui-out.h: In function 'void btrace_insn_history(ui_out*, const btrace_thread_info*, const btrace_insn_iterator*, const btrace_insn_iterator*, gdb_disassembly_flags)': -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/ui-out.h:214:18: warning: 'asm_list.ui_out_emit_type<(ui_out_type)1>::m_uiout' may be used uninitialized in this function [-Wmaybe-uninitialized] - m_uiout->end (Type); - ~~~~~~~~~~~~~^~~~~~ -/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/build/../riscv-gdb/gdb/record-btrace.c:786:35: note: 'asm_list.ui_out_emit_type<(ui_out_type)1>::m_uiout' was declared here - gdb::optional asm_list; - ^~~~~~~~ -../../../riscv-gcc/gcc/c-family/c-common.c: In function 'void c_parse_error(const char*, cpp_ttype, tree, unsigned char, rich_location*)': -../../../riscv-gcc/gcc/c-family/c-common.c:6144:30: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (richloc, gmsgid); - ^ -../../../riscv-gcc/gcc/c-family/c-common.c:6148:33: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (richloc, message); - ^ -../../../riscv-gcc/gcc/fold-const.c: In function 'void fold_overflow_warning(const char*, warn_strict_overflow_code)': -../../../riscv-gcc/gcc/fold-const.c:303:42: warning: format not a string literal and no format arguments [-Wformat-security] - warning (OPT_Wstrict_overflow, gmsgid); - ^ -../../../riscv-gcc/gcc/gimple-fold.c: In function 'bool gimple_fold_builtin_strncpy(gimple_stmt_iterator*, tree, tree, tree)': -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, fndecl, slen); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, fndecl); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c: In function 'bool gimple_fold_builtin_strncat(gimple_stmt_iterator*)': -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, fndecl, len, dstsize); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, fndecl, len)) - ^ -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c: In function 'void find_explicit_erroneous_behavior()': -../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c:554:35: warning: format not a string literal and no format arguments [-Wformat-security] - OPT_Wreturn_local_addr, msg)) - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In function 'bool {anonymous}::format_directive(const {anonymous}::sprintf_dom_walker::call_info&, {anonymous}::format_result*, const {anonymous}::directive&, vr_values*)': -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: unknown conversion type character 'G' in format [-Wformat=] - target_to_host (hostdir, sizeof hostdir, dir.beg)); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: field precision specifier '.*' expects argument of type 'int', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: format '%s' expects argument of type 'char*', but argument 7 has type 'int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In member function 'bool {anonymous}::sprintf_dom_walker::handle_gimple_call(gimple_stmt_iterator*)': -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: unknown conversion type character 'G' in format [-Wformat=] - info.callstmt); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: unknown conversion type character 'G' in format [-Wformat=] - info.callstmt); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function 'bool {anonymous}::maybe_diag_overlap(location_t, gimple*, {anonymous}::builtin_access&)': -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[0], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character 'G' in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character 'G' in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: unknown conversion type character 'G' in format [-Wformat=] - offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%s' expects argument of type 'char*', but argument 7 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%wu' expects argument of type 'long unsigned int', but argument 9 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: unknown conversion type character 'G' in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%s' expects argument of type 'char*', but argument 7 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 9 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%s' expects argument of type 'char*', but argument 10 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[0], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%s' expects argument of type 'char*', but argument 10 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, sizrange[0], sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character 'G' in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function 'bool {anonymous}::maybe_diag_access_bounds(location_t, gimple*, tree, int, const {anonymous}::builtin_memref&, bool)': -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: unknown conversion type character 'G' in format [-Wformat=] - maxobjsize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: unknown conversion type character 'G' in format [-Wformat=] - maxobjsize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, rangestr[0], rangestr[1], ref.base, type)) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format '%D' expects argument of type 'tree_node*', but argument 7 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, rangestr[0], rangestr[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, rangestr[0], rangestr[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character 'G' in format [-Wformat=] - ref.base, TREE_TYPE (ref.base))) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 7 has type 'long unsigned int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 7 has type 'long unsigned int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character 'G' in format [-Wformat=] - ref.base, TREE_TYPE (ref.base))) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, rangestr[0], ref.basesize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, rangestr[0]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, rangestr[0], ref.base, type); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format '%E' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: unknown conversion type character 'G' in format [-Wformat=] - ref.refoff.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%E' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%wu' expects argument of type 'long unsigned int', but argument 9 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function 'int check_bounds_or_overlap(gimple*, tree, tree, tree, tree, bool, bool)': -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/hsa-gen.c: In member function 'void omp_simple_builtin::generate(gimple*, hsa_bb*)': -../../../riscv-gcc/gcc/hsa-gen.c:88:32: warning: format not a string literal and no format arguments [-Wformat-security] - inform (location, message); \ - ^ -../../../riscv-gcc/gcc/hsa-gen.c:4548:2: note: in expansion of macro 'HSA_SORRY_AT' - HSA_SORRY_AT (gimple_location (stmt), m_warning_message); - ^~~~~~~~~~~~ -../../../riscv-gcc/gcc/hsa-gen.c:4555:68: warning: format not a string literal and no format arguments [-Wformat-security] - warning_at (gimple_location (stmt), OPT_Whsa, m_warning_message); - ^ -../../../riscv-gcc/gcc/ipa-devirt.c: In function 'void warn_odr(tree, tree, tree, tree, bool, bool*, const char*)': -../../../riscv-gcc/gcc/ipa-devirt.c:1050:47: warning: format not a string literal and no format arguments [-Wformat-security] - inform (DECL_SOURCE_LOCATION (decl2), reason); - ^ -../../../riscv-gcc/gcc/reload1.c: In function 'void elimination_costs_in_insn(rtx_insn*)': -../../../riscv-gcc/gcc/reload1.c:3754:28: warning: 'orig_dup[0]' may be used uninitialized in this function [-Wmaybe-uninitialized] - *recog_data.dup_loc[i] = orig_dup[i]; - ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ -../../../riscv-gcc/gcc/tree-ssa-ccp.c: In member function 'virtual unsigned int {anonymous}::pass_post_ipa_warn::execute(function*)': -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: unknown conversion type character 'G' in format [-Wformat=] - "expected", stmt, i + 1)) - ^ -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: format '%u' expects argument of type 'unsigned int', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function 'bool maybe_diag_stxncpy_trunc(gimple_stmt_iterator, tree, tree)': -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, func, cnt); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, func, cnt, lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: unknown conversion type character 'G' in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, func, cnt, lenrange[1].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: unknown conversion type character 'G' in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[1].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: unknown conversion type character 'G' in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, func, cnt); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function 'void handle_builtin_stxncpy(built_in_function, gimple_stmt_iterator*)': -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, func)) - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, func); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/collect2.c: In function 'void scan_prog_file(const char*, scanpass, scanfilter)': -../../../riscv-gcc/gcc/collect2.c:2463:37: warning: format not a string literal and no format arguments [-Wformat-security] - fatal_error (input_location, errmsg); - ^ -../../../riscv-gcc/gcc/collect-utils.c: In function 'pex_obj* collect_execute(const char*, char**, const char*, const char*, int, bool)': -../../../riscv-gcc/gcc/collect-utils.c:195:37: warning: format not a string literal and no format arguments [-Wformat-security] - fatal_error (input_location, errmsg); - ^ -../../../riscv-gcc/gcc/lto-wrapper.c: In function 'bool find_and_merge_options(int, off_t, const char*, cl_decoded_option**, unsigned int*, const char*)': -../../../riscv-gcc/gcc/lto-wrapper.c:1005:8: warning: ignoring return value of 'ssize_t read(int, void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] - read (fd, data, length); - ~~~~~^~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l: In function 'int yylex(const char**)': -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' - "GTY"/{EOID} { return GTY_TOKEN; } - ^~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here - "^" | - ^~~~ -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' - - ^ -../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here - size_t len; - ^ ~~ -GIMPLE decision tree has 2874 leafs, maximum depth 12 and a total number of 11721 nodes -removed 1855 duplicate tails -../../../riscv-gcc/gcc/gcc.c: In function 'long unsigned int get_random_number()': -../../../riscv-gcc/gcc/gcc.c:9639:12: warning: ignoring return value of 'ssize_t read(int, void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] - read (fd, &ret, sizeof (HOST_WIDE_INT)); - ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c: In function 'void do_report_bug(const char**, int, char**, char**)': -../../../riscv-gcc/gcc/gcc.c:6999:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] - write (fd, "\n//", 3); - ~~~~~~^~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7002:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] - write (fd, " ", 1); - ~~~~~~^~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7003:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] - write (fd, new_argv[i], strlen (new_argv[i])); - ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7005:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] - write (fd, "\n\n", 2); - ~~~~~~^~~~~~~~~~~~~~~ -GENERIC decision tree has 2760 leafs, maximum depth 12 and a total number of 11180 nodes -removed 1757 duplicate tails -../../../riscv-gcc/gcc/lto/lto.c: In function 'void lto_resolution_read(splay_tree, FILE*, lto_file*)': -../../../riscv-gcc/gcc/lto/lto.c:1918:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] - fscanf (resolution, " "); /* Read white space. */ - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/lto/lto.c:1920:9: warning: ignoring return value of 'size_t fread(void*, size_t, size_t, FILE*)', declared with attribute warn_unused_result [-Wunused-result] - fread (obj_name, sizeof (char), name_len, resolution); - ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/lto/lto.c:1940:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] - fscanf (resolution, "%u", &num_symbols); - ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -Applying io_quotes_use to scsi/cxlflash_ioctl.h -Applying io_quotes_use to mtd/ubi-user.h -Applying io_quotes_use to rdma/rdma_user_ioctl.h -Applying io_quotes_use to misc/cxl.h -Applying io_quotes_use to misc/ocxl.h -Applying io_quotes_use to sound/asound.h -Applying io_quotes_use to sound/emu10k1.h -Applying io_quotes_use to sound/asequencer.h -Applying io_quotes_use to sound/compress_offload.h -Applying io_quotes_use to drm/omap_drm.h -Applying io_quotes_use to drm/r128_drm.h -Applying io_quotes_use to drm/i915_drm.h -Applying io_quotes_use to drm/nouveau_drm.h -Applying io_quotes_use to drm/mga_drm.h -Applying io_quotes_use to drm/via_drm.h -Applying io_quotes_use to drm/i810_drm.h -Applying io_quotes_use to drm/radeon_drm.h -Applying io_quotes_use to drm/vc4_drm.h -Applying io_quotes_use to drm/vgem_drm.h -Applying io_quotes_use to drm/amdgpu_drm.h -Applying io_quotes_use to drm/sis_drm.h -Applying io_quotes_use to drm/msm_drm.h -Applying io_quotes_use to drm/drm.h -Applying io_quotes_use to drm/tegra_drm.h -Applying io_quotes_use to drm/v3d_drm.h -Applying io_quotes_use to drm/exynos_drm.h -Applying io_quotes_use to drm/savage_drm.h -Applying io_quotes_use to drm/etnaviv_drm.h -Applying io_quotes_use to linux/dm-ioctl.h -Applying io_quotes_use to linux/btrfs.h -Applying io_quotes_use to linux/fpga-dfl.h -Applying io_quotes_use to linux/agpgart.h -Applying io_quotes_use to linux/rpmsg.h -Applying io_quotes_use to linux/vfio.h -Applying io_quotes_use to linux/if_pppox.h -Applying io_quotes_use to linux/vtpm_proxy.h -Applying io_quotes_use to linux/ptp_clock.h -Applying io_quotes_use to linux/mmc/ioctl.h -Applying io_quotes_use to linux/ipmi.h -Applying io_quotes_use to linux/ndctl.h -Applying io_quotes_use to linux/vboxguest.h -Applying io_quotes_use to linux/nsfs.h -Applying io_quotes_use to linux/dn.h -Applying io_quotes_use to linux/fd.h -Applying io_quotes_use to linux/synclink.h -Applying io_quotes_use to linux/wmi.h -Applying io_quotes_use to linux/gpio.h -Applying io_quotes_use to linux/atmbr2684.h -Applying io_quotes_use to linux/suspend_ioctls.h -Applying io_quotes_use to linux/ppdev.h -Applying io_quotes_use to linux/genwqe/genwqe_card.h -Applying io_quotes_use to linux/ipmi_bmc.h -Applying io_quotes_use to linux/seccomp.h -Applying io_quotes_use to linux/omapfb.h -Applying io_quotes_use to linux/vhost.h -Applying io_quotes_use to linux/tee.h -Applying io_quotes_use to linux/lightnvm.h -Applying io_quotes_use to linux/cm4000_cs.h -Applying io_quotes_use to linux/mmtimer.h -Applying io_quotes_use to linux/usb/tmc.h -Applying io_quotes_use to linux/aspeed-lpc-ctrl.h -Applying io_quotes_use to linux/gigaset_dev.h -Applying io_quotes_def to linux/version.h -Applying io_quotes_use to linux/rio_cm_cdev.h -Applying io_quotes_use to linux/nbd.h -Applying io_quotes_use to linux/fuse.h -Applying io_quotes_use to linux/rfkill.h -Applying io_quotes_use to linux/pktcdvd.h -Applying io_quotes_use to linux/watchdog.h -Applying io_quotes_use to linux/i2o-dev.h -Applying io_quotes_use to linux/vm_sockets.h -Applying io_quotes_use to linux/userfaultfd.h -Applying io_quotes_use to linux/uinput.h -Applying io_quotes_def to linux/pci_regs.h -Applying io_quotes_use to linux/reiserfs_fs.h -Applying io_quotes_use to linux/random.h -Applying io_quotes_use to linux/bt-bmc.h -Applying io_quotes_use to linux/cciss_ioctl.h -Applying io_quotes_use to linux/psp-sev.h -Applying io_quotes_def to linux/ppp-comp.h -Applying io_quotes_use to linux/phantom.h -Applying io_quotes_use to linux/blkpg.h -Applying io_quotes_def to linux/soundcard.h -Applying io_quotes_use to linux/raid/md_u.h -Applying io_quotes_use to linux/dma-buf.h -Applying io_quotes_use to linux/fs.h -Applying io_quotes_use to linux/raw.h -Applying io_quotes_use to linux/spi/spidev.h -Applying io_quotes_use to linux/hsi/cs-protocol.h -Applying io_quotes_use to linux/hsi/hsi_char.h -Applying io_quotes_use to linux/blkzoned.h -Applying io_quotes_use to linux/sync_file.h -Applying io_quotes_use to linux/auto_fs.h -Applying io_quotes_use to video/sisfb.h --fself-test: 46308 pass(es) in 0.379968 seconds -configure: WARNING: decimal float is not supported for this target, ignored -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -configure: WARNING: gcc emits a warning for alias between functions of incompatible types -configure: WARNING: Multi-arch is disabled. -configure: WARNING: gcc emits a warning for alias between functions of incompatible types -configure: WARNING: Multi-arch is disabled. -configure: WARNING: minimum kernel version reset to 4.15.0 -configure: WARNING: minimum kernel version reset to 4.15.0 -*** errlist.c count 134 inflated to GLIBC_2.12 count 135 (old errno.h?) -*** This configuration is not supported in the following subdirectories: - zlib target-libquadmath target-libitm target-libsanitizer target-libvtv gnattools gotools target-libada target-libhsail-rt target-libphobos target-zlib target-libgo target-libffi target-libobjc target-liboffloadmic target-libssp - (Any other directories should still work fine.) -configure: WARNING: decimal float is not supported for this target, ignored -objcopy: /tmp/ls71525: debuglink section already exists -ar: `u' modifier ignored since `D' is the default (see `U') -../../../../riscv-gcc/libcpp/expr.c: In function 'unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)': -../../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] - 0, message); - ^ -../../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] - virtual_location, 0, message); - ^ -../../../../riscv-gcc/libcpp/macro.c: In member function 'vaopt_state::update_type vaopt_state::update(const cpp_token*)': -../../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../../riscv-gcc/libcpp/macro.c: In function 'cpp_macro* create_iso_definition(cpp_reader*)': -../../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -../../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -ar: `u' modifier ignored since `D' is the default (see `U') -libtool: install: warning: remember to run `libtool --finish /home/riscvuser/chipyard/riscv-tools-install/libexec/gcc/riscv64-unknown-linux-gnu/9.2.0' -../../../riscv-gcc/libcpp/expr.c: In function 'unsigned int cpp_classify_number(cpp_reader*, const cpp_token*, const char**, location_t)': -../../../riscv-gcc/libcpp/expr.c:797:18: warning: format not a string literal and no format arguments [-Wformat-security] - 0, message); - ^ -../../../riscv-gcc/libcpp/expr.c:800:39: warning: format not a string literal and no format arguments [-Wformat-security] - virtual_location, 0, message); - ^ -../../../riscv-gcc/libcpp/macro.c: In member function 'vaopt_state::update_type vaopt_state::update(const cpp_token*)': -../../../riscv-gcc/libcpp/macro.c:164:23: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../riscv-gcc/libcpp/macro.c:193:24: warning: format not a string literal and no format arguments [-Wformat-security] - vaopt_paste_error); - ^ -../../../riscv-gcc/libcpp/macro.c: In function 'cpp_macro* create_iso_definition(cpp_reader*)': -../../../riscv-gcc/libcpp/macro.c:3385:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -../../../riscv-gcc/libcpp/macro.c:3400:58: warning: format not a string literal and no format arguments [-Wformat-security] - cpp_error (pfile, CPP_DL_ERROR, paste_op_error_msg); - ^ -ar: `u' modifier ignored since `D' is the default (see `U') -configure: WARNING: decimal float is not supported for this target, ignored -configure: WARNING: fixed-point is not supported for this target, ignored -Links are now set up to build a cross-compiler - from x86_64-pc-linux-gnu to riscv64-unknown-linux-gnu. -../../../riscv-gcc/gcc/genhooks.c: In function 'void emit_documentation(const char*)': -../../../riscv-gcc/gcc/genhooks.c:131:45: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] - while (fscanf (f, "%*[^@]"), buf[0] = '\0', - ^ -../../../riscv-gcc/gcc/genhooks.c:140:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%999s", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/genhooks.c:193:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%5[^ \n]", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/genhooks.c:199:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] - fscanf (f, "%999s", buf); - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l: In function 'int yylex(const char**)': -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' - "GTY"/{EOID} { return GTY_TOKEN; } - ^~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here - "^" | - ^~~~ -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' - - ^ -../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here - size_t len; - ^ ~~ -../../../riscv-gcc/gcc/fortran/gfortran.texi:2769: warning: @ref node name should not contain `:' -../../../riscv-gcc/gcc/c/c-convert.c: In function 'tree_node* convert(tree, tree)': -../../../riscv-gcc/gcc/c/c-convert.c:81:31: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_conv_diag); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function 'int convert_arguments(location_t, vec, tree, vec*, vec*, tree, tree)': -../../../riscv-gcc/gcc/c/c-typeck.c:3601:28: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_func_diag); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function 'tree_node* build_unary_op(location_t, tree_code, tree, bool)': -../../../riscv-gcc/gcc/c/c-typeck.c:4339:42: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (location, invalid_op_diag); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function 'void warning_init(location_t, int, const char*)': -../../../riscv-gcc/gcc/c/c-typeck.c:6400:43: warning: format not a string literal and no format arguments [-Wformat-security] - warned = warning_at (exploc, opt, gmsgid); - ^ -../../../riscv-gcc/gcc/c/c-typeck.c: In function 'tree_node* build_binary_op(location_t, tree_code, tree, tree, bool)': -../../../riscv-gcc/gcc/c/c-typeck.c:11480:42: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (location, invalid_op_diag); - ^ -../../../riscv-gcc/gcc/c-family/c-common.c: In function 'void c_parse_error(const char*, cpp_ttype, tree, unsigned char, rich_location*)': -../../../riscv-gcc/gcc/c-family/c-common.c:6144:30: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (richloc, gmsgid); - ^ -../../../riscv-gcc/gcc/c-family/c-common.c:6148:33: warning: format not a string literal and no format arguments [-Wformat-security] - error_at (richloc, message); - ^ -../../../riscv-gcc/gcc/fold-const.c: In function 'void fold_overflow_warning(const char*, warn_strict_overflow_code)': -../../../riscv-gcc/gcc/fold-const.c:303:42: warning: format not a string literal and no format arguments [-Wformat-security] - warning (OPT_Wstrict_overflow, gmsgid); - ^ -../../../riscv-gcc/gcc/gimple-fold.c: In function 'bool gimple_fold_builtin_strncpy(gimple_stmt_iterator*, tree, tree, tree)': -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, fndecl, slen); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:1854:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, fndecl); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:1858:16: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c: In function 'bool gimple_fold_builtin_strncat(gimple_stmt_iterator*)': -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, fndecl, len, dstsize); - ^ -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2229:37: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, fndecl, len)) - ^ -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-fold.c:2246:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c: In function 'void find_explicit_erroneous_behavior()': -../../../riscv-gcc/gcc/gimple-ssa-isolate-paths.c:554:35: warning: format not a string literal and no format arguments [-Wformat-security] - OPT_Wreturn_local_addr, msg)) - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In function 'bool {anonymous}::format_directive(const {anonymous}::sprintf_dom_walker::call_info&, {anonymous}::format_result*, const {anonymous}::directive&, vr_values*)': -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: unknown conversion type character 'G' in format [-Wformat=] - target_to_host (hostdir, sizeof hostdir, dir.beg)); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: field precision specifier '.*' expects argument of type 'int', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: format '%s' expects argument of type 'char*', but argument 7 has type 'int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:2816:58: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function 'bool {anonymous}::maybe_diag_overlap(location_t, gimple*, {anonymous}::builtin_access&)': -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1449:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[0], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1459:41: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character 'G' in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c: In member function 'bool {anonymous}::sprintf_dom_walker::handle_gimple_call(gimple_stmt_iterator*)': -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: unknown conversion type character 'G' in format [-Wformat=] - info.callstmt); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4180:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1467:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character 'G' in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: unknown conversion type character 'G' in format [-Wformat=] - info.callstmt); - ^ -../../../riscv-gcc/gcc/gimple-ssa-sprintf.c:4210:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1482:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: unknown conversion type character 'G' in format [-Wformat=] - offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%s' expects argument of type 'char*', but argument 7 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%wu' expects argument of type 'long unsigned int', but argument 9 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1490:13: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: unknown conversion type character 'G' in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%s' expects argument of type 'char*', but argument 7 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 9 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: format '%s' expects argument of type 'char*', but argument 10 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1497:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1511:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[0], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: format '%s' expects argument of type 'char*', but argument 10 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1518:38: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[0], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%s' expects argument of type 'char*', but argument 6 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1524:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1553:44: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1561:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1571:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1579:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, sizrange[0], sizrange[1], ovlsiz[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1591:53: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character 'G' in format [-Wformat=] - offstr[0], offstr[1], ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 9 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%wu' expects argument of type 'long unsigned int', but argument 11 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: format '%s' expects argument of type 'char*', but argument 12 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1601:48: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character 'G' in format [-Wformat=] - ovlsiz[1], offstr[2]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 7 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 8 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%wu' expects argument of type 'long unsigned int', but argument 10 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: format '%s' expects argument of type 'char*', but argument 11 has type 'long int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1611:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function 'bool {anonymous}::maybe_diag_access_bounds(location_t, gimple*, tree, int, const {anonymous}::builtin_memref&, bool)': -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: unknown conversion type character 'G' in format [-Wformat=] - maxobjsize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1655:32: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: unknown conversion type character 'G' in format [-Wformat=] - maxobjsize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1662:30: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, rangestr[0], rangestr[1], ref.base, type)) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: format '%D' expects argument of type 'tree_node*', but argument 7 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1719:58: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, rangestr[0], rangestr[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1729:42: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, rangestr[0], rangestr[1]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1735:45: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character 'G' in format [-Wformat=] - ref.base, TREE_TYPE (ref.base))) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 7 has type 'long unsigned int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: format '%D' expects argument of type 'tree_node*', but argument 7 has type 'long unsigned int' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1756:40: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character 'G' in format [-Wformat=] - ref.base, TREE_TYPE (ref.base))) - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1764:39: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, rangestr[0], ref.basesize.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: format '%wu' expects argument of type 'long unsigned int', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1778:57: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, rangestr[0]); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1784:32: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func, rangestr[0], ref.base, type); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: format '%E' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1796:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: unknown conversion type character 'G' in format [-Wformat=] - ref.refoff.to_uhwi ()); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%s' expects argument of type 'char*', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%E' expects argument of type 'tree_node*', but argument 6 has type 'char*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: format '%wu' expects argument of type 'long unsigned int', but argument 9 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1808:28: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c: In function 'int check_bounds_or_overlap(gimple*, tree, tree, tree, tree, bool, bool)': -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: unknown conversion type character 'G' in format [-Wformat=] - call, func); - ^ -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/gimple-ssa-warn-restrict.c:1981:19: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/hsa-gen.c: In member function 'void omp_simple_builtin::generate(gimple*, hsa_bb*)': -../../../riscv-gcc/gcc/hsa-gen.c:88:32: warning: format not a string literal and no format arguments [-Wformat-security] - inform (location, message); \ - ^ -../../../riscv-gcc/gcc/hsa-gen.c:4548:2: note: in expansion of macro 'HSA_SORRY_AT' - HSA_SORRY_AT (gimple_location (stmt), m_warning_message); - ^~~~~~~~~~~~ -../../../riscv-gcc/gcc/hsa-gen.c:4555:68: warning: format not a string literal and no format arguments [-Wformat-security] - warning_at (gimple_location (stmt), OPT_Whsa, m_warning_message); - ^ -../../../riscv-gcc/gcc/ipa-devirt.c: In function 'void warn_odr(tree, tree, tree, tree, bool, bool*, const char*)': -../../../riscv-gcc/gcc/ipa-devirt.c:1050:47: warning: format not a string literal and no format arguments [-Wformat-security] - inform (DECL_SOURCE_LOCATION (decl2), reason); - ^ -../../../riscv-gcc/gcc/reload1.c: In function 'void elimination_costs_in_insn(rtx_insn*)': -../../../riscv-gcc/gcc/reload1.c:3754:28: warning: 'orig_dup[0]' may be used uninitialized in this function [-Wmaybe-uninitialized] - *recog_data.dup_loc[i] = orig_dup[i]; - ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ -../../../riscv-gcc/gcc/tree-ssa-ccp.c: In member function 'virtual unsigned int {anonymous}::pass_post_ipa_warn::execute(function*)': -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: unknown conversion type character 'G' in format [-Wformat=] - "expected", stmt, i + 1)) - ^ -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: format '%u' expects argument of type 'unsigned int', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-ccp.c:3460:34: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function 'bool maybe_diag_stxncpy_trunc(gimple_stmt_iterator, tree, tree)': -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, func, cnt); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2101:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, func, cnt, lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2115:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: unknown conversion type character 'G' in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2121:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, func, cnt, lenrange[1].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: unknown conversion type character 'G' in format [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%D' expects argument of type 'tree_node*', but argument 6 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: format '%wu' expects argument of type 'long unsigned int', but argument 8 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2134:46: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: unknown conversion type character 'G' in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[1].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2140:52: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: unknown conversion type character 'G' in format [-Wformat=] - cntrange[1].to_uhwi (), lenrange[0].to_uhwi ()); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: format '%wu' expects argument of type 'long unsigned int', but argument 5 has type 'tree {aka tree_node*}' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2156:55: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, func, cnt); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2182:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c: In function 'void handle_builtin_stxncpy(built_in_function, gimple_stmt_iterator*)': -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, func)) - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2295:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: unknown conversion type character 'G' in format [-Wformat=] - stmt, func); - ^ -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: format '%D' expects argument of type 'tree_node*', but argument 4 has type 'gimple*' [-Wformat=] -../../../riscv-gcc/gcc/tree-ssa-strlen.c:2301:15: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function 'void print_conversion_rejection(location_t, conversion_info*, tree)': -../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: unknown conversion type character 'H' in format [-Wformat=] - from, info->to_type); - ^ -../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:3559:22: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: unknown conversion type character 'H' in format [-Wformat=] - from, info->to_type); - ^ -../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:3572:25: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: unknown conversion type character 'H' in format [-Wformat=] - info->n_arg + 1, from, info->to_type); - ^ -../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:3578:44: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function 'z_candidate* build_user_type_conversion_1(tree, tree, int, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: unknown conversion type character 'H' in format [-Wformat=] - fromtype, totype); - ^ -../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:4094:20: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function 'tree_node* build_converted_constant_expr_internal(tree, tree, int, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: unknown conversion type character 'H' in format [-Wformat=] - type, next_conversion (c)->type); - ^ -../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:4241:37: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: unknown conversion type character 'H' in format [-Wformat=] - "converted constant expression", t, type); - ^ -../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:4261:49: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: unknown conversion type character 'H' in format [-Wformat=] - TREE_TYPE (expr), type); - ^ -../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:4296:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function 'tree_node* build_conditional_expr_1(const op_location_t&, tree, tree, tree, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: unknown conversion type character 'H' in format [-Wformat=] - "involves truncation", arg2_type, vtype); - ^ -../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:5096:50: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: unknown conversion type character 'H' in format [-Wformat=] - "involves truncation", arg3_type, vtype); - ^ -../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:5103:50: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function 'tree_node* convert_like_real(conversion*, tree, tree, int, bool, bool, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: unknown conversion type character 'H' in format [-Wformat=] - totype, TREE_TYPE (expr)); - ^ -../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7027:29: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: unknown conversion type character 'H' in format [-Wformat=] - totype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7036:17: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: unknown conversion type character 'H' in format [-Wformat=] - TREE_TYPE (expr), totype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7075:31: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: unknown conversion type character 'H' in format [-Wformat=] - "lvalue of type %qI", totype, extype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7373:61: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: unknown conversion type character 'H' in format [-Wformat=] - "type %qH to an rvalue of type %qI", totype, extype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7377:55: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: unknown conversion type character 'H' in format [-Wformat=] - "discards qualifiers", totype, extype); - ^ -../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7380:41: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function 'tree_node* convert_arg_to_ellipsis(tree, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: unknown conversion type character 'H' in format [-Wformat=] - arg_type, double_type_node); - ^ -../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:7535:33: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c: In function 'tree_node* cp_convert_to_pointer(tree, tree, bool, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: unknown conversion type character 'H' in format [-Wformat=] - intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:92:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: unknown conversion type character 'H' in format [-Wformat=] - expr, intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:102:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: unknown conversion type character 'H' in format [-Wformat=] - expr, intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:174:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: unknown conversion type character 'H' in format [-Wformat=] - expr, intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:201:23: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: unknown conversion type character 'H' in format [-Wformat=] - error_at (loc, "invalid conversion from %qH to %qI", intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:226:67: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: unknown conversion type character 'H' in format [-Wformat=] - expr, intype, type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:255:26: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c: In function 'tree_node* convert_to_reference(tree, tree, int, int, tree, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: unknown conversion type character 'H' in format [-Wformat=] - ttr, reftype); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:476:17: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: unknown conversion type character 'H' in format [-Wformat=] - error_at (loc, "cannot convert type %qH to type %qI", intype, reftype); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:525:74: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/cvt.c: In function 'tree_node* ocp_convert(tree, tree, int, int, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/cvt.c:707:26: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_conv_diag); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: unknown conversion type character 'H' in format [-Wformat=] - TREE_TYPE (expr), type); - ^ -../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/cvt.c:947:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function 'void complain_about_bad_argument(location_t, tree, tree, tree, int)': -../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: unknown conversion type character 'H' in format [-Wformat=] - from_type, to_type); - ^ -../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:9522:24: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function 'int joust(z_candidate*, z_candidate*, bool, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: unknown conversion type character 'H' in format [-Wformat=] - source, w->second_conv->type)) - ^ -../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:10777:34: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function 'tree_node* perform_implicit_conversion_flags(tree, tree, tsubst_flags_t, int)': -../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: unknown conversion type character 'H' in format [-Wformat=] - expr, TREE_TYPE (expr), type); - ^ -../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:11250:32: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c: In function 'tree_node* initialize_reference(tree, tree, int, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: unknown conversion type character 'H' in format [-Wformat=] - type, TREE_TYPE (expr)); - ^ -../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:11589:31: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: unknown conversion type character 'H' in format [-Wformat=] - TREE_TYPE (expr)); - ^ -../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/call.c:11593:25: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/pt.c: In function 'tree_node* tsubst_copy_and_build(tree, tree, tsubst_flags_t, tree, bool, bool)': -../../../riscv-gcc/gcc/cp/pt.c:18292:20: warning: format not a string literal and no format arguments [-Wformat-security] - error (error_msg); - ^ -../../../riscv-gcc/gcc/cp/typeck2.c: In function 'bool check_narrowing(tree, tree, tsubst_flags_t, bool)': -../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: unknown conversion type character 'H' in format [-Wformat=] - init, ftype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck2.c:999:21: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: unknown conversion type character 'H' in format [-Wformat=] - init, ftype, type) - ^ -../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck2.c:1010:27: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: unknown conversion type character 'H' in format [-Wformat=] - init, ftype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck2.c:1023:23: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c: In function 'tree_node* cp_build_binary_op(const op_location_t&, tree_code, tree, tree, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/typeck.c:4480:24: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_op_diag); - ^ -../../../riscv-gcc/gcc/cp/typeck.c: In function 'tree_node* cp_build_unary_op(tree_code, tree, bool, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/typeck.c:6232:24: warning: format not a string literal and no format arguments [-Wformat-security] - error (invalid_op_diag); - ^ -../../../riscv-gcc/gcc/cp/typeck.c: In function 'tree_node* convert_member_func_to_ptr(tree, tree, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: unknown conversion type character 'H' in format [-Wformat=] - "converting from %qH to %qI", intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7449:49: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c: In function 'tree_node* build_reinterpret_cast_1(tree, tree, bool, bool*, tsubst_flags_t)': -../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: unknown conversion type character 'H' in format [-Wformat=] - intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7594:36: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: unknown conversion type character 'H' in format [-Wformat=] - " from %qH to %qI", intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7619:36: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: unknown conversion type character 'H' in format [-Wformat=] - " from %qH to %qI", intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7630:36: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: unknown conversion type character 'H' in format [-Wformat=] - "increases required alignment of target type", intype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7651:63: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c: In function 'tree_node* build_const_cast_1(tree, tree, tsubst_flags_t, bool*)': -../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: unknown conversion type character 'H' in format [-Wformat=] - src_type, dst_type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:7834:23: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c: In function 'tree_node* convert_for_assignment(tree, tree, impl_conv_rhs, tree, int, tsubst_flags_t, int)': -../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: unknown conversion type character 'H' in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9013:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: unknown conversion type character 'H' in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9018:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: unknown conversion type character 'H' in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9022:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: unknown conversion type character 'H' in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9027:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: unknown conversion type character 'H' in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9031:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: unknown conversion type character 'H' in format [-Wformat=] - rhstype, type); - ^ -../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: unknown conversion type character 'I' in format [-Wformat=] -../../../riscv-gcc/gcc/cp/typeck.c:9036:18: warning: too many arguments for format [-Wformat-extra-args] -../../../riscv-gcc/gcc/collect2.c: In function 'void scan_prog_file(const char*, scanpass, scanfilter)': -../../../riscv-gcc/gcc/collect2.c:2463:37: warning: format not a string literal and no format arguments [-Wformat-security] - fatal_error (input_location, errmsg); - ^ -../../../riscv-gcc/gcc/collect-utils.c: In function 'pex_obj* collect_execute(const char*, char**, const char*, const char*, int, bool)': -../../../riscv-gcc/gcc/collect-utils.c:195:37: warning: format not a string literal and no format arguments [-Wformat-security] - fatal_error (input_location, errmsg); - ^ -../../../riscv-gcc/gcc/lto-wrapper.c: In function 'bool find_and_merge_options(int, off_t, const char*, cl_decoded_option**, unsigned int*, const char*)': -../../../riscv-gcc/gcc/lto-wrapper.c:1005:8: warning: ignoring return value of 'ssize_t read(int, void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] - read (fd, data, length); - ~~~~~^~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l: In function 'int yylex(const char**)': -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' - "GTY"/{EOID} { return GTY_TOKEN; } - ^~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gengtype-lex.l:114:1: note: here - "^" | - ^~~~ -gengtype-lex.c:357:15: warning: this statement may fall through [-Wimplicit-fallthrough=] - #define YY_DO_BEFORE_ACTION \ - ~~~~~~~~~~~~~^~~ -../../../riscv-gcc/gcc/gengtype-lex.l:136:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' - - ^ -../../../riscv-gcc/gcc/gengtype-lex.l:132:1: note: here - size_t len; - ^ ~~ -../../../riscv-gcc/gcc/gcc.c: In function 'long unsigned int get_random_number()': -../../../riscv-gcc/gcc/gcc.c:9639:12: warning: ignoring return value of 'ssize_t read(int, void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] - read (fd, &ret, sizeof (HOST_WIDE_INT)); - ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c: In function 'void do_report_bug(const char**, int, char**, char**)': -../../../riscv-gcc/gcc/gcc.c:6999:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] - write (fd, "\n//", 3); - ~~~~~~^~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7002:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] - write (fd, " ", 1); - ~~~~~~^~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7003:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] - write (fd, new_argv[i], strlen (new_argv[i])); - ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/gcc.c:7005:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)', declared with attribute warn_unused_result [-Wunused-result] - write (fd, "\n\n", 2); - ~~~~~~^~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/config/riscv/riscv.md:2281:1: warning: operand 0 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2303:1: warning: operand 1 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2326:1: warning: operand 0 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2349:1: warning: operand 1 missing mode? -../../../riscv-gcc/gcc/config/riscv/riscv.md:2415:1: warning: source missing a mode? -Statistics for recog: - Number of decisions: 1699 - longest path: 32 (code: 188) - longest backtrack: 13 (code: 336) -Statistics for split_insns: - Number of decisions: 209 - longest path: 30 (code: 40) - longest backtrack: 3 (code: 40) -Statistics for peephole2_insns: - Number of decisions: 22 - longest path: 22 (code: 1) - longest backtrack: 0 (code: 1) -Shared 1212 out of 3026 states by creating 356 new states, saving 856 -GIMPLE decision tree has 2874 leafs, maximum depth 12 and a total number of 11721 nodes -removed 1855 duplicate tails -GENERIC decision tree has 2760 leafs, maximum depth 12 and a total number of 11180 nodes -removed 1757 duplicate tails -../../../riscv-gcc/gcc/lto/lto.c: In function 'void lto_resolution_read(splay_tree, FILE*, lto_file*)': -../../../riscv-gcc/gcc/lto/lto.c:1918:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] - fscanf (resolution, " "); /* Read white space. */ - ~~~~~~~^~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/lto/lto.c:1920:9: warning: ignoring return value of 'size_t fread(void*, size_t, size_t, FILE*)', declared with attribute warn_unused_result [-Wunused-result] - fread (obj_name, sizeof (char), name_len, resolution); - ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/lto/lto.c:1940:10: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)', declared with attribute warn_unused_result [-Wunused-result] - fscanf (resolution, "%u", &num_symbols); - ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../riscv-gcc/gcc/fortran/trans-intrinsic.c: In function 'void gfc_conv_intrinsic_findloc(gfc_se*, gfc_expr*)': -../../../riscv-gcc/gcc/fortran/trans-intrinsic.c:5615:7: warning: 'forward_branch' may be used uninitialized in this function [-Wmaybe-uninitialized] - tmp = build3_v (COND_EXPR, backse.expr, forward_branch, back_branch); -Applying hpux8_bogus_inlines to math.h -Applying io_quotes_use to scsi/cxlflash_ioctl.h -Applying sysv68_string to string.h -Applying io_quotes_use to mtd/ubi-user.h -Applying pthread_incomplete_struct_argument to pthread.h -Applying io_quotes_use to rdma/rdma_user_ioctl.h -Applying io_quotes_use to sys/mount.h -Applying io_quotes_use to sys/raw.h -Applying sun_malloc to malloc.h -Applying io_quotes_use to misc/cxl.h -Applying io_quotes_use to misc/ocxl.h -Applying io_quotes_use to sound/asound.h -Applying io_quotes_use to sound/emu10k1.h -Applying io_quotes_use to sound/asequencer.h -Applying io_quotes_use to sound/compress_offload.h -Applying io_quotes_use to drm/omap_drm.h -Applying io_quotes_use to drm/r128_drm.h -Applying io_quotes_use to drm/i915_drm.h -Applying io_quotes_use to drm/nouveau_drm.h -Applying io_quotes_use to drm/mga_drm.h -Applying io_quotes_use to drm/via_drm.h -Applying io_quotes_use to drm/i810_drm.h -Applying io_quotes_use to drm/radeon_drm.h -Applying io_quotes_use to drm/vc4_drm.h -Applying io_quotes_use to drm/vgem_drm.h -Applying io_quotes_use to drm/amdgpu_drm.h -Applying io_quotes_use to drm/sis_drm.h -Applying io_quotes_use to drm/msm_drm.h -Applying io_quotes_use to drm/drm.h -Applying io_quotes_use to drm/tegra_drm.h -Applying io_quotes_use to drm/v3d_drm.h -Applying io_quotes_use to drm/exynos_drm.h -Applying io_quotes_use to drm/savage_drm.h -Applying io_quotes_use to drm/etnaviv_drm.h -Applying io_quotes_use to linux/dm-ioctl.h -Applying io_quotes_use to linux/btrfs.h -Applying io_quotes_use to linux/fpga-dfl.h -Applying io_quotes_use to linux/agpgart.h -Applying io_quotes_use to linux/rpmsg.h -Applying io_quotes_use to linux/vfio.h -Applying io_quotes_use to linux/if_pppox.h -Applying io_quotes_use to linux/vtpm_proxy.h -Applying io_quotes_use to linux/ptp_clock.h --fself-test: 46308 pass(es) in 0.375724 seconds -Applying io_quotes_use to linux/mmc/ioctl.h -Applying io_quotes_use to linux/ipmi.h -Applying io_quotes_use to linux/ndctl.h -Applying io_quotes_use to linux/vboxguest.h -Applying io_quotes_use to linux/nsfs.h -Applying io_quotes_use to linux/dn.h -Applying io_quotes_use to linux/fd.h -Applying io_quotes_use to linux/synclink.h -Applying io_quotes_use to linux/wmi.h -Applying io_quotes_use to linux/gpio.h -Applying io_quotes_use to linux/atmbr2684.h -Applying io_quotes_use to linux/suspend_ioctls.h -Applying io_quotes_use to linux/ppdev.h -Applying io_quotes_use to linux/genwqe/genwqe_card.h -Applying io_quotes_use to linux/ipmi_bmc.h -Applying io_quotes_use to linux/seccomp.h -Applying io_quotes_use to linux/omapfb.h -Applying io_quotes_use to linux/vhost.h -Applying io_quotes_use to linux/tee.h -Applying io_quotes_use to linux/lightnvm.h -Applying io_quotes_use to linux/cm4000_cs.h -Applying io_quotes_use to linux/mmtimer.h -Applying io_quotes_use to linux/usb/tmc.h -Applying io_quotes_use to linux/aspeed-lpc-ctrl.h -Applying io_quotes_use to linux/gigaset_dev.h -Applying io_quotes_def to linux/version.h -Applying io_quotes_use to linux/rio_cm_cdev.h -Applying io_quotes_use to linux/nbd.h -Applying io_quotes_use to linux/fuse.h -Applying io_quotes_use to linux/rfkill.h -Applying io_quotes_use to linux/pktcdvd.h -Applying io_quotes_use to linux/watchdog.h -Applying io_quotes_use to linux/i2o-dev.h -Applying io_quotes_use to linux/vm_sockets.h -Applying io_quotes_use to linux/userfaultfd.h -Applying io_quotes_use to linux/uinput.h -Applying io_quotes_def to linux/pci_regs.h -Applying io_quotes_use to linux/reiserfs_fs.h -Applying io_quotes_use to linux/random.h -Applying io_quotes_use to linux/bt-bmc.h -Applying io_quotes_use to linux/cciss_ioctl.h -Applying io_quotes_use to linux/psp-sev.h -Applying io_quotes_def to linux/ppp-comp.h -Applying io_quotes_use to linux/phantom.h -Applying io_quotes_use to linux/blkpg.h -Applying io_quotes_def to linux/soundcard.h -Applying io_quotes_use to linux/raid/md_u.h -Applying io_quotes_use to linux/dma-buf.h -Applying io_quotes_use to linux/fs.h -Applying io_quotes_use to linux/raw.h -Applying io_quotes_use to linux/spi/spidev.h -Applying io_quotes_use to linux/hsi/cs-protocol.h -Applying io_quotes_use to linux/hsi/hsi_char.h -Applying io_quotes_use to linux/blkzoned.h -Applying io_quotes_use to linux/sync_file.h -Applying io_quotes_use to linux/auto_fs.h -Applying io_quotes_use to video/sisfb.h -Applying glibc_stdint to stdint.h --fself-test: 46338 pass(es) in 0.372092 seconds -configure: WARNING: decimal float is not supported for this target, ignored -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -In file included from ../../../../riscv-gcc/libgcc/unwind-dw2.c:411: -./md-unwind-support.h: In function 'riscv_fallback_frame_state': -./md-unwind-support.h:67:6: warning: assignment to 'struct sigcontext *' from incompatible pointer type 'mcontext_t *' {aka 'struct mcontext_t *'} [-Wincompatible-pointer-types] - 67 | sc = &rt_->uc.uc_mcontext; - | ^ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_1'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_add_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_add_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:100:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 100 | GENERATE_FETCH_AND_OP(type, size, add, add, DONT_INVERT, o + v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_sub_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_sub_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:101:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 101 | GENERATE_FETCH_AND_OP(type, size, sub, sub, DONT_INVERT, o - v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_and_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_and_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:102:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 102 | GENERATE_FETCH_AND_OP(type, size, and, and, DONT_INVERT, o & v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_xor_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_xor_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:103:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 103 | GENERATE_FETCH_AND_OP(type, size, xor, xor, DONT_INVERT, o ^ v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_or_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_or_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:104:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 104 | GENERATE_FETCH_AND_OP(type, size, or, or, DONT_INVERT, o | v) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:34:8: warning: mismatch in argument 1 type of built-in function '__sync_fetch_and_nand_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 34 | type __sync_fetch_and_ ## opname ## _ ## size (type *p, type v) \ - | ^~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:61:8: warning: mismatch in argument 1 type of built-in function '__sync_nand_and_fetch_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 61 | type __sync_ ## opname ## _and_fetch_ ## size (type *p, type v) \ - | ^~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:68:8: warning: mismatch in argument 1 type of built-in function '__sync_val_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 68 | type __sync_val_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:94:8: warning: mismatch in argument 1 type of built-in function '__sync_bool_compare_and_swap_2'; expected 'volatile void *' [-Wbuiltin-declaration-mismatch] - 94 | bool __sync_bool_compare_and_swap_ ## size (type *p, type o, type n) \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:106:3: note: in expansion of macro 'GENERATE_COMPARE_AND_SWAP' - 106 | GENERATE_COMPARE_AND_SWAP(type, size) - | ^~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:109:1: note: in expansion of macro 'GENERATE_ALL' - 109 | GENERATE_ALL(unsigned short, 2) - | ^~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c: In function '__sync_nand_and_fetch_1': -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:63:14: note: '__sync_fetch_and_nand' changed semantics in GCC 4.4 - 63 | type o = __sync_fetch_and_ ## opname ## _ ## size (p, v); \ - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:105:3: note: in expansion of macro 'GENERATE_FETCH_AND_OP' - 105 | GENERATE_FETCH_AND_OP(type, size, nand, and, INVERT, ~(o & v)) \ - | ^~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgcc/config/riscv/atomic.c:108:1: note: in expansion of macro 'GENERATE_ALL' - 108 | GENERATE_ALL(unsigned char, 1) - | ^~~~~~~~~~~~ -In file included from ../../../../riscv-gcc/libgcc/unwind-dw2.c:411: -./md-unwind-support.h: In function 'riscv_fallback_frame_state': -./md-unwind-support.h:67:6: warning: assignment to 'struct sigcontext *' from incompatible pointer type 'mcontext_t *' {aka 'struct mcontext_t *'} [-Wincompatible-pointer-types] - 67 | sc = &rt_->uc.uc_mcontext; - | ^ -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -configure: WARNING: No native atomic operations are provided for this platform. -configure: WARNING: They will be faked using a mutex. -configure: WARNING: Performance of certain classes will degrade as a result. -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c: In function 'date_and_time': -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:33: warning: '%04d' directive output may be truncated writing between 4 and 11 bytes into a region of size 9 [-Wformat-truncation=] - 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", - | ^~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:32: note: directive argument in the range [-2147481748, 2147483647] - 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", - | ^~~~~~~~~~~~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:32: note: directive argument in the range [-2147483647, 2147483647] -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:165:2: note: 'snprintf' output between 9 and 34 bytes into a destination of size 9 - 165 | snprintf (date, DATE_LEN + 1, "%04d%02d%02d", - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - 166 | values[0], values[1], values[2]); - | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:33: warning: '%+03d' directive output may be truncated writing between 3 and 9 bytes into a region of size 6 [-Wformat-truncation=] - 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", - | ^~~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:32: note: directive argument in the range [-35791394, 35791394] - 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", - | ^~~~~~~~~~~ -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:32: note: directive argument in the range [0, 59] -../../../../riscv-gcc/libgfortran/intrinsics/date_and_time.c:172:2: note: 'snprintf' output between 6 and 12 bytes into a destination of size 6 - 172 | snprintf (zone, ZONE_LEN + 1, "%+03d%02d", - | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - 173 | values[3] / 60, abs (values[3] % 60)); - | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: .libs/fpu.o: in function `.L11': -fpu.c:(.text._gfortrani_set_fpu_trap_exceptions+0x86): warning: fedisableexcept is not implemented and will always fail -/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: fpu.c:(.text._gfortrani_set_fpu_trap_exceptions+0x74): warning: feenableexcept is not implemented and will always fail -/home/riscvuser/chipyard/riscv-tools-install/riscv64-unknown-linux-gnu/bin/ld: .libs/fpu.o: in function `_gfortrani_get_fpu_trap_exceptions': -fpu.c:(.text._gfortrani_get_fpu_trap_exceptions+0x4): warning: fegetexcept is not implemented and will always fail -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Makefile:867: warning: overriding recipe for target 'all-multi' -Makefile:861: warning: ignoring old recipe for target 'all-multi' -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-isa-sim'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-pk'... -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-tests'... -Submodule 'env' (https://github.com/riscv/riscv-test-env.git) registered for path 'toolchains/riscv-tools/riscv-tests/env' -Cloning into '/home/riscvuser/chipyard/toolchains/riscv-tools/riscv-tests/env'... -Cloning into '/home/riscvuser/chipyard/toolchains/libgloss'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu'... -Submodule 'capstone' (https://git.qemu.org/git/capstone.git) registered for path 'toolchains/qemu/capstone' -Submodule 'dtc' (https://git.qemu.org/git/dtc.git) registered for path 'toolchains/qemu/dtc' -Submodule 'roms/QemuMacDrivers' (https://git.qemu.org/git/QemuMacDrivers.git) registered for path 'toolchains/qemu/roms/QemuMacDrivers' -Submodule 'roms/SLOF' (https://git.qemu.org/git/SLOF.git) registered for path 'toolchains/qemu/roms/SLOF' -Submodule 'roms/edk2' (https://git.qemu.org/git/edk2.git) registered for path 'toolchains/qemu/roms/edk2' -Submodule 'roms/ipxe' (https://git.qemu.org/git/ipxe.git) registered for path 'toolchains/qemu/roms/ipxe' -Submodule 'roms/openbios' (https://git.qemu.org/git/openbios.git) registered for path 'toolchains/qemu/roms/openbios' -Submodule 'roms/openhackware' (https://git.qemu.org/git/openhackware.git) registered for path 'toolchains/qemu/roms/openhackware' -Submodule 'roms/opensbi' (https://git.qemu.org/git/opensbi.git) registered for path 'toolchains/qemu/roms/opensbi' -Submodule 'roms/qemu-palcode' (https://git.qemu.org/git/qemu-palcode.git) registered for path 'toolchains/qemu/roms/qemu-palcode' -Submodule 'roms/seabios' (https://git.qemu.org/git/seabios.git/) registered for path 'toolchains/qemu/roms/seabios' -Submodule 'roms/seabios-hppa' (https://git.qemu.org/git/seabios-hppa.git) registered for path 'toolchains/qemu/roms/seabios-hppa' -Submodule 'roms/sgabios' (https://git.qemu.org/git/sgabios.git) registered for path 'toolchains/qemu/roms/sgabios' -Submodule 'roms/skiboot' (https://git.qemu.org/git/skiboot.git) registered for path 'toolchains/qemu/roms/skiboot' -Submodule 'roms/u-boot' (https://git.qemu.org/git/u-boot.git) registered for path 'toolchains/qemu/roms/u-boot' -Submodule 'roms/u-boot-sam460ex' (https://git.qemu.org/git/u-boot-sam460ex.git) registered for path 'toolchains/qemu/roms/u-boot-sam460ex' -Submodule 'slirp' (https://git.qemu.org/git/libslirp.git) registered for path 'toolchains/qemu/slirp' -Submodule 'tests/fp/berkeley-softfloat-3' (https://git.qemu.org/git/berkeley-softfloat-3.git) registered for path 'toolchains/qemu/tests/fp/berkeley-softfloat-3' -Submodule 'tests/fp/berkeley-testfloat-3' (https://git.qemu.org/git/berkeley-testfloat-3.git) registered for path 'toolchains/qemu/tests/fp/berkeley-testfloat-3' -Submodule 'ui/keycodemapdb' (https://git.qemu.org/git/keycodemapdb.git) registered for path 'toolchains/qemu/ui/keycodemapdb' -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/capstone'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/dtc'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/QemuMacDrivers'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/SLOF'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/ipxe'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/openbios'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/openhackware'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/opensbi'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/qemu-palcode'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/seabios'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/seabios-hppa'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/sgabios'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/skiboot'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/u-boot'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/u-boot-sam460ex'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/slirp'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/tests/fp/berkeley-softfloat-3'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/tests/fp/berkeley-testfloat-3'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/ui/keycodemapdb'... -Submodule 'SoftFloat' (https://github.com/ucb-bar/berkeley-softfloat-3.git) registered for path 'toolchains/qemu/roms/edk2/ArmPkg/Library/ArmSoftFloatLib/berkeley-softfloat-3' -Submodule 'CryptoPkg/Library/OpensslLib/openssl' (https://github.com/openssl/openssl) registered for path 'toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl' -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/ArmPkg/Library/ArmSoftFloatLib/berkeley-softfloat-3'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl'... -Submodule 'boringssl' (https://boringssl.googlesource.com/boringssl) registered for path 'toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/boringssl' -Submodule 'krb5' (https://github.com/krb5/krb5) registered for path 'toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/krb5' -Submodule 'pyca.cryptography' (https://github.com/pyca/cryptography.git) registered for path 'toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/pyca-cryptography' -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/boringssl'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/krb5'... -Cloning into '/home/riscvuser/chipyard/toolchains/qemu/roms/edk2/CryptoPkg/Library/OpensslLib/openssl/pyca-cryptography'... -ar: creating libdromajo_cosim.a -Removing intermediate container d4c7d9e86f09 - ---> 67593a0dda02 -Step 11/12 : ENTRYPOINT ["/home/riscvuser/chipyard/scripts/entrypoint.sh"] - ---> Running in 15694aa6e91a -Removing intermediate container 15694aa6e91a - ---> df74d16ea3fb -Step 12/12 : CMD ["/bin/sh"] - ---> Running in 00e6435aedd8 -Removing intermediate container 00e6435aedd8 - ---> 24b1063f956e -Successfully built 24b1063f956e -[schwarzem@a6:/scratch/schwarzem/chipyard]$ eixit -exit - -Script done on 2020-12-22 19:36:46-0800 diff --git a/verilator-no-env.txt b/verilator-no-env.txt deleted file mode 100644 index 9100a140b3..0000000000 --- a/verilator-no-env.txt +++ /dev/null @@ -1,68235 +0,0 @@ -Script started on 2020-12-22 19:37:05-0800 -[schwarzem@a6:/scratch/schwarzem/chipyard]$ exitdocker build .exitdocker build .exitdocker build .git pushcommit -m "Cleaned d up dockerfile"add * - cat Dockerfiledocker ps --sizef -k /ocker run --rm -it 4e ec24ac7d0ce bash -ilbuild . - run --rm -it cd d221c694d36 bash -ilbuild . - run --rm -it cd d221c694d36 bash -il  b24[12@b1063f956e b -]0;riscvuser@dd954fd5a122: ~riscvuser@dd954fd5a122:~$ ]0;riscvuser@dd954fd5a122: ~riscvuser@dd954fd5a122:~$ cd chipyard/sims/verilator -]0;riscvuser@dd954fd5a122: ~/chipyard/sims/verilatorriscvuser@dd954fd5a122:~/chipyard/sims/verilator$ make -make -C /home/riscvuser/chipyard/tools/firrtl SBT="java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar" root_dir=/home/riscvuser/chipyard/tools/firrtl build-scala -make[1]: Entering directory '/home/riscvuser/chipyard/tools/firrtl' -java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar "assembly" -OpenJDK 64-Bit Server VM warning: Ignoring option MaxPermSize; support was removed in 8.0 -Getting org.scala-sbt sbt 1.3.8 (this may take some time)... -downloading https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.8/sbt-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#sbt;1.3.8!sbt.jar (21ms) -downloading https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.10/scala-library-2.12.10.jar ... - [SUCCESSFUL ] org.scala-lang#scala-library;2.12.10!scala-library.jar (137ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.8/main_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#main_2.12;1.3.8!main_2.12.jar (155ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.3/io_2.12-1.3.3.jar ... - [SUCCESSFUL ] org.scala-sbt#io_2.12;1.3.3!io_2.12.jar (23ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.8/logic_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#logic_2.12;1.3.8!logic_2.12.jar (15ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.8/actions_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#actions_2.12;1.3.8!actions_2.12.jar (18ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.8/main-settings_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#main-settings_2.12;1.3.8!main-settings_2.12.jar (24ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.8/run_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#run_2.12;1.3.8!run_2.12.jar (15ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.8/command_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#command_2.12;1.3.8!command_2.12.jar (62ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.8/collections_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#collections_2.12;1.3.8!collections_2.12.jar (21ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.8/scripted-sbt-redux_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#scripted-sbt-redux_2.12;1.3.8!scripted-sbt-redux_2.12.jar (39ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.8/scripted-plugin_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#scripted-plugin_2.12;1.3.8!scripted-plugin_2.12.jar (13ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.8/zinc-lm-integration_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-lm-integration_2.12;1.3.8!zinc-lm-integration_2.12.jar (18ms) -downloading https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar ... - [SUCCESSFUL ] org.scala-lang.modules#scala-xml_2.12;1.2.0!scala-xml_2.12.jar(bundle) (26ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.1.3/launcher-interface-1.1.3.jar ... - [SUCCESSFUL ] org.scala-sbt#launcher-interface;1.1.3!launcher-interface.jar (15ms) -downloading https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.11.2/log4j-api-2.11.2.jar ... - [SUCCESSFUL ] org.apache.logging.log4j#log4j-api;2.11.2!log4j-api.jar (18ms) -downloading https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.11.2/log4j-core-2.11.2.jar ... - [SUCCESSFUL ] org.apache.logging.log4j#log4j-core;2.11.2!log4j-core.jar (38ms) -downloading https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.11.2/log4j-slf4j-impl-2.11.2.jar ... - [SUCCESSFUL ] org.apache.logging.log4j#log4j-slf4j-impl;2.11.2!log4j-slf4j-impl.jar (62ms) -downloading https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffeine_2.12/0.20.0/scalacache-caffeine_2.12-0.20.0.jar ... - [SUCCESSFUL ] com.github.cb372#scalacache-caffeine_2.12;0.20.0!scalacache-caffeine_2.12.jar (14ms) -downloading https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC5-3/lm-coursier-shaded_2.12-2.0.0-RC5-3.jar ... - [SUCCESSFUL ] io.get-coursier#lm-coursier-shaded_2.12;2.0.0-RC5-3!lm-coursier-shaded_2.12.jar (195ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.3/util-logging_2.12-1.3.3.jar ... - [SUCCESSFUL ] org.scala-sbt#util-logging_2.12;1.3.3!util-logging_2.12.jar (19ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.1/librarymanagement-core_2.12-1.3.1.jar ... - [SUCCESSFUL ] org.scala-sbt#librarymanagement-core_2.12;1.3.1!librarymanagement-core_2.12.jar (27ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.1/librarymanagement-ivy_2.12-1.3.1.jar ... - [SUCCESSFUL ] org.scala-sbt#librarymanagement-ivy_2.12;1.3.1!librarymanagement-ivy_2.12.jar (23ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.4/compiler-interface-1.3.4.jar ... - [SUCCESSFUL ] org.scala-sbt#compiler-interface;1.3.4!compiler-interface.jar (18ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.4/zinc-compile_2.12-1.3.4.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-compile_2.12;1.3.4!zinc-compile_2.12.jar (14ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.3/util-relation_2.12-1.3.3.jar ... - [SUCCESSFUL ] org.scala-sbt#util-relation_2.12;1.3.3!util-relation_2.12.jar (103ms) -downloading https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.8.2/sjson-new-scalajson_2.12-0.8.2.jar ... - [SUCCESSFUL ] com.eed3si9n#sjson-new-scalajson_2.12;0.8.2!sjson-new-scalajson_2.12.jar (16ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.3/util-position_2.12-1.3.3.jar ... - [SUCCESSFUL ] org.scala-sbt#util-position_2.12;1.3.3!util-position_2.12.jar (15ms) -downloading https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4.jar ... - [SUCCESSFUL ] com.eed3si9n#shaded-scalajson_2.12;1.0.0-M4!shaded-scalajson_2.12.jar (16ms) -downloading https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0.10.4/jawn-parser_2.12-0.10.4.jar ... - [SUCCESSFUL ] org.spire-math#jawn-parser_2.12;0.10.4!jawn-parser_2.12.jar (17ms) -downloading https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.10/scala-reflect-2.12.10.jar ... - [SUCCESSFUL ] org.scala-lang#scala-reflect;2.12.10!scala-reflect.jar (66ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.8/completion_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#completion_2.12;1.3.8!completion_2.12.jar (19ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.8/task-system_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#task-system_2.12;1.3.8!task-system_2.12.jar (16ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.8/tasks_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#tasks_2.12;1.3.8!tasks_2.12.jar (19ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.8/testing_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#testing_2.12;1.3.8!testing_2.12.jar (18ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.3/util-tracking_2.12-1.3.3.jar ... - [SUCCESSFUL ] org.scala-sbt#util-tracking_2.12;1.3.3!util-tracking_2.12.jar (13ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.4/zinc-classpath_2.12-1.3.4.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-classpath_2.12;1.3.4!zinc-classpath_2.12.jar (13ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.4/zinc-apiinfo_2.12-1.3.4.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-apiinfo_2.12;1.3.4!zinc-apiinfo_2.12.jar (15ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.4/zinc_2.12-1.3.4.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc_2.12;1.3.4!zinc_2.12.jar (14ms) -downloading https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar ... - [SUCCESSFUL ] jline#jline;2.14.6!jline.jar (16ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.3/util-control_2.12-1.3.3.jar ... - [SUCCESSFUL ] org.scala-sbt#util-control_2.12;1.3.3!util-control_2.12.jar (12ms) -downloading https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/file-tree-views-2.1.3.jar ... - [SUCCESSFUL ] com.swoval#file-tree-views;2.1.3!file-tree-views.jar (17ms) -downloading https://repo1.maven.org/maven2/net/java/dev/jna/jna/4.5.0/jna-4.5.0.jar ... - [SUCCESSFUL ] net.java.dev.jna#jna;4.5.0!jna.jar (37ms) -downloading https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/4.5.0/jna-platform-4.5.0.jar ... - [SUCCESSFUL ] net.java.dev.jna#jna-platform;4.5.0!jna-platform.jar (46ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.3/util-interface-1.3.3.jar ... - [SUCCESSFUL ] org.scala-sbt#util-interface;1.3.3!util-interface.jar (14ms) -downloading https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.8.3/sjson-new-core_2.12-0.8.3.jar ... - [SUCCESSFUL ] com.eed3si9n#sjson-new-core_2.12;0.8.3!sjson-new-core_2.12.jar (24ms) -downloading https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2.jar ... - [SUCCESSFUL ] com.lmax#disruptor;3.4.2!disruptor.jar (15ms) -downloading https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.10/scala-compiler-2.12.10.jar ... - [SUCCESSFUL ] org.scala-lang#scala-compiler;2.12.10!scala-compiler.jar (259ms) -downloading https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.7.0/protobuf-java-3.7.0.jar ... - [SUCCESSFUL ] com.google.protobuf#protobuf-java;3.7.0!protobuf-java.jar(bundle) (34ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.3/util-cache_2.12-1.3.3.jar ... - [SUCCESSFUL ] org.scala-sbt#util-cache_2.12;1.3.3!util-cache_2.12.jar (16ms) -downloading https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.8.3/sjson-new-murmurhash_2.12-0.8.3.jar ... - [SUCCESSFUL ] com.eed3si9n#sjson-new-murmurhash_2.12;0.8.3!sjson-new-murmurhash_2.12.jar (14ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.8/test-agent-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#test-agent;1.3.8!test-agent.jar (16ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar ... - [SUCCESSFUL ] org.scala-sbt#test-interface;1.0!test-interface.jar (62ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.4/compiler-bridge_2.12-1.3.4.jar ... - [SUCCESSFUL ] org.scala-sbt#compiler-bridge_2.12;1.3.4!compiler-bridge_2.12.jar (21ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.4/zinc-classfile_2.12-1.3.4.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-classfile_2.12;1.3.4!zinc-classfile_2.12.jar (18ms) -downloading https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54.jar ... - [SUCCESSFUL ] com.jcraft#jsch;0.1.54!jsch.jar (18ms) -downloading https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.12/0.5.0/gigahorse-okhttp_2.12-0.5.0.jar ... - [SUCCESSFUL ] com.eed3si9n#gigahorse-okhttp_2.12;0.5.0!gigahorse-okhttp_2.12.jar (16ms) -downloading https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlconnection/3.7.0/okhttp-urlconnection-3.7.0.jar ... - [SUCCESSFUL ] com.squareup.okhttp3#okhttp-urlconnection;3.7.0!okhttp-urlconnection.jar (15ms) -downloading https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.5.0/gigahorse-core_2.12-0.5.0.jar ... - [SUCCESSFUL ] com.eed3si9n#gigahorse-core_2.12;0.5.0!gigahorse-core_2.12.jar (17ms) -downloading https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.2/okhttp-3.14.2.jar ... - [SUCCESSFUL ] com.squareup.okhttp3#okhttp;3.14.2!okhttp.jar (19ms) -downloading https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.4.0/ssl-config-core_2.12-0.4.0.jar ... - [SUCCESSFUL ] com.typesafe#ssl-config-core_2.12;0.4.0!ssl-config-core_2.12.jar(bundle) (19ms) -downloading https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.2/reactive-streams-1.0.2.jar ... - [SUCCESSFUL ] org.reactivestreams#reactive-streams;1.0.2!reactive-streams.jar (13ms) -downloading https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-api-1.7.26.jar ... - [SUCCESSFUL ] org.slf4j#slf4j-api;1.7.26!slf4j-api.jar (16ms) -downloading https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2.jar ... - [SUCCESSFUL ] org.scala-lang.modules#scala-parser-combinators_2.12;1.1.2!scala-parser-combinators_2.12.jar(bundle) (20ms) -downloading https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-1.3.3.jar ... - [SUCCESSFUL ] com.typesafe#config;1.3.3!config.jar(bundle) (20ms) -downloading https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/okio-1.17.2.jar ... - [SUCCESSFUL ] com.squareup.okio#okio;1.17.2!okio.jar (17ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.4/zinc-core_2.12-1.3.4.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-core_2.12;1.3.4!zinc-core_2.12.jar (27ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.4/zinc-persist_2.12-1.3.4.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-persist_2.12;1.3.4!zinc-persist_2.12.jar (39ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.4/zinc-compile-core_2.12-1.3.4.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-compile-core_2.12;1.3.4!zinc-compile-core_2.12.jar (17ms) -downloading https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-runtime_2.12/0.6.0/scalapb-runtime_2.12-0.6.0.jar ... - [SUCCESSFUL ] com.trueaccord.scalapb#scalapb-runtime_2.12;0.6.0!scalapb-runtime_2.12.jar (40ms) -downloading https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12/0.4.12/lenses_2.12-0.4.12.jar ... - [SUCCESSFUL ] com.trueaccord.lenses#lenses_2.12;0.4.12!lenses_2.12.jar (13ms) -downloading https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/fastparse_2.12-0.4.2.jar ... - [SUCCESSFUL ] com.lihaoyi#fastparse_2.12;0.4.2!fastparse_2.12.jar (19ms) -downloading https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/0.4.2/fastparse-utils_2.12-0.4.2.jar ... - [SUCCESSFUL ] com.lihaoyi#fastparse-utils_2.12;0.4.2!fastparse-utils_2.12.jar (46ms) -downloading https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3/sourcecode_2.12-0.1.3.jar ... - [SUCCESSFUL ] com.lihaoyi#sourcecode_2.12;0.1.3!sourcecode_2.12.jar (16ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/sbinary_2.12-0.5.0.jar ... - [SUCCESSFUL ] org.scala-sbt#sbinary_2.12;0.5.0!sbinary_2.12.jar (17ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.8/core-macros_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#core-macros_2.12;1.3.8!core-macros_2.12.jar (16ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.8/protocol_2.12-1.3.8.jar ... - [SUCCESSFUL ] org.scala-sbt#protocol_2.12;1.3.8!protocol_2.12.jar (22ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar ... - [SUCCESSFUL ] org.scala-sbt#template-resolver;0.1!template-resolver.jar (15ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.0.0/ipcsocket-1.0.0.jar ... - [SUCCESSFUL ] org.scala-sbt.ipcsocket#ipcsocket;1.0.0!ipcsocket.jar (14ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.3/util-scripted_2.12-1.3.3.jar ... - [SUCCESSFUL ] org.scala-sbt#util-scripted_2.12;1.3.3!util-scripted_2.12.jar (14ms) -downloading https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_2.12/0.20.0/scalacache-core_2.12-0.20.0.jar ... - [SUCCESSFUL ] com.github.cb372#scalacache-core_2.12;0.20.0!scalacache-core_2.12.jar (14ms) -downloading https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.5.6/caffeine-2.5.6.jar ... - [SUCCESSFUL ] com.github.ben-manes.caffeine#caffeine;2.5.6!caffeine.jar (216ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-88d6a93d15f9b029958c1c289a8859e8dfe31a19/ivy-2.3.0-sbt-88d6a93d15f9b029958c1c289a8859e8dfe31a19.jar ... - [SUCCESSFUL ] org.scala-sbt.ivy#ivy;2.3.0-sbt-88d6a93d15f9b029958c1c289a8859e8dfe31a19!ivy.jar (450ms) -:: retrieving :: org.scala-sbt#boot-app - confs: [default] - 81 artifacts copied, 0 already retrieved (37999kB/85ms) -Getting Scala 2.12.10 (for sbt)... -downloading https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.0.6/scala-xml_2.12-1.0.6.jar ... - [SUCCESSFUL ] org.scala-lang.modules#scala-xml_2.12;1.0.6!scala-xml_2.12.jar(bundle) (22ms) -downloading https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/jansi-1.12.jar ... - [SUCCESSFUL ] org.fusesource.jansi#jansi;1.12!jansi.jar (17ms) -:: retrieving :: org.scala-sbt#boot-scala - confs: [default] - 6 artifacts copied, 0 already retrieved (20113kB/26ms) -[info] Loading settings for project firrtl-build from plugins.sbt ... -[info] Loading project definition from /home/riscvuser/chipyard/tools/firrtl/project - - - - - - | => Global / publishTo 0s - | => Global / credentials 0s - | => firrtl-build / dependencyResolution 0s - - - - - - - - | => firrtl-build / dependencyResolution 0s - - - - - - - - | => firrtl-build / dependencyResolution 0s - - - - - - | => Global / publishTo 0s - | => Global / credentials 0s - | => firrtl-build / dependencyResolution 0s - - - - - - | => Global / publishTo 0s - | => Global / credentials 0s - | => firrtl-build / dependencyResolution 0s - - - - - - - | => firrtl-build / otherResolvers 0s - | => Global / credentials 0s - - - - - - - | => firrtl-build / otherResolvers 0s - | => Global / credentials 0s - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - | => firrtl-build / dependencyResolution 0s - | => firrtl-build / projectDependencies 0s - | => firrtl-build / Compile / scalacOptions 0s - - - - - - | => firrtl-build / dependencyResolution 0s - | => firrtl-build / projectDependencies 0s - | => firrtl-build / Compile / scalacOptions 0s - - - - - - | => firrtl-build / dependencyResolution 0s - | => firrtl-build / projectDependencies 0s - | => firrtl-build / Compile / scalacOptions 0s - - - - - - | => firrtl-build / allCredentials 0s - | => firrtl-build / csrConfiguration 0s - | => firrtl-build / dependencyPositions 0s - | => firrtl-build / projectDescriptors 0s - | => firrtl-build / csrRecursiveResolvers 0s - | => firrtl-build / csrInterProjectDependencies 0s - | => firrtl-build / csrFallbackDependencies 0s - - - - - - | => firrtl-build / allCredentials 0s - | => firrtl-build / csrConfiguration 0s - | => firrtl-build / dependencyPositions 0s - | => firrtl-build / projectDescriptors 0s - | => firrtl-build / csrRecursiveResolvers 0s - | => firrtl-build / csrInterProjectDependencies 0s - | => firrtl-build / csrFallbackDependencies 0s - - - - - - - - - | => Global / incOptions 0s - | => firrtl-build / csrConfiguration 0s - | => firrtl-build / dependencyPositions 0s - | => firrtl-build / projectDescriptors 0s - - - - - - | => firrtl-build / csrRecursiveResolvers 0s - | => firrtl-build / csrInterProjectDependencies 0s - | => firrtl-build / csrFallbackDependencies 0s - | => firrtl-build / Compile / unmanagedJars 0s - | => firrtl-build / Runtime / unmanagedJars 0s - | => firrtl-build / moduleSettings 0s - | => firrtl-build / csrConfiguration 0s - | => firrtl-build / dependencyPositions 0s - | => firrtl-build / projectDescriptors 0s - - - - - - - - - | => firrtl-build / scalaCompilerBridgeResolvers 0s - | => firrtl-build / csrConfiguration 0s - | => firrtl-build / Compile / externalHooks 0s - | => firrtl-build / Compile / externalHooks 0s - | => firrtl-build / moduleSettings 0s - | => firrtl-build / dependencyPositions 0s - - - - - - - - - - - - - | => firrtl-build / csrProject 0s - | => firrtl-build / dependencyPositions 0s - - - - - - - - - - - - - - | => firrtl-build / update 0s - - - - - - - - - - - - - - | => firrtl-build / update 0s - - - - - - - - - - - - - - | => firrtl-build / update 0s -[info] Updating  - - - - - - - - - - - - - | => firrtl-build / update 0s -https://repo1.maven.org/maven2/com/simplytyped/sbt-antlr4_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-site_2.12_1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalastyle/scalastyle-sbt-plu? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/sbt-mima-plugin_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-assembly_2.12_1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalastyle/scalastyle-sbt-plu? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/sbt-mima-plugin_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/thoughtworks/sbt-api-mappings? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 0s -https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? - 100.0% [##########] 3.1 KiB (36.3 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 100.0% [##########] 3.1 KiB (40.2 KiB / s) -https://repo1.maven.org/maven2/com/thoughtworks/sbt-api-mappings? - 100.0% [##########] 4.6 KiB (61.1 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/sbt-mima-plugin_2.12? - 100.0% [##########] 3.1 KiB (22.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 100.0% [##########] 1.6 KiB (11.0 KiB / s) -https://repo1.maven.org/maven2/org/scalastyle/scalastyle-sbt-plu? - 100.0% [##########] 1.9 KiB (13.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.8/sbt-1.3.8? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-unidoc_2.12_1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-assembly_2.12_1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.8/sbt-1.3.8? - 100.0% [##########] 4.5 KiB (134.9 KiB / s) -https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? - 100.0% [##########] 2.1 KiB (65.4 KiB / s) - - - - - - - - - - - - - | => firrtl-build / update 0s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 0s - - - - - - - - - - - - - | => firrtl-build / update 0s - - - - - - - - - - - - - | => firrtl-build / update 0s - - - - - - - - - - - - - | => firrtl-build / update 0s - - - - - - - - - - - - - | => firrtl-build / update 1s - - - - - - - - - - - - - | => firrtl-build / update 1s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 1s - - - - - - - - - - - - - | => firrtl-build / update 1s - - - - - - - - - - - - - | => firrtl-build / update 1s - - - - - - - - - - - - - | => firrtl-build / update 1s - - - - - - - - - - - - - | => firrtl-build / update 1s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 1s - - - - - - - - - - - - - | => firrtl-build / update 1s - - - - - - - - - - - - - | => firrtl-build / update 1s - - - - - - - - - - - - - | => firrtl-build / update 2s - - - - - - - - - - - - - | => firrtl-build / update 2s -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 2s - - - - - - - - - - - - - | => firrtl-build / update 2s - - - - - - - - - - - - - | => firrtl-build / update 2s -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 2s - - - - - - - - - - - - - | => firrtl-build / update 2s - - - - - - - - - - - - - | => firrtl-build / update 2s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 100.0% [##########] 2.0 KiB (6.8 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 1.7 KiB (5.3 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 2.4 KiB (7.1 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 1.5 KiB (4.4 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 100.0% [##########] 2.3 KiB (6.7 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 4.0 KiB (12.0 KiB / s) - - - - - - - - - - - - - | => firrtl-build / update 2s -https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/9/oss? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/9/oss? - 100.0% [##########] 6.4 KiB (98.7 KiB / s) - - - - - - - - - - - - - | => firrtl-build / update 2s - - - - - - - - - - - - - | => firrtl-build / update 3s -https://repo1.maven.org/maven2/com/geirsson/coursier-small_2.12/? - 100.0% [##########] 1.8 KiB (122.8 KiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? - 100.0% [##########] 2.5 KiB (167.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.3/io_2.? - 100.0% [##########] 3.4 KiB (225.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.8/mai? - 100.0% [##########] 7.8 KiB (521.9 KiB / s) -https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.24/snakeyaml? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.7.2/jarja? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/config/1.3.4/config-? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/config/1.3.4/config-? - 100.0% [##########] 2.2 KiB (90.7 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/mima-core_2.12/0.7.0? - 100.0% [##########] 3.0 KiB (330.7 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 100.0% [##########] 9.5 KiB (414.8 KiB / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? - 100.0% [##########] 2.6 KiB (136.1 KiB / s) -https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.7.2/jarja? - 100.0% [##########] 2.1 KiB (81.6 KiB / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? - 100.0% [##########] 2.2 KiB (78.0 KiB / s) -https://repo1.maven.org/maven2/org/scalastyle/scalastyle_2.12/1.? - 100.0% [##########] 2.6 KiB (134.4 KiB / s) -https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? - 100.0% [##########] 2.4 KiB (188.2 KiB / s) -https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.24/snakeyaml? - 100.0% [##########] 36.8 KiB (995.3 KiB / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-filter_2? - 100.0% [##########] 2.9 KiB (240.7 KiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? - 100.0% [##########] 2.0 KiB (185.6 KiB / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-jetty_2.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/thoughtworks/extractor/extrac? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/planet42/laika-sbt_2.12_1.0/0? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? - 100.0% [##########] 2.9 KiB (137.3 KiB / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/sbt-paradox? - 100.0% [##########] 2.7 KiB (190.3 KiB / s) -https://repo1.maven.org/maven2/com/thoughtworks/extractor/extrac? - 100.0% [##########] 2.6 KiB (124.5 KiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? - 100.0% [##########] 2.0 KiB (72.9 KiB / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-directiv? - 100.0% [##########] 2.9 KiB (151.8 KiB / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-jetty_2.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/planet42/laika-sbt_2.12_1.0/0? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-jetty_2.? - 100.0% [##########] 2.7 KiB (47.9 KiB / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 3s -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 100.0% [##########] 1.6 KiB (16.4 KiB / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 3s - - - - - - - - - - - - - | => firrtl-build / update 3s - - - - - - - - - - - - - | => firrtl-build / update 3s - - - - - - - - - - - - - | => firrtl-build / update 3s - - - - - - - - - - - - - | => firrtl-build / update 3s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 3s - - - - - - - - - - - - - | => firrtl-build / update 3s - - - - - - - - - - - - - | => firrtl-build / update 3s - - - - - - - - - - - - - | => firrtl-build / update 4s - - - - - - - - - - - - - | => firrtl-build / update 4s -https://download.eclipse.org/jgit/maven/org/planet42/laika-sbt_2? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 4s - - - - - - - - - - - - - | => firrtl-build / update 4s - - - - - - - - - - - - - | => firrtl-build / update 4s - - - - - - - - - - - - - | => firrtl-build / update 4s -https://download.eclipse.org/jgit/maven/org/planet42/laika-sbt_2? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 4s - - - - - - - - - - - - - | => firrtl-build / update 4s - - - - - - - - - - - - - | => firrtl-build / update 4s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 4s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 100.0% [##########] 2.5 KiB (20.8 KiB / s) - - - - - - - - - - - - - | => firrtl-build / update 5s -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 100.0% [##########] 35.3 KiB (2.2 MiB / s) - - - - - - - - - - - - - | => firrtl-build / update 5s -https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_? - 100.0% [##########] 4.4 KiB (258.8 KiB / s) -https://repo1.maven.org/maven2/org/apache/ant/ant/1.9.9/ant-1.9.? - 100.0% [##########] 9.4 KiB (552.9 KiB / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? - 100.0% [##########] 1.1 KiB (65.7 KiB / s) -https://repo1.maven.org/maven2/org/apache/maven/maven-plugin-api? - 100.0% [##########] 2.6 KiB (154.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/ant/ant/1.9.9/ant-1.9.? - 100.0% [##########] 9.4 KiB (254.0 KiB / s) -https://repo1.maven.org/maven2/org/apache/maven/maven-plugin-api? - 100.0% [##########] 2.6 KiB (71.0 KiB / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? - 100.0% [##########] 1.1 KiB (30.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? - 100.0% [##########] 3.8 KiB (103.6 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.1? - 100.0% [##########] 3.1 KiB (84.6 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_? - 100.0% [##########] 4.4 KiB (115.8 KiB / s) -https://repo1.maven.org/maven2/org/antlr/stringtemplate/3.2.1/st? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.55/jsch-0.1.5? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcpkix-jdk15on/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffe? - 100.0% [##########] 2.4 KiB (168.0 KiB / s) -https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.55/jsch-0.1.5? - 100.0% [##########] 3.2 KiB (126.4 KiB / s) -https://repo1.maven.org/maven2/org/antlr/stringtemplate/3.2.1/st? - 100.0% [##########] 7.3 KiB (280.2 KiB / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcpkix-jdk15on/1? - 100.0% [##########] 1.4 KiB (65.1 KiB / s) -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? - 100.0% [##########] 2.1 KiB (79.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 100.0% [##########] 2.4 KiB (173.0 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 100.0% [##########] 1.8 KiB (77.0 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.? - 100.0% [##########] 4.3 KiB (267.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.8/? - 100.0% [##########] 6.0 KiB (401.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/? - 100.0% [##########] 1.8 KiB (96.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? - 100.0% [##########] 4.3 KiB (159.0 KiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 100.0% [##########] 2.4 KiB (133.3 KiB / s) -https://repo1.maven.org/maven2/com/jcraft/jzlib/1.1.1/jzlib-1.1.? - 100.0% [##########] 3.0 KiB (300.2 KiB / s) -https://repo1.maven.org/maven2/net/java/dev/jna/jna/4.5.0/jna-4.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-knockof? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/beust/jcommander/1.35/jcomman? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-util_2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/beust/jcommander/1.35/jcomman? - 100.0% [##########] 7.1 KiB (282.2 KiB / s) -https://repo1.maven.org/maven2/com/jcraft/jzlib/1.1.1/jzlib-1.1.? - 100.0% [##########] 3.0 KiB (107.2 KiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 100.0% [##########] 2.4 KiB (66.7 KiB / s) -https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/4.5? - 100.0% [##########] 1.8 KiB (195.3 KiB / s) -https://repo1.maven.org/maven2/net/java/dev/jna/jna/4.5.0/jna-4.? - 100.0% [##########] 1.5 KiB (59.3 KiB / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-ap? - 100.0% [##########] 12.8 KiB (675.4 KiB / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-sl? - 100.0% [##########] 9.9 KiB (583.5 KiB / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcpg-jdk15on/1.6? - 100.0% [##########] 1.5 KiB (140.7 KiB / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-knockof? - 100.0% [##########] 1.9 KiB (69.4 KiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm/7.0/asm-7.0.pom - 100.0% [##########] 2.9 KiB (150.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/? - 100.0% [##########] 2.8 KiB (175.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1? - 100.0% [##########] 3.6 KiB (208.9 KiB / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-util_2.1? - 100.0% [##########] 2.5 KiB (103.2 KiB / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered_2.12/0.9? - 100.0% [##########] 3.8 KiB (182.6 KiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/7.0/asm-c? - 100.0% [##########] 3.6 KiB (324.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? - 100.0% [##########] 5.9 KiB (585.5 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.2/slf4j-a? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/googlecode/javaewah/JavaEWAH/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 5s -https://repo1.maven.org/maven2/com/googlecode/javaewah/JavaEWAH/? - 100.0% [##########] 5.9 KiB (218.0 KiB / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? - 100.0% [##########] 2.2 KiB (105.2 KiB / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? - 100.0% [##########] 22.6 KiB (1.8 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-webapp/9.? - 100.0% [##########] 3.5 KiB (314.0 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby/9.2.6.0/jruby-9.2? - 100.0% [##########] 6.7 KiB (335.3 KiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/7.0/asm-c? - 100.0% [##########] 3.6 KiB (119.1 KiB / s) -https://repo1.maven.org/maven2/org/planet42/laika-pdf_2.12/0.8.0? - 100.0% [##########] 2.0 KiB (107.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? - 100.0% [##########] 2.7 KiB (122.1 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.8/? - 100.0% [##########] 6.5 KiB (435.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? - 100.0% [##########] 5.9 KiB (201.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.8/run_? - 100.0% [##########] 4.8 KiB (265.5 KiB / s) -https://repo1.maven.org/maven2/org/scalariform/scalariform_2.12/? - 100.0% [##########] 2.5 KiB (208.4 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.2/slf4j-a? - 100.0% [##########] 2.6 KiB (90.6 KiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-api/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.8/lo? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/? - 100.0% [##########] 1.7 KiB (69.8 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? - 100.0% [##########] 5.6 KiB (214.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.8/lo? - 100.0% [##########] 4.3 KiB (193.8 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? - 100.0% [##########] 4.7 KiB (174.0 KiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-api/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-api/? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-api/? - 100.0% [##########] 1.9 KiB (24.4 KiB / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 5s - - - - - - - - - - - - - | => firrtl-build / update 5s - - - - - - - - - - - - - | => firrtl-build / update 5s - - - - - - - - - - - - - | => firrtl-build / update 5s - - - - - - - - - - - - - | => firrtl-build / update 5s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 5s - - - - - - - - - - - - - | => firrtl-build / update 5s - - - - - - - - - - - - - | => firrtl-build / update 6s - - - - - - - - - - - - - | => firrtl-build / update 6s - - - - - - - - - - - - - | => firrtl-build / update 6s - - - - - - - - - - - - - | => firrtl-build / update 6s -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-web? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 6s - - - - - - - - - - - - - | => firrtl-build / update 6s - - - - - - - - - - - - - | => firrtl-build / update 6s -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-web? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 6s - - - - - - - - - - - - - | => firrtl-build / update 6s - - - - - - - - - - - - - | => firrtl-build / update 6s - - - - - - - - - - - - - | => firrtl-build / update 7s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 2.7 KiB (27.3 KiB / s) - - - - - - - - - - - - - | => firrtl-build / update 7s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 2.7 KiB (22.7 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-project/9? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/ant/ant-parent/1.9.9/a? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/ow2/1.5/ow2-1.5.pom - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.2/slf4? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/maven/maven/3.3.9/mave? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j/2.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/ant/ant-parent/1.9.9/a? - 100.0% [##########] 5.5 KiB (238.0 KiB / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j/2.? - 100.0% [##########] 60.3 KiB (2.6 MiB / s) -https://repo1.maven.org/maven2/org/apache/maven/maven/3.3.9/mave? - 100.0% [##########] 23.4 KiB (1016.0 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-project/9? - 100.0% [##########] 42.3 KiB (1.8 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-artifacts/9.2.6.0? - 100.0% [##########] 3.9 KiB (276.2 KiB / s) -https://repo1.maven.org/maven2/org/ow2/ow2/1.5/ow2-1.5.pom - 100.0% [##########] 11.0 KiB (476.8 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.2/slf4? - 100.0% [##########] 11.5 KiB (501.2 KiB / s) -https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/6/oss? - 100.0% [##########] 4.7 KiB (337.0 KiB / s) -https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/5/oss? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/3/oss? - 100.0% [##########] 3.3 KiB (297.5 KiB / s) -https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/5/oss? - 100.0% [##########] 4.0 KiB (173.3 KiB / s) -https://repo1.maven.org/maven2/org/apache/apache/21/apache-21.pom - 100.0% [##########] 16.7 KiB (928.1 KiB / s) -https://repo1.maven.org/maven2/org/apache/maven/maven-parent/27/? - 100.0% [##########] 39.8 KiB (2.3 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-parent/23? - 100.0% [##########] 21.4 KiB (1.2 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-parent/9.2.6.0/jr? - 100.0% [##########] 24.6 KiB (1.3 MiB / s) - - - - - - - - - - - - - | => firrtl-build / update 7s -https://repo1.maven.org/maven2/org/apache/apache/17/apache-17.pom - 100.0% [##########] 15.7 KiB (871.1 KiB / s) -https://repo1.maven.org/maven2/org/sonatype/oss/oss-parent/7/oss? - 100.0% [##########] 4.7 KiB (247.9 KiB / s) - - - - - - - - - - - - - | => firrtl-build / update 7s -https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.1? - 100.0% [##########] 2.1 KiB (93.7 KiB / s) -https://repo1.maven.org/maven2/org/pegdown/pegdown/1.6.0/pegdown? - 100.0% [##########] 1.8 KiB (141.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? - 100.0% [##########] 2.1 KiB (97.3 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.? - 100.0% [##########] 3.5 KiB (157.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.8? - 100.0% [##########] 4.8 KiB (369.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.? - 100.0% [##########] 2.1 KiB (92.0 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/? - 100.0% [##########] 2.7 KiB (208.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/? - 100.0% [##########] 2.5 KiB (195.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/? - 100.0% [##########] 2.9 KiB (221.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? - 100.0% [##########] 3.7 KiB (162.1 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? - 100.0% [##########] 3.9 KiB (169.3 KiB / s) -https://repo1.maven.org/maven2/commons-codec/commons-codec/1.10/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.8/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/foundweekends/knockoff_2.12/0? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/maven/maven-model/3.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/antlr/antlr/2.7.7/antlr-2.7.7.pom - 100.0% [##########] 632 B (77.1 KiB / s) -https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_? - 100.0% [##########] 2.9 KiB (317.3 KiB / s) -https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_? - 100.0% [##########] 2.1 KiB (102.2 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? - 100.0% [##########] 2.1 KiB (122.5 KiB / s) -https://repo1.maven.org/maven2/commons-codec/commons-codec/1.10/? - 100.0% [##########] 11.3 KiB (436.0 KiB / s) -https://repo1.maven.org/maven2/org/apache/maven/maven-model/3.3.? - 100.0% [##########] 3.9 KiB (164.1 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/fop/2.1/fo? - 100.0% [##########] 6.1 KiB (318.8 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? - 100.0% [##########] 4.1 KiB (290.9 KiB / s) -https://repo1.maven.org/maven2/org/foundweekends/knockoff_2.12/0? - 100.0% [##########] 1.9 KiB (77.5 KiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-tree/7.0/asm-tree? - 100.0% [##########] 3.0 KiB (179.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? - 100.0% [##########] 4.8 KiB (191.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0? - 100.0% [##########] 1.5 KiB (96.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.8/? - 100.0% [##########] 4.7 KiB (180.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3? - 100.0% [##########] 3.2 KiB (323.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.4/zin? - 100.0% [##########] 4.1 KiB (292.3 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-xml/9.2.2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/ant/ant-launcher/1.9.9? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/parboiled/parboiled-java/1.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disrupto? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? - 100.0% [##########] 5.2 KiB (207.4 KiB / s) -https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disrupto? - 100.0% [##########] 1.3 KiB (61.0 KiB / s) -https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlco? - 100.0% [##########] 1.8 KiB (152.9 KiB / s) -https://repo1.maven.org/maven2/org/apache/ant/ant-launcher/1.9.9? - 100.0% [##########] 2.3 KiB (87.7 KiB / s) -https://repo1.maven.org/maven2/org/apache/maven/maven-artifact/3? - 100.0% [##########] 2.1 KiB (122.6 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-xml/9.2.2? - 100.0% [##########] 2.0 KiB (73.2 KiB / s) -https://repo1.maven.org/maven2/org/parboiled/parboiled-java/1.3.? - 100.0% [##########] 2.5 KiB (114.1 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3? - 100.0% [##########] 4.8 KiB (265.1 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.8/ta? - 100.0% [##########] 4.3 KiB (250.8 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2? - 100.0% [##########] 5.2 KiB (521.7 KiB / s) -https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? - 100.0% [##########] 8.1 KiB (354.3 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? - 100.0% [##########] 17.4 KiB (1.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? - 100.0% [##########] 3.0 KiB (304.2 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.25/slf4j-? - 100.0% [##########] 3.7 KiB (415.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-servlet/9? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/? - 100.0% [##########] 2.3 KiB (105.0 KiB / s) -https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caf? - 100.0% [##########] 5.5 KiB (273.2 KiB / s) -https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.p? - 100.0% [##########] 19.4 KiB (1.5 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-servlet/9? - 100.0% [##########] 3.2 KiB (152.7 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? - 100.0% [##########] 42.7 KiB (2.1 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? - 100.0% [##########] 17.4 KiB (580.7 KiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-analysis/7.0/asm-? - 100.0% [##########] 3.1 KiB (162.0 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-8? - 100.0% [##########] 3.2 KiB (215.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? - 100.0% [##########] 2.3 KiB (80.3 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? - 100.0% [##########] 3.0 KiB (104.9 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.25/slf4j-? - 100.0% [##########] 3.7 KiB (133.7 KiB / s) -https://repo1.maven.org/maven2/org/antlr/ST4/4.1/ST4-4.1.pom - 100.0% [##########] 2.8 KiB (251.8 KiB / s) - - - - - - - - - - - - - | => firrtl-build / update 7s -https://repo1.maven.org/maven2/org/antlr/ST4/4.1/ST4-4.1.pom - 100.0% [##########] 2.8 KiB (92.3 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.25/slf? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/squareup/okhttp3/parent/3.7.0? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-parent? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-pare? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/sisu/sisu-plexus/0.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/apache/7/apache-7.pom - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-pare? - 100.0% [##########] 7.1 KiB (321.2 KiB / s) -https://repo1.maven.org/maven2/com/squareup/okhttp3/parent/3.7.0? - 100.0% [##########] 15.7 KiB (714.4 KiB / s) -https://repo1.maven.org/maven2/org/apache/apache/7/apache-7.pom - 100.0% [##########] 14.1 KiB (640.5 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/sisu/sisu-plexus/0.3.? - 100.0% [##########] 13.4 KiB (609.3 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.25/slf? - 100.0% [##########] 13.2 KiB (573.6 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-parent? - 21.4% [## ] 12.0 KiB (547.7 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-parent? - 100.0% [##########] 56.4 KiB (1.3 MiB / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-bom/? - 38.6% [### ] 1.3 KiB (191.3 KiB / s) -https://repo1.maven.org/maven2/org/apache/apache/15/apache-15.pom - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-bom/? - 100.0% [##########] 3.5 KiB (128.6 KiB / s) -https://repo1.maven.org/maven2/org/apache/apache/15/apache-15.pom - 100.0% [##########] 14.9 KiB (551.1 KiB / s) - - - - - - - - - - - - - | => firrtl-build / update 7s -https://repo1.maven.org/maven2/org/ow2/asm/asm-util/7.0/asm-util? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/jruby/jcodings/jcodings/1.0.4? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-java? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 100.0% [##########] 4.0 KiB (152.5 KiB / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-unixsocket/0.2? - 100.0% [##########] 9.9 KiB (659.9 KiB / s) -https://repo1.maven.org/maven2/commons-io/commons-io/1.3.1/commo? - 100.0% [##########] 6.6 KiB (389.5 KiB / s) -https://repo1.maven.org/maven2/commons-logging/commons-logging/1? - 100.0% [##########] 5.1 KiB (321.3 KiB / s) -https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? - 100.0% [##########] 2.1 KiB (81.3 KiB / s) -https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? - 100.0% [##########] 2.8 KiB (107.6 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? - 100.0% [##########] 27.2 KiB (1.6 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jcodings/jcodings/1.0.4? - 100.0% [##########] 5.0 KiB (192.6 KiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-util/7.0/asm-util? - 100.0% [##########] 3.6 KiB (136.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-java? - 100.0% [##########] 2.3 KiB (84.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? - 100.0% [##########] 3.7 KiB (206.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? - 100.0% [##########] 3.7 KiB (372.6 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-security/? - 100.0% [##########] 2.7 KiB (301.3 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-svg-? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash? - 100.0% [##########] 2.5 KiB (92.6 KiB / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-constants/0.9.? - 100.0% [##########] 3.7 KiB (184.1 KiB / s) -https://repo1.maven.org/maven2/com/headius/modulator/1.0/modulat? - 100.0% [##########] 1.7 KiB (142.3 KiB / s) -https://repo1.maven.org/maven2/com/martiansoftware/nailgun-serve? - 100.0% [##########] 1.6 KiB (83.2 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-exte? - 100.0% [##########] 4.8 KiB (396.6 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-gvt/? - 100.0% [##########] 3.3 KiB (163.8 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-svg-? - 100.0% [##########] 4.2 KiB (159.9 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-security/? - 100.0% [##########] 2.7 KiB (96.9 KiB / s) -https://repo1.maven.org/maven2/org/jruby/dirgra/0.3/dirgra-0.3.p? - 100.0% [##########] 2.9 KiB (286.0 KiB / s) -https://repo1.maven.org/maven2/org/jruby/joni/joni/2.1.25/joni-2? - 100.0% [##########] 7.0 KiB (437.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? - 100.0% [##########] 3.7 KiB (128.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-classw? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-brid? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 7s -https://repo1.maven.org/maven2/com/headius/backport9/1.1/backpor? - 100.0% [##########] 1.7 KiB (142.3 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-compre? - 100.0% [##########] 17.8 KiB (1.2 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-brid? - 100.0% [##########] 5.0 KiB (209.7 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-ext/? - 100.0% [##########] 3.1 KiB (182.2 KiB / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-classw? - 100.0% [##########] 7.1 KiB (285.4 KiB / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-compon? - 100.0% [##########] 815 B (72.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? - 100.0% [##########] 2.8 KiB (148.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket? - 100.0% [##########] 1.8 KiB (68.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 100.0% [##########] 1.7 KiB (153.4 KiB / s) -https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0? - 100.0% [##########] 1.8 KiB (80.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-util/9.2.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-tran? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-enxio/0.19/jnr? - 100.0% [##########] 4.0 KiB (269.9 KiB / s) -https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.? - 100.0% [##########] 2.4 KiB (104.4 KiB / s) -https://repo1.maven.org/maven2/org/antlr/antlr-runtime/3.5.2/ant? - 100.0% [##########] 2.2 KiB (145.9 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-tran? - 100.0% [##########] 4.5 KiB (185.9 KiB / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/? - 100.0% [##########] 3.7 KiB (220.1 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-util/9.2.? - 100.0% [##########] 3.5 KiB (140.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.8/te? - 100.0% [##########] 3.1 KiB (311.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/jcraft/jzlib/1.1.3/jzlib-1.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-posix/3.0.49/j? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/? - 100.0% [##########] 2.2 KiB (117.4 KiB / s) -https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? - 100.0% [##########] 9.0 KiB (643.3 KiB / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-posix/3.0.49/j? - 100.0% [##########] 6.2 KiB (280.8 KiB / s) -https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? - 100.0% [##########] 4.3 KiB (157.7 KiB / s) -https://repo1.maven.org/maven2/com/jcraft/jzlib/1.1.3/jzlib-1.1.? - 100.0% [##########] 3.0 KiB (112.6 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-awt-? - 100.0% [##########] 3.1 KiB (173.0 KiB / s) -https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.3.? - 100.0% [##########] 1.7 KiB (168.7 KiB / s) -https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.1? - 100.0% [##########] 2.1 KiB (267.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/joda-time/joda-time/2.9.9/joda-ti? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.1? - 100.0% [##########] 2.1 KiB (76.3 KiB / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-netdb/1.1.6/jn? - 100.0% [##########] 1.8 KiB (90.1 KiB / s) -https://repo1.maven.org/maven2/javax/enterprise/cdi-api/1.0/cdi-? - 100.0% [##########] 1.4 KiB (73.7 KiB / s) -https://repo1.maven.org/maven2/joda-time/joda-time/2.9.9/joda-ti? - 100.0% [##########] 33.8 KiB (1.4 MiB / s) -https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.3.? - 100.0% [##########] 1.7 KiB (56.2 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? - 100.0% [##########] 2.6 KiB (284.8 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/headius/options/1.4/options-1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? - 100.0% [##########] 2.6 KiB (88.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/headius/options/1.4/options-1? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 7s -https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? - 100.0% [##########] 3.4 KiB (31.8 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? - 100.0% [##########] 2.1 KiB (14.3 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/headius/options/1.4/options-1? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 7s -https://repo1.maven.org/maven2/com/headius/options/1.4/options-1? - 100.0% [##########] 1.5 KiB (8.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 7s - - - - - - - - - - - - - | => firrtl-build / update 8s - - - - - - - - - - - - - | => firrtl-build / update 8s - - - - - - - - - - - - - | => firrtl-build / update 8s - - - - - - - - - - - - - | => firrtl-build / update 8s - - - - - - - - - - - - - | => firrtl-build / update 8s - - - - - - - - - - - - - | => firrtl-build / update 8s - - - - - - - - - - - - - | => firrtl-build / update 8s - - - - - - - - - - - - - | => firrtl-build / update 8s - - - - - - - - - - - - - | => firrtl-build / update 8s - - - - - - - - - - - - - | => firrtl-build / update 8s - - - - - - - - - - - - - | => firrtl-build / update 9s - - - - - - - - - - - - - | => firrtl-build / update 9s - - - - - - - - - - - - - | => firrtl-build / update 9s - - - - - - - - - - - - - | => firrtl-build / update 9s - - - - - - - - - - - - - | => firrtl-build / update 9s - - - - - - - - - - - - - | => firrtl-build / update 9s -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 100.0% [##########] 4.8 KiB (2.4 KiB / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus/3.3.1/? - 80.1% [######## ] 16.0 KiB (2.2 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/sisu/sisu-inject/0.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/avalon/avalon-framewor? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/antlr/antlr-master/3.5.2/antl? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/martiansoftware/nailgun-all/0? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/jboss/weld/weld-api-parent/1.? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 9s -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bas? - 100.0% [##########] 5.2 KiB (291.3 KiB / s) -https://repo1.maven.org/maven2/com/martiansoftware/nailgun-all/0? - 100.0% [##########] 4.9 KiB (180.6 KiB / s) -https://repo1.maven.org/maven2/com/squareup/okhttp3/parent/3.14.? - 100.0% [##########] 21.0 KiB (1.2 MiB / s) -https://repo1.maven.org/maven2/org/antlr/antlr-master/3.5.2/antl? - 100.0% [##########] 12.0 KiB (444.2 KiB / s) -https://repo1.maven.org/maven2/org/apache/avalon/avalon-framewor? - 100.0% [##########] 1.8 KiB (68.3 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-parent? - 100.0% [##########] 75.7 KiB (4.1 MiB / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-contai? - 100.0% [##########] 4.1 KiB (207.0 KiB / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus/3.3.1/? - 100.0% [##########] 20.0 KiB (739.5 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/sisu/sisu-inject/0.3.? - 100.0% [##########] 14.1 KiB (521.1 KiB / s) -https://repo1.maven.org/maven2/org/jboss/weld/weld-api-parent/1.? - 100.0% [##########] 2.3 KiB (82.1 KiB / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus/2.0.7/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/excalibur/excalibur/1/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/sonatype/spice/spice-parent/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/jboss/weld/weld-api-bom/1.0/w? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/apache/19/apache-19.pom - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 9s -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus/2.0.7/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/excalibur/excalibur/1/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/sonatype/spice/spice-parent/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/jboss/weld/weld-api-bom/1.0/w? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/apache/19/apache-19.pom - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/excalibur/excalibur/1/? - 100.0% [##########] 7.8 KiB (80.2 KiB / s) -https://repo1.maven.org/maven2/org/sonatype/spice/spice-parent/1? - 100.0% [##########] 6.6 KiB (68.0 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? - 100.0% [##########] 12.0 KiB (123.8 KiB / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus/2.0.7/? - 95.1% [######### ] 16.1 KiB (165.6 KiB / s) -https://repo1.maven.org/maven2/org/jboss/weld/weld-api-bom/1.0/w? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/apache/19/apache-19.pom - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? - 100.0% [##########] 12.0 KiB (102.6 KiB / s) -https://repo1.maven.org/maven2/org/apache/apache/19/apache-19.pom - 100.0% [##########] 15.1 KiB (129.3 KiB / s) -https://repo1.maven.org/maven2/org/apache/excalibur/excalibur/1/? - 100.0% [##########] 7.8 KiB (66.5 KiB / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus/2.0.7/? - 100.0% [##########] 16.9 KiB (144.4 KiB / s) -https://repo1.maven.org/maven2/org/sonatype/spice/spice-parent/1? - 100.0% [##########] 6.6 KiB (56.4 KiB / s) -https://repo1.maven.org/maven2/org/jboss/weld/weld-api-bom/1.0/w? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-par? - 100.0% [##########] 7.8 KiB (783.5 KiB / s) -https://repo1.maven.org/maven2/org/apache/apache/3/apache-3.pom - 100.0% [##########] 3.3 KiB (335.0 KiB / s) -https://repo1.maven.org/maven2/org/jboss/weld/weld-api-bom/1.0/w? - 100.0% [##########] 7.7 KiB (56.4 KiB / s) -https://repo1.maven.org/maven2/org/jboss/weld/weld-parent/6/weld? - 100.0% [##########] 20.2 KiB (2.0 MiB / s) -https://repo1.maven.org/maven2/org/sonatype/forge/forge-parent/1? - 100.0% [##########] 13.2 KiB (1.3 MiB / s) -https://repo1.maven.org/maven2/com/fasterxml/oss-parent/33/oss-p? - 100.0% [##########] 21.3 KiB (1.3 MiB / s) - - - - - - - - - - - - - | => firrtl-build / update 9s -https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-ru? - 100.0% [##########] 2.6 KiB (286.7 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-css/? - 100.0% [##########] 3.5 KiB (441.9 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12? - 100.0% [##########] 3.2 KiB (396.6 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-server/9.? - 100.0% [##########] 4.0 KiB (500.7 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-util? - 100.0% [##########] 3.0 KiB (370.2 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-scri? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-xml/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12? - 100.0% [##########] 3.2 KiB (113.3 KiB / s) -https://repo1.maven.org/maven2/javax/inject/javax.inject/1/javax? - 100.0% [##########] 612 B (33.2 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-css/? - 100.0% [##########] 3.5 KiB (126.3 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-dom/? - 100.0% [##########] 4.0 KiB (441.4 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-pars? - 100.0% [##########] 3.6 KiB (324.6 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-scri? - 100.0% [##########] 3.4 KiB (117.7 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-svgg? - 100.0% [##########] 3.4 KiB (170.6 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-util? - 100.0% [##########] 3.0 KiB (105.8 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-xml/? - 100.0% [##########] 3.1 KiB (148.3 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-server/9.? - 100.0% [##########] 4.0 KiB (143.1 KiB / s) -https://repo1.maven.org/maven2/org/reactivestreams/reactive-stre? - 100.0% [##########] 1.1 KiB (56.6 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/? - 100.0% [##########] 2.5 KiB (229.0 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-? - 100.0% [##########] 3.7 KiB (249.7 KiB / s) -https://repo1.maven.org/maven2/xalan/xalan/2.7.0/xalan-2.7.0.pom - 100.0% [##########] 491 B (53.3 KiB / s) -https://repo1.maven.org/maven2/xml-apis/xml-apis-ext/1.3.04/xml-? - 100.0% [##########] 1.8 KiB (128.1 KiB / s) -https://repo1.maven.org/maven2/xml-apis/xml-apis/1.3.04/xml-apis? - 100.0% [##########] 1.8 KiB (84.7 KiB / s) -https://repo1.maven.org/maven2/javax/annotation/jsr250-api/1.0/j? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-anim? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/oki? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - | => firrtl-build / update 10s -https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/oki? - 100.0% [##########] 1.9 KiB (88.0 KiB / s) -https://repo1.maven.org/maven2/javax/annotation/jsr250-api/1.0/j? - 100.0% [##########] 1023 B (37.0 KiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-anim? - 100.0% [##########] 4.3 KiB (172.0 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.26/slf? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/squareup/okio/okio-parent/1.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/squareup/okio/okio-parent/1.1? - 100.0% [##########] 4.7 KiB (197.9 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.26/slf? - 100.0% [##########] 13.4 KiB (513.8 KiB / s) -https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12? - 100.0% [##########] 1.8 KiB (102.3 KiB / s) -https://repo1.maven.org/maven2/javax/servlet/javax.servlet-api/3? - 100.0% [##########] 13.2 KiB (695.3 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-http/9.2.? - 100.0% [##########] 2.5 KiB (129.7 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-io/9.2.21? - 100.0% [##########] 2.2 KiB (117.6 KiB / s) -https://repo1.maven.org/maven2/xml-apis/xml-apis/2.0.2/xml-apis-? - 100.0% [##########] 346 B (17.8 KiB / s) -https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/? - 100.0% [##########] 2.5 KiB (65.9 KiB / s) -https://repo1.maven.org/maven2/net/java/jvnet-parent/3/jvnet-par? - 100.0% [##########] 4.7 KiB (467.7 KiB / s) - - - - - - - - - - - - - | => firrtl-build / update 10s -https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/? - 100.0% [##########] 2.3 KiB (335.1 KiB / s) -https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3? - 100.0% [##########] 1.8 KiB (219.6 KiB / s) -[info] Resolved dependencies - - - - - - - - - - - - | => firrtl-build / update 10s - - - - - - - - - - - - | => firrtl-build / update 10s - - - - - - - - - - - - | => firrtl-build / update 10s -[info] Updating  - - - - - - - - - - - | => firrtl-build / update 10s -https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/j? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/j? - 100.0% [##########] 3.6 KiB (172.6 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? - 100.0% [##########] 2.5 KiB (136.6 KiB / s) -https://repo1.maven.org/maven2/org/fusesource/jansi/jansi-projec? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/fusesource/fusesource-pom/1.1? - 100.0% [##########] 14.2 KiB (1.4 MiB / s) -https://repo1.maven.org/maven2/org/fusesource/jansi/jansi-projec? - 100.0% [##########] 11.5 KiB (523.1 KiB / s) -[info] Resolved dependencies - - - - - - - - - - | => firrtl-build / update 10s - - - - - - - - - - | => firrtl-build / update 10s - - - - - - - - - - | => firrtl-build / update 10s - - - - - - - - - - | => firrtl-build / update 10s -[info] Fetching artifacts of  - - - - - - - - - | => firrtl-build / update 10s -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-servlet/9? - 100.0% [##########] 112.9 KiB (5.5 MiB / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-unixsocket/0.2? - 100.0% [##########] 43.8 KiB (2.1 MiB / s) -https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.55/jsch-0.1.5? - 52.2% [##### ] 144.0 KiB (8.3 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jcodings/jcodings/1.0.4? - 3.9% [ ] 62.9 KiB (3.4 MiB / s) -https://repo1.maven.org/maven2/org/scalastyle/scalastyle_2.12/1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-brid? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-unixsocket/0.2? - 100.0% [##########] 43.8 KiB (1.1 MiB / s) -https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.55/jsch-0.1.5? - 100.0% [##########] 276.0 KiB (7.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? - 100.0% [##########] 221.8 KiB (9.0 MiB / s) -https://repo1.maven.org/maven2/org/scalastyle/scalastyle_2.12/1.? - 31.6% [### ] 213.6 KiB (5.6 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jcodings/jcodings/1.0.4? - 26.5% [## ] 431.1 KiB (11.4 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-brid? - 24.3% [## ] 125.9 KiB (3.3 MiB / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? - 2.2% [ ] 96.0 KiB (4.7 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalastyle/scalastyle_2.12/1.? - 100.0% [##########] 677.0 KiB (11.8 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-brid? - 90.9% [######### ] 469.9 KiB (8.2 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jcodings/jcodings/1.0.4? - 62.3% [###### ] 1013.5 KiB (17.7 MiB / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? - 11.1% [# ] 491.7 KiB (12.0 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-brid? - 100.0% [##########] 517.3 KiB (6.6 MiB / s) -https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.12/j? - 100.0% [##########] 148.2 KiB (8.5 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jcodings/jcodings/1.0.4? - 100.0% [##########] 1.6 MiB (20.4 MiB / s) -https://repo1.maven.org/maven2/org/scalastyle/scalastyle_2.12/1.? - 100.0% [##########] 677.0 KiB (8.5 MiB / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-filter_2? - 100.0% [##########] 22.4 KiB (974.9 KiB / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? - 24.0% [## ] 1.0 MiB (16.8 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? - 41.8% [#### ] 1.8 MiB (22.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? - 37.0% [### ] 655.4 KiB (20.6 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 16.5% [# ] 448.0 KiB (19.0 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 10s -https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? - 76.2% [####### ] 1.3 MiB (25.8 MiB / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? - 63.1% [###### ] 2.7 MiB (27.6 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 40.7% [#### ] 1.1 MiB (25.1 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? - 100.0% [##########] 1.7 MiB (24.3 MiB / s) -https://repo1.maven.org/maven2/commons-io/commons-io/1.3.1/commo? - 100.0% [##########] 81.7 KiB (11.4 MiB / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? - 86.9% [######## ] 3.8 MiB (31.6 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 67.9% [###### ] 1.8 MiB (28.5 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/commons-io/commons-io/1.3.1/commo? - 100.0% [##########] 81.7 KiB (3.0 MiB / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcprov-jdk15on/1? - 100.0% [##########] 4.3 MiB (31.2 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 100.0% [##########] 2.6 MiB (31.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3? - 100.0% [##########] 208.0 KiB (10.2 MiB / s) -https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? - 100.0% [##########] 23.8 KiB (2.3 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered_2.12/0.9? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? - 100.0% [##########] 149.7 KiB (6.6 MiB / s) -https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? - 100.0% [##########] 166.5 KiB (6.0 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 12.2% [# ] 163.3 KiB (1.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 8.3% [ ] 297.3 KiB (18.1 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? - 4.1% [ ] 408.7 KiB (28.5 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered_2.12/0.9? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 78.4% [####### ] 1.0 MiB (6.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 24.7% [## ] 885.5 KiB (24.7 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? - 12.2% [# ] 1.2 MiB (35.8 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered_2.12/0.9? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 10s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 1.3 MiB (7.1 MiB / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered_2.12/0.9? - 72.1% [####### ] 523.7 KiB (8.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 38.2% [### ] 1.3 MiB (24.4 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? - 21.1% [## ] 2.0 MiB (38.5 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.24/snakeyaml? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.24/snakeyaml? - 100.0% [##########] 295.5 KiB (9.3 MiB / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered_2.12/0.9? - 100.0% [##########] 726.2 KiB (8.6 MiB / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-posix/3.0.49/j? - 68.0% [###### ] 160.0 KiB (12.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 58.8% [##### ] 2.1 MiB (27.5 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? - 31.4% [### ] 3.0 MiB (41.1 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-posix/3.0.49/j? - 100.0% [##########] 235.4 KiB (7.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 80.8% [######## ] 2.8 MiB (29.8 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? - 43.7% [#### ] 4.2 MiB (45.5 MiB / s) -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? - 11.8% [# ] 208.0 KiB (8.1 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 100.0% [##########] 3.5 MiB (30.5 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? - 56.1% [##### ] 5.4 MiB (48.1 MiB / s) -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? - 33.2% [### ] 587.7 KiB (12.8 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? - 100.0% [##########] 48.4 KiB (2.2 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? - 73.4% [####### ] 7.1 MiB (53.4 MiB / s) -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? - 62.3% [###### ] 1.1 MiB (16.6 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-compre? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 10s -https://repo1.maven.org/maven2/org/apache/commons/commons-compre? - 100.0% [##########] 577.9 KiB (19.5 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? - 86.7% [######## ] 8.4 MiB (54.8 MiB / s) -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? - 86.1% [######## ] 1.5 MiB (17.5 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.8/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/jruby/jruby-core/9.2.6.0/jrub? - 100.0% [##########] 9.7 MiB (55.9 MiB / s) -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.8.? - 100.0% [##########] 1.7 MiB (16.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.8/? - 100.0% [##########] 200.6 KiB (8.5 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-tree/7.0/asm-tree? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-tree/7.0/asm-tree? - 100.0% [##########] 49.2 KiB (1.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/? - 100.0% [##########] 89.7 KiB (4.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3? - 100.0% [##########] 271.2 KiB (12.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/? - 100.0% [##########] 10.4 KiB (359.3 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.8/run_? - 100.0% [##########] 76.6 KiB (3.9 MiB / s) -https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_? - 100.0% [##########] 121.8 KiB (11.9 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 65.9% [###### ] 13.1 KiB (112.3 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/geirsson/coursier-small_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 19.9 KiB (146.6 KiB / s) -https://repo1.maven.org/maven2/com/github/cb372/scalacache-core_? - 100.0% [##########] 121.8 KiB (4.1 MiB / s) -https://repo1.maven.org/maven2/com/headius/modulator/1.0/modulat? - 100.0% [##########] 4.5 KiB (225.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket? - 100.0% [##########] 21.1 KiB (753.3 KiB / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? - 91.6% [######### ] 384.0 KiB (20.8 MiB / s) -https://repo1.maven.org/maven2/com/geirsson/coursier-small_2.12/? - 80.3% [######## ] 1.3 MiB (48.0 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.8/te? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/geirsson/coursier-small_2.12/? - 100.0% [##########] 1.7 MiB (34.8 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-svgg? - 100.0% [##########] 173.7 KiB (10.6 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-security/? - 100.0% [##########] 93.7 KiB (5.1 MiB / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? - 100.0% [##########] 419.1 KiB (10.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.8/lo? - 100.0% [##########] 48.7 KiB (2.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.8/te? - 100.0% [##########] 22.9 KiB (761.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/? - 100.0% [##########] 33.5 KiB (3.3 MiB / s) -https://repo1.maven.org/maven2/commons-codec/commons-codec/1.10/? - 11.5% [# ] 32.0 KiB (3.5 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-dom/? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 11s -https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash? - 100.0% [##########] 5.2 KiB (191.7 KiB / s) -https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? - 100.0% [##########] 147.6 KiB (10.3 MiB / s) -https://repo1.maven.org/maven2/commons-codec/commons-codec/1.10/? - 100.0% [##########] 277.5 KiB (9.7 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-dom/? - 100.0% [##########] 136.8 KiB (6.1 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-util/9.2.? - 100.0% [##########] 367.9 KiB (18.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/? - 100.0% [##########] 14.2 KiB (789.1 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/ant/ant/1.9.9/ant-1.9.? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/martiansoftware/nailgun-serve? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/martiansoftware/nailgun-serve? - 100.0% [##########] 32.2 KiB (1.4 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-awt-? - 100.0% [##########] 294.0 KiB (19.1 MiB / s) -https://repo1.maven.org/maven2/org/apache/ant/ant/1.9.9/ant-1.9.? - 83.6% [######## ] 1.6 MiB (54.4 MiB / s) -https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? - 32.8% [### ] 16.0 KiB (219.2 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-java? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/beust/jcommander/1.35/jcomman? - 100.0% [##########] 59.1 KiB (4.4 MiB / s) -https://repo1.maven.org/maven2/com/headius/invokebinder/1.11/inv? - 100.0% [##########] 48.9 KiB (525.3 KiB / s) -https://repo1.maven.org/maven2/org/apache/ant/ant/1.9.9/ant-1.9.? - 100.0% [##########] 2.0 MiB (39.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-java? - 100.0% [##########] 1.1 MiB (42.8 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-enxio/0.19/jnr? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-enxio/0.19/jnr? - 100.0% [##########] 29.1 KiB (1.1 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-exte? - 100.0% [##########] 53.5 KiB (2.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 20.7% [## ] 2.1 MiB (80.9 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/javax/servlet/javax.servlet-api/3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 100.0% [##########] 1.1 MiB (2.7 MiB / s) -https://repo1.maven.org/maven2/javax/servlet/javax.servlet-api/3? - 100.0% [##########] 93.6 KiB (3.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 31.6% [### ] 3.2 MiB (69.8 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/net/java/dev/jna/jna/4.5.0/jna-4.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 11s -https://repo1.maven.org/maven2/net/java/dev/jna/jna/4.5.0/jna-4.? - 55.9% [##### ] 785.9 KiB (21.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 45.1% [#### ] 4.6 MiB (69.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? - 44.9% [#### ] 240.0 KiB (10.7 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/net/java/dev/jna/jna/4.5.0/jna-4.? - 100.0% [##########] 1.4 MiB (24.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? - 100.0% [##########] 535.0 KiB (12.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 58.0% [##### ] 5.9 MiB (68.6 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/7.0/asm-c? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-css/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 739.9 KiB (4.9 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 100.0% [##########] 29.6 KiB (251.1 KiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/7.0/asm-c? - 100.0% [##########] 78.0 KiB (2.9 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-css/? - 100.0% [##########] 250.5 KiB (11.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 69.8% [###### ] 7.1 MiB (66.4 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/config/1.3.4/config-? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-http/9.2.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-8? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/config/1.3.4/config-? - 100.0% [##########] 285.9 KiB (10.7 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-css/? - 100.0% [##########] 250.5 KiB (6.1 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-http/9.2.? - 100.0% [##########] 124.0 KiB (5.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 86.2% [######## ] 8.8 MiB (69.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-8? - 14.4% [# ] 160.0 KiB (7.4 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 100.0% [##########] 10.2 MiB (69.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-8? - 92.1% [######### ] 1.0 MiB (24.4 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/planet42/laika-pdf_2.12/0.8.0? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 11s -https://repo1.maven.org/maven2/commons-logging/commons-logging/1? - 100.0% [##########] 37.1 KiB (4.5 MiB / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/? - 100.0% [##########] 239.2 KiB (13.0 MiB / s) -https://repo1.maven.org/maven2/org/planet42/laika-pdf_2.12/0.8.0? - 100.0% [##########] 31.9 KiB (1.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-8? - 100.0% [##########] 1.1 MiB (17.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 21.2% [## ] 1.1 MiB (71.0 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 58.4% [##### ] 2.9 MiB (86.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? - 57.3% [##### ] 352.0 KiB (17.2 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? - 100.0% [##########] 614.1 KiB (15.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 98.5% [######### ] 5.0 MiB (91.8 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-util? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 100.0% [##########] 13.3 KiB (120.7 KiB / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-constants/0.9.? - 100.0% [##########] 537.2 KiB (29.1 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-util? - 100.0% [##########] 105.2 KiB (3.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 100.0% [##########] 5.0 MiB (67.1 MiB / s) -https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/? - 65.7% [###### ] 512.7 KiB (38.5 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 33.6% [### ] 39.1 KiB (323.3 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 116.4 KiB (831.3 KiB / s) -https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/? - 100.0% [##########] 780.1 KiB (23.8 MiB / s) -https://repo1.maven.org/maven2/org/apache/ant/ant-launcher/1.9.9? - 100.0% [##########] 18.0 KiB (1.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pars? - 100.0% [##########] 217.8 KiB (21.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? - 100.0% [##########] 773.9 KiB (34.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.1? - 100.0% [##########] 1.3 KiB (69.7 KiB / s) -https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? - 34.0% [### ] 240.0 KiB (1.9 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/antlr/antlr-runtime/3.5.2/ant? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-sl? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 11s -https://repo1.maven.org/maven2/com/github/jnr/jffi/1.2.18/jffi-1? - 100.0% [##########] 705.7 KiB (4.9 MiB / s) -https://repo1.maven.org/maven2/org/antlr/antlr-runtime/3.5.2/ant? - 100.0% [##########] 163.8 KiB (6.2 MiB / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-ap? - 100.0% [##########] 260.0 KiB (21.2 MiB / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-sl? - 100.0% [##########] 22.7 KiB (1.1 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-anim? - 100.0% [##########] 368.2 KiB (20.0 MiB / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? - 21.1% [## ] 336.0 KiB (11.3 MiB / s) -https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/4.5? - 19.7% [# ] 448.0 KiB (29.2 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2? - 100.0% [##########] 222.6 KiB (9.9 MiB / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? - 100.0% [##########] 705.2 KiB (26.5 MiB / s) -https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/4.5? - 70.5% [####### ] 1.6 MiB (46.0 MiB / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? - 32.2% [### ] 512.0 KiB (10.4 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/jruby/jruby/9.2.6.0/jruby-9.2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-gvt/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/headius/backport9/1.1/backpor? - 100.0% [##########] 11.7 KiB (1.0 MiB / s) -https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/4.5? - 100.0% [##########] 2.2 MiB (41.1 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-gvt/? - 100.0% [##########] 140.8 KiB (5.5 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby/9.2.6.0/jruby-9.2? - 100.0% [##########] 41.3 KiB (1.3 MiB / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-jetty_2.? - 100.0% [##########] 60.7 KiB (5.9 MiB / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? - 52.9% [##### ] 841.2 KiB (11.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.8/mai? - 41.1% [#### ] 1011.0 KiB (52.0 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.8/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.8/sbt-1.3.8? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.8/? - 100.0% [##########] 204.4 KiB (9.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.8/mai? - 100.0% [##########] 2.4 MiB (63.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.8/sbt-1.3.8? - 100.0% [##########] 64.9 KiB (3.0 MiB / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? - 70.3% [####### ] 1.1 MiB (12.4 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-util_2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_? - 100.0% [##########] 36.6 KiB (1.4 MiB / s) -https://repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.12/0.1.3? - 100.0% [##########] 107.9 KiB (10.5 MiB / s) -https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-co? - 100.0% [##########] 1.6 MiB (14.3 MiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-api/? - 100.0% [##########] 44.8 KiB (2.4 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-server/9.? - 100.0% [##########] 410.8 KiB (26.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? - 100.0% [##########] 76.2 KiB (3.1 MiB / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-util_2.1? - 100.0% [##########] 33.2 KiB (1.2 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-ru? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/fop/2.1/fo? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? - 100.0% [##########] 110.8 KiB (4.7 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/fop/2.1/fo? - 26.1% [## ] 1.0 MiB (51.4 MiB / s) -https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-ru? - 24.6% [## ] 427.7 KiB (17.4 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 11s -https://repo1.maven.org/maven2/org/apache/xmlgraphics/fop/2.1/fo? - 72.4% [####### ] 2.9 MiB (71.3 MiB / s) -https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-ru? - 49.5% [#### ] 859.7 KiB (19.5 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/fop/2.1/fo? - 100.0% [##########] 3.9 MiB (65.6 MiB / s) -https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-ru? - 91.5% [######### ] 1.6 MiB (24.3 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/trueaccord/scalapb/scalapb-ru? - 100.0% [##########] 1.7 MiB (20.4 MiB / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-classw? - 100.0% [##########] 51.4 KiB (3.1 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12? - 70.3% [####### ] 208.0 KiB (22.6 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 44.8 KiB (385.8 KiB / s) -https://repo1.maven.org/maven2/com/googlecode/javaewah/JavaEWAH/? - 100.0% [##########] 162.0 KiB (10.5 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12? - 100.0% [##########] 295.9 KiB (10.0 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? - 100.0% [##########] 620.8 KiB (24.2 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 60.4% [###### ] 33.7 KiB (297.8 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 11s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 100.0% [##########] 55.7 KiB (419.0 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 100.0% [##########] 1.1 MiB (10.7 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/jruby/joni/joni/2.1.25/joni-2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/jruby/joni/joni/2.1.25/joni-2? - 100.0% [##########] 216.7 KiB (9.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.8/? - 100.0% [##########] 357.2 KiB (26.8 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-okhttp_2.1? - 100.0% [##########] 41.9 KiB (2.7 MiB / s) -https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? - 100.0% [##########] 32.4 KiB (1.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.4/zin? - 100.0% [##########] 63.2 KiB (6.9 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 100.0% [##########] 3.5 KiB (31.0 KiB / s) -https://repo1.maven.org/maven2/com/github/jnr/jnr-netdb/1.1.6/jn? - 100.0% [##########] 60.2 KiB (4.9 MiB / s) -https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp/3.14.? - 100.0% [##########] 415.8 KiB (20.3 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-tran? - 100.0% [##########] 90.3 KiB (8.0 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 25.1 KiB (255.9 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-webapp/9.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/antlr/ST4/4.1/ST4-4.1.jar - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 11s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 25.1 KiB (214.3 KiB / s) -https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? - 100.0% [##########] 8.1 KiB (507.8 KiB / s) -https://repo1.maven.org/maven2/com/jcraft/jzlib/1.1.3/jzlib-1.1.? - 100.0% [##########] 70.3 KiB (5.7 MiB / s) -https://repo1.maven.org/maven2/javax/annotation/jsr250-api/1.0/j? - 100.0% [##########] 5.7 KiB (285.5 KiB / s) -https://repo1.maven.org/maven2/org/antlr/ST4/4.1/ST4-4.1.jar - 100.0% [##########] 247.1 KiB (10.5 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-webapp/9.? - 100.0% [##########] 105.2 KiB (4.3 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? - 100.0% [##########] 368.8 KiB (30.0 MiB / s) -https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? - 100.0% [##########] 59.6 KiB (6.5 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.1? - 100.0% [##########] 64.5 KiB (2.7 MiB / s) -https://repo1.maven.org/maven2/org/apache/avalon/framework/avalo? - 100.0% [##########] 59.6 KiB (2.1 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? - 100.0% [##########] 368.8 KiB (11.3 MiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-analysis/7.0/asm-? - 100.0% [##########] 32.5 KiB (1.6 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/mima-core_2.12/0.7.0? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 11s -https://repo1.maven.org/maven2/com/typesafe/mima-core_2.12/0.7.0? - 100.0% [##########] 239.0 KiB (2.4 MiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-util/7.0/asm-util? - 100.0% [##########] 78.9 KiB (6.4 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 100.0% [##########] 6.0 KiB (54.4 KiB / s) -https://repo1.maven.org/maven2/com/lihaoyi/fastparse-utils_2.12/? - 100.0% [##########] 60.8 KiB (2.7 MiB / s) -https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caf? - 63.4% [###### ] 623.4 KiB (43.5 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 4.0% [ ] 298.4 KiB (19.4 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 100.0% [##########] 1.1 MiB (8.2 MiB / s) -https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caf? - 100.0% [##########] 983.5 KiB (28.2 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 13.1% [# ] 986.4 KiB (27.5 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/antlr/stringtemplate/3.2.1/st? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lihaoyi/fastparse_2.12/0.4.2/? - 100.0% [##########] 299.8 KiB (11.7 MiB / s) -https://repo1.maven.org/maven2/org/antlr/stringtemplate/3.2.1/st? - 100.0% [##########] 145.1 KiB (5.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.? - 100.0% [##########] 78.1 KiB (4.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? - 100.0% [##########] 12.3 KiB (723.3 KiB / s) -https://repo1.maven.org/maven2/xml-apis/xml-apis-ext/1.3.04/xml-? - 100.0% [##########] 83.7 KiB (9.1 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-xml/? - 100.0% [##########] 26.1 KiB (2.8 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 37.2% [### ] 2.7 MiB (48.7 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-xml/? - 100.0% [##########] 26.1 KiB (933.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.0/? - 100.0% [##########] 177.5 KiB (7.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1? - 100.0% [##########] 16.6 KiB (829.8 KiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 59.1% [##### ] 4.3 MiB (57.8 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 2.1% [ ] 208.0 KiB (174.3 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? - 1.2% [ ] 120.7 KiB (10.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcpkix-jdk15on/1? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 11s -https://repo1.maven.org/maven2/org/bouncycastle/bcpkix-jdk15on/1? - 100.0% [##########] 846.0 KiB (25.8 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 66.1% [###### ] 4.9 MiB (51.1 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? - 9.3% [ ] 968.4 KiB (31.5 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 2.7% [ ] 272.0 KiB (224.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-pars? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-pars? - 100.0% [##########] 54.0 KiB (2.4 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 76.4% [####### ] 5.6 MiB (48.7 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? - 19.6% [# ] 2.0 MiB (39.9 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 14.4% [# ] 425.3 KiB (1.1 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 3.5% [ ] 352.2 KiB (285.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 85.3% [######## ] 6.3 MiB (46.4 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? - 29.7% [## ] 3.0 MiB (43.2 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 24.0% [## ] 709.5 KiB (1.7 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 4.5% [ ] 448.2 KiB (357.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? - 100.0% [##########] 490.1 KiB (11.7 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 93.6% [######### ] 6.9 MiB (44.3 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? - 39.4% [### ] 4.0 MiB (44.6 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 33.4% [### ] 987.7 KiB (2.3 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 5.3% [ ] 528.2 KiB (414.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-io/9.2.21? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 100.0% [##########] 7.3 MiB (41.9 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-io/9.2.21? - 100.0% [##########] 105.7 KiB (3.3 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? - 52.0% [##### ] 5.3 MiB (48.1 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 43.9% [#### ] 1.3 MiB (2.9 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 6.4% [ ] 640.2 KiB (495.1 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/foundweekends/knockoff_2.12/0? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 11s -https://repo1.maven.org/maven2/com/trueaccord/lenses/lenses_2.12? - 100.0% [##########] 33.1 KiB (1.2 MiB / s) -https://repo1.maven.org/maven2/org/foundweekends/knockoff_2.12/0? - 100.0% [##########] 199.4 KiB (7.8 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? - 66.7% [###### ] 6.8 MiB (52.2 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 57.9% [##### ] 1.7 MiB (3.7 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 7.6% [ ] 752.2 KiB (572.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/maven/maven-artifact/3? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-ext/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/maven/maven-artifact/3? - 100.0% [##########] 53.7 KiB (1.8 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-ext/? - 100.0% [##########] 10.1 KiB (421.0 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? - 82.5% [######## ] 8.4 MiB (56.0 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 73.3% [####### ] 2.1 MiB (4.4 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 8.8% [ ] 880.2 KiB (660.3 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/maven/maven-plugin-api? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.8/ta? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/maven/maven-plugin-api? - 100.0% [##########] 46.4 KiB (1.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.8/ta? - 100.0% [##########] 83.7 KiB (3.4 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? - 97.7% [######### ] 9.9 MiB (58.5 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 88.2% [######## ] 2.5 MiB (5.1 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 10.1% [# ] 1008.2 KiB (745.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.8? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disrupto? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/? - 100.0% [##########] 153.7 KiB (15.0 MiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 100.0% [##########] 316.4 KiB (18.2 MiB / s) -https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disrupto? - 100.0% [##########] 81.1 KiB (3.4 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/interface/0.0.19/? - 100.0% [##########] 2.9 MiB (5.6 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-stdlib/9.2.6.0/jr? - 100.0% [##########] 10.2 MiB (53.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.8? - 100.0% [##########] 432.9 KiB (17.6 MiB / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcpg-jdk15on/1.6? - 70.4% [####### ] 224.0 KiB (16.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? - 32.4% [### ] 176.0 KiB (21.5 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 13.4% [# ] 1.3 MiB (967.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.j? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/squareup/okio/okio/1.17.2/oki? - 100.0% [##########] 89.8 KiB (7.3 MiB / s) -https://repo1.maven.org/maven2/javax/enterprise/cdi-api/1.0/cdi-? - 100.0% [##########] 43.9 KiB (2.4 MiB / s) -https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.j? - 100.0% [##########] 262.5 KiB (12.2 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-svg-? - 100.0% [##########] 212.1 KiB (15.9 MiB / s) -https://repo1.maven.org/maven2/org/bouncycastle/bcpg-jdk15on/1.6? - 100.0% [##########] 318.0 KiB (9.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_? - 100.0% [##########] 543.5 KiB (19.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? - 100.0% [##########] 274.1 KiB (10.3 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 18.2% [# ] 1.8 MiB (1.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/antlr/antlr/2.7.7/antlr-2.7.7.jar - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/sbt-paradox? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlco? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 12s -https://repo1.maven.org/maven2/antlr/antlr/2.7.7/antlr-2.7.7.jar - 100.0% [##########] 434.9 KiB (15.2 MiB / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/sbt-paradox? - 100.0% [##########] 33.1 KiB (1.3 MiB / s) -https://repo1.maven.org/maven2/com/squareup/okhttp3/okhttp-urlco? - 100.0% [##########] 31.8 KiB (1.3 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? - 100.0% [##########] 629.9 KiB (38.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.3/io_2.? - 83.2% [######## ] 506.5 KiB (30.9 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 24.3% [## ] 2.4 MiB (1.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/xmlgraphic? - 100.0% [##########] 629.9 KiB (11.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.3/io_2.? - 100.0% [##########] 608.7 KiB (11.4 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 26.7% [## ] 2.6 MiB (1.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.26/slf4j-? - 100.0% [##########] 40.2 KiB (1004.4 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 29.1% [## ] 2.8 MiB (1.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/pegdown/pegdown/1.6.0/pegdown? - 0.0% [ ] 0 B -https://repo1.maven.org/maven2/org/pegdown/pegdown/1.6.0/pegdown? - 100.0% [##########] 78.1 KiB (2.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? - 100.0% [##########] 96.5 KiB (1.4 MiB / s) -https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? - 100.0% [##########] 26.8 KiB (924.2 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 35.2% [### ] 3.4 MiB (2.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalariform/scalariform_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/jruby/dirgra/0.3/dirgra-0.3.j? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 122.6 KiB (1.1 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 10.2 KiB (104.6 KiB / s) -https://repo1.maven.org/maven2/org/jruby/dirgra/0.3/dirgra-0.3.j? - 100.0% [##########] 16.0 KiB (516.6 KiB / s) -https://repo1.maven.org/maven2/org/scalariform/scalariform_2.12/? - 68.6% [###### ] 837.5 KiB (24.1 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 40.2% [#### ] 3.9 MiB (2.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/maven/maven-model/3.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/thoughtworks/extractor/extrac? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 12s -https://repo1.maven.org/maven2/com/github/cb372/scalacache-caffe? - 100.0% [##########] 6.9 KiB (527.6 KiB / s) -https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.3/? - 100.0% [##########] 208.0 KiB (8.1 MiB / s) -https://repo1.maven.org/maven2/com/thoughtworks/extractor/extrac? - 100.0% [##########] 19.6 KiB (982.2 KiB / s) -https://repo1.maven.org/maven2/org/apache/maven/maven-model/3.3.? - 100.0% [##########] 160.1 KiB (6.0 MiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm/7.0/asm-7.0.jar - 100.0% [##########] 111.0 KiB (8.3 MiB / s) -https://repo1.maven.org/maven2/org/scalariform/scalariform_2.12/? - 100.0% [##########] 1.2 MiB (22.1 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 48.9% [#### ] 4.8 MiB (3.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-xml/9.2.2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/reactivestreams/reactive-stre? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/jetty/jetty-xml/9.2.2? - 100.0% [##########] 43.1 KiB (1.6 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/sisu/org.eclipse.sisu? - 100.0% [##########] 200.6 KiB (7.3 MiB / s) -https://repo1.maven.org/maven2/org/parboiled/parboiled-java/1.3.? - 100.0% [##########] 76.1 KiB (4.1 MiB / s) -https://repo1.maven.org/maven2/org/reactivestreams/reactive-stre? - 100.0% [##########] 2.0 KiB (78.8 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 67.9% [###### ] 6.6 MiB (4.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.? - 33.9% [### ] 112.0 KiB (10.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/thoughtworks/sbt-api-mappings? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/thoughtworks/sbt-api-mappings? - 100.0% [##########] 55.4 KiB (1.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.? - 100.0% [##########] 330.9 KiB (11.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_? - 100.0% [##########] 73.3 KiB (4.8 MiB / s) -https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.12/0? - 100.0% [##########] 72.5 KiB (4.2 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 87.3% [######## ] 8.5 MiB (5.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 96.5% [######### ] 9.4 MiB (6.0 MiB / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? - 54.2% [##### ] 753.9 KiB (28.3 MiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? - 5.8% [ ] 636.1 KiB (22.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.11.1? - 100.0% [##########] 9.7 MiB (6.1 MiB / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? - 100.0% [##########] 1.4 MiB (29.5 MiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? - 17.9% [# ] 1.9 MiB (39.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 12s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 100.0% [##########] 2.0 KiB (17.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? - 100.0% [##########] 64.2 KiB (2.6 MiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? - 38.3% [### ] 4.1 MiB (59.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/xalan/xalan/2.7.0/xalan-2.7.0.jar - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 74.9% [####### ] 130.7 KiB (1.1 MiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? - 50.2% [##### ] 5.3 MiB (60.7 MiB / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? - 43.3% [#### ] 160.0 KiB (7.1 MiB / s) -https://repo1.maven.org/maven2/xalan/xalan/2.7.0/xalan-2.7.0.jar - 25.8% [## ] 688.0 KiB (19.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? - 100.0% [##########] 369.7 KiB (8.6 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 74.9% [####### ] 130.7 KiB (947.3 KiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? - 62.6% [###### ] 6.7 MiB (61.6 MiB / s) -https://repo1.maven.org/maven2/xalan/xalan/2.7.0/xalan-2.7.0.jar - 53.2% [##### ] 1.4 MiB (25.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-scri? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 174.6 KiB (1.1 MiB / s) -https://repo1.maven.org/maven2/org/apache/xmlgraphics/batik-scri? - 100.0% [##########] 16.8 KiB (478.7 KiB / s) -https://repo1.maven.org/maven2/xalan/xalan/2.7.0/xalan-2.7.0.jar - 84.9% [######## ] 2.2 MiB (29.5 MiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? - 75.5% [####### ] 8.0 MiB (62.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalastyle/scalastyle-sbt-plu? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0? - 100.0% [##########] 807 B (24.6 KiB / s) -https://repo1.maven.org/maven2/org/scalastyle/scalastyle-sbt-plu? - 100.0% [##########] 34.9 KiB (968.8 KiB / s) -https://repo1.maven.org/maven2/xalan/xalan/2.7.0/xalan-2.7.0.jar - 100.0% [##########] 2.6 MiB (27.7 MiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? - 92.1% [######### ] 9.8 MiB (66.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/sbt-mima-plugin_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-compon? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/javax/inject/javax.inject/1/javax? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 12s -https://repo1.maven.org/maven2/com/headius/options/1.4/options-1? - 100.0% [##########] 13.9 KiB (769.7 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/sbt-mima-plugin_2.12? - 100.0% [##########] 54.9 KiB (1.5 MiB / s) -https://repo1.maven.org/maven2/javax/inject/javax.inject/1/javax? - 100.0% [##########] 2.4 KiB (84.1 KiB / s) -https://repo1.maven.org/maven2/joda-time/joda-time/2.9.9/joda-ti? - 100.0% [##########] 619.2 KiB (35.6 MiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj-diag? - 100.0% [##########] 10.6 MiB (63.3 MiB / s) -https://repo1.maven.org/maven2/org/codehaus/plexus/plexus-compon? - 100.0% [##########] 4.1 KiB (124.6 KiB / s) -https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.3.? - 100.0% [##########] 189.3 KiB (12.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 1.3 MiB (8.5 MiB / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-knockof? - 100.0% [##########] 50.5 KiB (4.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.? - 100.0% [##########] 2.5 KiB (109.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/? - 100.0% [##########] 25.9 KiB (589.4 KiB / s) -https://repo1.maven.org/maven2/ws/unfiltered/unfiltered-directiv? - 100.0% [##########] 127.6 KiB (7.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.7.2/jarja? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.7.2/jarja? - 100.0% [##########] 122.3 KiB (4.8 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? - 32.0% [### ] 1.0 MiB (37.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? - 100.0% [##########] 156.1 KiB (1.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 100.0% [##########] 542.7 KiB (16.1 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? - 82.5% [######## ] 2.7 MiB (56.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 12s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 126.6 KiB (1.2 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? - 100.0% [##########] 3.3 MiB (48.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? - 43.3% [#### ] 480.0 KiB (3.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.6.? - 100.0% [##########] 1.1 MiB (7.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 12s - - - - - - - - - | => firrtl-build / update 12s - - - - - - - - - | => firrtl-build / update 12s - - - - - - - - - | => firrtl-build / update 12s - - - - - - - - - | => firrtl-build / update 12s - - - - - - - - - | => firrtl-build / update 13s -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - | => firrtl-build / update 13s -https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/? - 100.0% [##########] 14.4 KiB (7.7 KiB / s) -[info] Fetched artifacts of  - - - - - - - - | => firrtl-build / update 13s - - - - - - - - | => firrtl-build / update 13s - - - - - - - - | => firrtl-build / update 13s - - - - - - - - | => firrtl-build / update 13s - - - - - - - - | => firrtl-build / update 13s -[warn] There may be incompatibilities among your library dependencies; run 'evicted' to see detailed eviction warnings. - - - - - - - | => firrtl-build / update 13s - - - - - - - | => firrtl-build / update 13s - - - - - - - | => firrtl-build / update 13s - - - - - - - | => firrtl-build / Compile / previousCompile 0s - - - - - - - | => firrtl-build / Compile / compileIncremental 0s - - - - - - - | => firrtl-build / Compile / compileIncremental 0s - - - - - - - -[info] Loading settings for project firrtl from build.sbt ... -[info] Set current project to firrtl (in build file:/home/riscvuser/chipyard/tools/firrtl/) - - - - - - | => Global / fileTreeView 0s - - - - - - - - - - - - - - - - - - | => Global / fileTreeView 0s - - - - - - | => Global / previousCache 0s - - - - - - | => Global / checkBuildSources 0s - - - - - - - - - - - - - - - - - - - - - - | => Zero / checkBuildSources / dynamicInputs 0s - | => Global / fileTreeView 0s - - - - - - | => Zero / checkBuildSources / dynamicInputs 0s - | => Global / fileTreeView 0s - - - - - - | => Global / previousCache 0s - | => Zero / checkBuildSources / dynamicInputs 0s - | => Global / fileTreeView 0s - - - - - - | => Global / checkBuildSources 0s - | => Zero / checkBuildSources / dynamicInputs 0s - | => Global / fileTreeView 0s - - - - - - - - - - - - - - - - - - - - - - - - | => Global / fileTreeView 0s - - - - - - | => Global / fileTreeView 0s - - - - - - | => Global / previousCache 0s - | => Global / fileTreeView 0s - - - - - - - - - - - - - - - - - - - - - - - | => Global / fileTreeView 0s - - - - - - | => Global / fileTreeView 0s - - - - - - | => Global / previousCache 0s - | => Global / fileTreeView 0s - - - - - - - - - - - - - - - - - - - - - - - | => Global / fileTreeView 0s - - - - - - | => Global / previousCache 0s - | => Global / fileTreeView 0s - - - - - - | => Global / previousCache 0s - | => Global / fileTreeView 0s - - - - - - - - - - - - - | => firrtl / assembly / assemblyOutputPath 0s - - - - - - | => firrtl / assembly / assemblyOutputPath 0s - - - - - - - - - - - - | => firrtl / transitiveUpdate 0s - | => firrtl / transitiveUpdate 0s - - - - - - | => firrtl / transitiveUpdate 0s - | => firrtl / transitiveUpdate 0s - - - - - - - | => Global / previousCache 0s - - - - - - - | => Global / previousCache 0s - - - - - - - | => Global / previousCache 0s - - - - - - - | => Global / previousCache 0s - - - - - - - | => Global / previousCache 0s - - - - - - | => firrtl / Compile / externalHooks 0s - | => firrtl / Compile / externalHooks 0s - - - - - - | => firrtl / Compile / externalHooks 0s - | => firrtl / Compile / externalHooks 0s -[info] Updating  - - - - - - | => firrtl / Compile / externalHooks 0s - | => firrtl / Compile / externalHooks 0s -https://repo1.maven.org/maven2/org/apache/commons/commons-text/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/an? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-text/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/an? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) - - - - - - - | => firrtl / update 0s -https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1? - 100.0% [##########] 2.1 KiB (140.4 KiB / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? - 100.0% [##########] 5.2 KiB (345.6 KiB / s) -https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0? - 100.0% [##########] 2.2 KiB (30.0 KiB / s) -https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/an? - 100.0% [##########] 3.5 KiB (46.7 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-text/1? - 100.0% [##########] 16.0 KiB (216.7 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? - 100.0% [##########] 1.8 KiB (24.1 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 100.0% [##########] 1.8 KiB (110.7 KiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 100.0% [##########] 3.7 KiB (50.6 KiB / s) -https://repo1.maven.org/maven2/org/scalatestplus/scalacheck-1-14? - 100.0% [##########] 2.2 KiB (140.0 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-pare? - 100.0% [##########] 7.3 KiB (807.8 KiB / s) -https://repo1.maven.org/maven2/org/antlr/antlr4-master/4.7.1/ant? - 100.0% [##########] 4.3 KiB (474.3 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 100.0% [##########] 1.6 KiB (16.6 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-parent? - 57.1% [##### ] 40.2 KiB (3.9 MiB / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-bom/? - 100.0% [##########] 3.5 KiB (267.1 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-parent? - 100.0% [##########] 70.3 KiB (2.4 MiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? - 100.0% [##########] 27.7 KiB (2.5 MiB / s) -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 100.0% [##########] 1.6 KiB (141.2 KiB / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 100.0% [##########] 2.2 KiB (198.8 KiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest-compatibl? - 100.0% [##########] 1.6 KiB (146.8 KiB / s) -https://repo1.maven.org/maven2/com/github/nscala-time/nscala-tim? - 100.0% [##########] 1.7 KiB (145.5 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.7? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/nscala-time/nscala-tim? - 100.0% [##########] 1.7 KiB (56.3 KiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest-compatibl? - 100.0% [##########] 1.6 KiB (52.1 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.7? - 0.0% [ ] 0 B (0 B / s) - - - - - - - | => firrtl / update 0s -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.7? - 100.0% [##########] 2.1 KiB (23.7 KiB / s) -https://repo1.maven.org/maven2/com/thoughtworks/paranamer/parana? - 100.0% [##########] 5.2 KiB (396.2 KiB / s) -https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-t? - 100.0% [##########] 35.7 KiB (2.7 MiB / s) -https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-? - 100.0% [##########] 8.3 KiB (636.8 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.7/? - 100.0% [##########] 1.6 KiB (123.3 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? - 100.0% [##########] 1.6 KiB (124.0 KiB / s) -https://repo1.maven.org/maven2/com/thoughtworks/paranamer/parana? - 100.0% [##########] 11.3 KiB (662.9 KiB / s) -https://repo1.maven.org/maven2/org/joda/joda-parent/1.4.0/joda-p? - 100.0% [##########] 31.8 KiB (1.8 MiB / s) -[info] Resolved dependencies - - - - - - | => firrtl / update 0s - - - - - - | => firrtl / update 0s -[info] Updating  - - - - - - | => firrtl / update 0s -https://repo1.maven.org/maven2/org/antlr/antlr4/4.7.1/antlr4-4.7? - 100.0% [##########] 6.0 KiB (336.1 KiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 100.0% [##########] 4.8 KiB (440.9 KiB / s) -https://repo1.maven.org/maven2/org/abego/treelayout/org.abego.tr? - 100.0% [##########] 5.1 KiB (459.7 KiB / s) -https://repo1.maven.org/maven2/org/antlr/ST4/4.0.8/ST4-4.0.8.pom - 100.0% [##########] 13.4 KiB (1.2 MiB / s) -https://repo1.maven.org/maven2/org/glassfish/javax.json/1.0.4/ja? - 100.0% [##########] 9.0 KiB (819.6 KiB / s) -[info] Resolved dependencies - - - - - - | => firrtl / update 0s -[info] Updating  - - - - - - | => firrtl / update 0s -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 100.0% [##########] 2.7 KiB (161.2 KiB / s) -[info] Resolved dependencies - - - - - - | => firrtl / update 0s - - - - - - | => firrtl / update 0s -[info] Updating  - - - - - - | => firrtl / update 0s -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 100.0% [##########] 2.6 KiB (145.9 KiB / s) -[info] Resolved dependencies - - - - - - | => firrtl / update 0s -[info] Fetching artifacts of  - - - - - - | => firrtl / update 0s -https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-? - 85.7% [######## ] 121.8 KiB (7.4 MiB / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? - 7.9% [ ] 125.9 KiB (7.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 1.2% [ ] 123.2 KiB (7.5 MiB / s) -https://repo1.maven.org/maven2/org/abego/treelayout/org.abego.tr? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/nscala-time/nscala-tim? - 100.0% [##########] 197.1 KiB (10.7 MiB / s) -https://repo1.maven.org/maven2/org/abego/treelayout/org.abego.tr? - 100.0% [##########] 26.1 KiB (747.0 KiB / s) -https://repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-? - 100.0% [##########] 142.2 KiB (4.0 MiB / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? - 47.2% [#### ] 753.9 KiB (21.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 8.6% [ ] 449.3 KiB (24.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 6.3% [ ] 660.3 KiB (18.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.7/? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => firrtl / update 0s -https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.7/? - 100.0% [##########] 81.7 KiB (3.5 MiB / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? - 89.4% [######## ] 1.4 MiB (25.4 MiB / s) -https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/an? - 29.2% [## ] 96.0 KiB (7.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 25.7% [## ] 1.3 MiB (34.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 11.5% [# ] 1.2 MiB (21.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/google/protobuf/protobuf-java? - 100.0% [##########] 1.6 MiB (20.8 MiB / s) -https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/an? - 100.0% [##########] 328.9 KiB (10.0 MiB / s) -https://repo1.maven.org/maven2/org/antlr/ST4/4.0.8/ST4-4.0.8.jar - 61.6% [###### ] 144.0 KiB (11.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 46.4% [#### ] 2.4 MiB (41.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 17.8% [# ] 1.8 MiB (24.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest-compatibl? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/antlr/ST4/4.0.8/ST4-4.0.8.jar - 100.0% [##########] 233.9 KiB (7.1 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? - 100.0% [##########] 91.1 KiB (5.6 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest-compatibl? - 100.0% [##########] 1.4 KiB (49.1 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 69.9% [###### ] 3.6 MiB (45.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 24.5% [## ] 2.5 MiB (26.4 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 1.7% [ ] 192.0 KiB (10.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/glassfish/javax.json/1.0.4/ja? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/glassfish/javax.json/1.0.4/ja? - 100.0% [##########] 83.2 KiB (3.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 92.2% [######### ] 4.7 MiB (48.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 30.8% [### ] 3.1 MiB (27.3 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 5.1% [ ] 576.0 KiB (15.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 96.1% [######### ] 4.9 MiB (36.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 32.3% [### ] 3.3 MiB (21.6 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 5.8% [ ] 656.0 KiB (8.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => firrtl / update 0s -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 100.0% [##########] 5.1 MiB (37.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 34.6% [### ] 3.5 MiB (22.8 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 7.0% [ ] 800.0 KiB (10.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-t? - 0.0% [ ] 0 B -https://repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-t? - 100.0% [##########] 625.7 KiB (30.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 42.7% [#### ] 4.4 MiB (24.9 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 10.8% [# ] 1.2 MiB (12.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 5.4% [ ] 193.9 KiB (1.1 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/thoughtworks/paranamer/parana? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/thoughtworks/paranamer/parana? - 100.0% [##########] 33.8 KiB (1.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 53.2% [##### ] 5.4 MiB (27.9 MiB / s) -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 27.7% [## ] 313.3 KiB (23.5 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 16.4% [# ] 1.8 MiB (15.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 10.9% [# ] 392.0 KiB (2.0 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 100.0% [##########] 1.1 MiB (33.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 61.5% [###### ] 6.3 MiB (29.2 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 20.6% [## ] 2.3 MiB (16.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 14.9% [# ] 536.0 KiB (2.5 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-text/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-text/1? - 100.0% [##########] 202.2 KiB (8.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 72.3% [####### ] 7.4 MiB (31.5 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 26.7% [## ] 3.0 MiB (18.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 20.0% [# ] 718.2 KiB (3.0 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => firrtl / update 0s -https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0? - 100.0% [##########] 308.6 KiB (10.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 82.6% [######## ] 8.4 MiB (33.1 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? - 37.6% [### ] 128.0 KiB (9.6 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 32.2% [### ] 3.6 MiB (20.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 25.3% [## ] 910.2 KiB (3.5 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? - 100.0% [##########] 340.8 KiB (10.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 93.4% [######### ] 9.6 MiB (34.7 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 38.1% [### ] 4.2 MiB (21.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 31.1% [### ] 1.1 MiB (4.0 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.7? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.7? - 100.0% [##########] 478.1 KiB (13.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 100.0% [##########] 10.2 MiB (34.7 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 44.9% [#### ] 5.0 MiB (23.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 37.9% [### ] 1.3 MiB (4.6 MiB / s) -https://repo1.maven.org/maven2/org/antlr/antlr4/4.7.1/antlr4-4.7? - 16.6% [# ] 224.0 KiB (18.2 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/antlr/antlr4/4.7.1/antlr4-4.7? - 94.7% [######### ] 1.3 MiB (39.1 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 53.2% [##### ] 5.9 MiB (25.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 45.2% [#### ] 1.6 MiB (5.1 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/antlr/antlr4/4.7.1/antlr4-4.7? - 100.0% [##########] 1.3 MiB (25.4 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 68.5% [###### ] 7.6 MiB (29.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 58.9% [##### ] 2.1 MiB (6.3 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatestplus/scalacheck-1-14? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 84.5% [######## ] 9.4 MiB (34.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 72.3% [####### ] 2.5 MiB (7.2 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatestplus/scalacheck-1-14? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => firrtl / update 0s -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 100.0% [##########] 11.1 MiB (37.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 87.4% [######## ] 3.1 MiB (8.3 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatestplus/scalacheck-1-14? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1? - 100.0% [##########] 77.0 KiB (4.0 MiB / s) -https://repo1.maven.org/maven2/com/ibm/icu/icu4j/58.2/icu4j-58.2? - 100.0% [##########] 11.1 MiB (35.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 100.0% [##########] 3.5 MiB (9.0 MiB / s) -https://repo1.maven.org/maven2/org/scalatestplus/scalacheck-1-14? - 100.0% [##########] 97.9 KiB (1.2 MiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? - 68.3% [###### ] 336.0 KiB (27.3 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? - 100.0% [##########] 492.1 KiB (15.5 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 14.9% [# ] 229.6 KiB (1.5 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 100.0% [##########] 1.5 MiB (9.1 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => firrtl / update 0s - - - - - - | => firrtl / update 1s - - - - - - | => firrtl / update 1s - - - - - - | => firrtl / update 1s - - - - - - | => firrtl / update 1s -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 8.7% [ ] 578.8 KiB (748.7 KiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 32.2% [### ] 2.1 MiB (2.6 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 63.6% [###### ] 4.1 MiB (5.1 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 97.8% [######### ] 6.4 MiB (7.7 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 100.0% [##########] 6.5 MiB (7.6 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => firrtl / update 1s - - - - - - | => firrtl / update 1s - - - - - - | => firrtl / update 1s - - - - - - | => firrtl / update 1s -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 4.2% [ ] 528.2 KiB (391.0 KiB / s) - - - - - - | => firrtl / update 1s -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 13.3% [# ] 1.6 MiB (1.2 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 26.3% [## ] 3.2 MiB (2.3 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 44.0% [#### ] 5.4 MiB (3.8 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 62.3% [###### ] 7.6 MiB (5.3 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 80.8% [######## ] 9.8 MiB (6.8 MiB / s) - - - - - - | => firrtl / update 1s -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 99.2% [######### ] 12.1 MiB (8.2 MiB / s) -https://repo1.maven.org/maven2/org/scalameta/semanticdb-scalac_2? - 100.0% [##########] 12.2 MiB (8.2 MiB / s) -[info] Fetched artifacts of  - - - - - - | => firrtl / update 1s - - - - - - | => firrtl / update 2s -[info] Compiling 1 protobuf files to /home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/src_managed/main - - - - - - | => firrtl / update 2s -[info] Compiling schema /home/riscvuser/chipyard/tools/firrtl/src/main/proto/firrtl.proto - - - - - - | => firrtl / update 2s -protoc-jar: protoc version: 3.5.1, detected platform: linux-x86_64 (linux/amd64) -protoc-jar: java.io.IOException: java.io.FileNotFoundException: /home/riscvuser/.m2/settings.xml (No such file or directory) -protoc-jar: downloading: https://repo.maven.apache.org/maven2/com/google/protobuf/protoc/maven-metadata.xml -protoc-jar: saved: /tmp/protocjar.webcache/com/google/protobuf/protoc/maven-metadata.xml -protoc-jar: java.io.IOException: java.io.FileNotFoundException: /home/riscvuser/.m2/settings.xml (No such file or directory) -protoc-jar: downloading: https://repo.maven.apache.org/maven2/com/github/os72/protoc/maven-metadata.xml -protoc-jar: saved: /tmp/protocjar.webcache/com/github/os72/protoc/maven-metadata.xml -protoc-jar: java.io.IOException: java.io.FileNotFoundException: /home/riscvuser/.m2/settings.xml (No such file or directory) -protoc-jar: cached: /tmp/protocjar.webcache/com/google/protobuf/protoc/maven-metadata.xml - - - - - - | => firrtl / Protobuf / protobufGenerate 0s - | => firrtl / Antlr4 / antlr4Generate 0s -protoc-jar: downloading: https://repo.maven.apache.org/maven2/com/google/protobuf/protoc/3.5.1/protoc-3.5.1-linux-x86_64.exe - - - - - - | => firrtl / Protobuf / protobufGenerate 0s - | => firrtl / Antlr4 / antlr4Generate 0s -protoc-jar: saved: /tmp/protocjar.webcache/com/google/protobuf/protoc/3.5.1/protoc-3.5.1-linux-x86_64.exe -protoc-jar: executing: [/tmp/protocjar14928688499957470691/bin/protoc.exe, -I/home/riscvuser/chipyard/tools/firrtl/src/main/proto, -I/home/riscvuser/chipyard/tools/firrtl/target/protobuf_external, --java_out=/home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/src_managed/main, /home/riscvuser/chipyard/tools/firrtl/src/main/proto/firrtl.proto] - - - - - - | => firrtl / Protobuf / protobufGenerate 0s - | => firrtl / Antlr4 / antlr4Generate 0s -[info] Compiling protobuf - - - - - - | => firrtl / Protobuf / protobufGenerate 0s - | => firrtl / Antlr4 / antlr4Generate 0s -[info] Protoc target directory: /home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/src_managed/main - - - - - - | => firrtl / Protobuf / protobufGenerate 0s - | => firrtl / Antlr4 / antlr4Generate 0s - - - - - - - | => firrtl / Antlr4 / antlr4Generate 0s - - - - - - - | => firrtl / Antlr4 / antlr4Generate 0s - - - - - - - | => firrtl / Antlr4 / antlr4Generate 0s - - - - - - - | => firrtl / Antlr4 / antlr4Generate 0s - - - - - - - | => firrtl / Antlr4 / antlr4Generate 0s - - - - - - | => firrtl / Compile / managedSourcePaths / outputFileStamps 0s -[info] Compiling 191 Scala sources and 5 Java sources to /home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/classes ... - - - - - - | => firrtl / Compile / managedSourcePaths / outputFileStamps 0s - - - - - - - | => firrtl / Compile / compileIncremental 0s -https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.? - 0.0% [ ] 0 B (0 B / s) -[info] Non-compiled module 'compiler-bridge_2.12' for Scala 2.12.11. Compiling... - - - - - - | => firrtl / Compile / compileIncremental 0s - - - - - - | => firrtl / Compile / compileIncremental 0s - - - - - - | => firrtl / Compile / compileIncremental 0s - - - - - - | => firrtl / Compile / compileIncremental 0s - - - - - - | => firrtl / Compile / compileIncremental 0s - - - - - - | => firrtl / Compile / compileIncremental 0s - - - - - - | => firrtl / Compile / compileIncremental 0s - - - - - - | => firrtl / Compile / compileIncremental 0s - - - - - - | => firrtl / Compile / compileIncremental 0s - - - - - - | => firrtl / Compile / compileIncremental 1s - - - - - - | => firrtl / Compile / compileIncremental 1s - - - - - - | => firrtl / Compile / compileIncremental 1s - - - - - - | => firrtl / Compile / compileIncremental 1s - - - - - - | => firrtl / Compile / compileIncremental 1s - - - - - - | => firrtl / Compile / compileIncremental 1s - - - - - - | => firrtl / Compile / compileIncremental 1s - - - - - - | => firrtl / Compile / compileIncremental 1s - - - - - - | => firrtl / Compile / compileIncremental 1s - - - - - - | => firrtl / Compile / compileIncremental 1s - - - - - - | => firrtl / Compile / compileIncremental 2s - - - - - - | => firrtl / Compile / compileIncremental 2s - - - - - - | => firrtl / Compile / compileIncremental 2s - - - - - - | => firrtl / Compile / compileIncremental 2s - - - - - - | => firrtl / Compile / compileIncremental 2s - - - - - - | => firrtl / Compile / compileIncremental 2s - - - - - - | => firrtl / Compile / compileIncremental 2s - - - - - - | => firrtl / Compile / compileIncremental 2s - - - - - - | => firrtl / Compile / compileIncremental 2s - - - - - - | => firrtl / Compile / compileIncremental 2s - - - - - - | => firrtl / Compile / compileIncremental 3s - - - - - - | => firrtl / Compile / compileIncremental 3s - - - - - - | => firrtl / Compile / compileIncremental 3s - - - - - - | => firrtl / Compile / compileIncremental 3s - - - - - - | => firrtl / Compile / compileIncremental 3s - - - - - - | => firrtl / Compile / compileIncremental 3s - - - - - - | => firrtl / Compile / compileIncremental 3s - - - - - - | => firrtl / Compile / compileIncremental 3s - - - - - - | => firrtl / Compile / compileIncremental 3s - - - - - - | => firrtl / Compile / compileIncremental 3s - - - - - - | => firrtl / Compile / compileIncremental 4s - - - - - - | => firrtl / Compile / compileIncremental 4s - - - - - - | => firrtl / Compile / compileIncremental 4s - - - - - - | => firrtl / Compile / compileIncremental 4s - - - - - - | => firrtl / Compile / compileIncremental 4s - - - - - - | => firrtl / Compile / compileIncremental 4s - - - - - - | => firrtl / Compile / compileIncremental 4s - - - - - - | => firrtl / Compile / compileIncremental 4s - - - - - - | => firrtl / Compile / compileIncremental 4s - - - - - - | => firrtl / Compile / compileIncremental 4s - - - - - - | => firrtl / Compile / compileIncremental 5s - - - - - - | => firrtl / Compile / compileIncremental 5s - - - - - - | => firrtl / Compile / compileIncremental 5s - - - - - - | => firrtl / Compile / compileIncremental 5s - - - - - - | => firrtl / Compile / compileIncremental 5s - - - - - - | => firrtl / Compile / compileIncremental 5s - - - - - - | => firrtl / Compile / compileIncremental 5s - - - - - - | => firrtl / Compile / compileIncremental 5s - - - - - - | => firrtl / Compile / compileIncremental 5s - - - - - - | => firrtl / Compile / compileIncremental 5s - - - - - - | => firrtl / Compile / compileIncremental 6s - - - - - - | => firrtl / Compile / compileIncremental 6s - - - - - - | => firrtl / Compile / compileIncremental 6s - - - - - - | => firrtl / Compile / compileIncremental 6s - - - - - - | => firrtl / Compile / compileIncremental 6s - - - - - - | => firrtl / Compile / compileIncremental 6s - - - - - - | => firrtl / Compile / compileIncremental 6s - - - - - - | => firrtl / Compile / compileIncremental 6s - - - - - - | => firrtl / Compile / compileIncremental 6s - - - - - - | => firrtl / Compile / compileIncremental 6s - - - - - - | => firrtl / Compile / compileIncremental 7s - - - - - - | => firrtl / Compile / compileIncremental 7s - - - - - - | => firrtl / Compile / compileIncremental 7s - - - - - - | => firrtl / Compile / compileIncremental 7s - - - - - - | => firrtl / Compile / compileIncremental 7s - - - - - - | => firrtl / Compile / compileIncremental 7s - - - - - - | => firrtl / Compile / compileIncremental 7s - - - - - - | => firrtl / Compile / compileIncremental 7s - - - - - - | => firrtl / Compile / compileIncremental 7s - - - - - - | => firrtl / Compile / compileIncremental 7s - - - - - - | => firrtl / Compile / compileIncremental 8s - - - - - - | => firrtl / Compile / compileIncremental 8s - - - - - - | => firrtl / Compile / compileIncremental 8s - - - - - - | => firrtl / Compile / compileIncremental 8s - - - - - - | => firrtl / Compile / compileIncremental 8s - - - - - - | => firrtl / Compile / compileIncremental 8s - - - - - - | => firrtl / Compile / compileIncremental 8s - - - - - - | => firrtl / Compile / compileIncremental 8s - - - - - - | => firrtl / Compile / compileIncremental 8s - - - - - - | => firrtl / Compile / compileIncremental 8s - - - - - - | => firrtl / Compile / compileIncremental 9s - - - - - - | => firrtl / Compile / compileIncremental 9s - - - - - - | => firrtl / Compile / compileIncremental 9s - - - - - - | => firrtl / Compile / compileIncremental 9s -[info]  Compilation completed in 9.291s. - - - - - - | => firrtl / Compile / compileIncremental 9s - - - - - - | => firrtl / Compile / compileIncremental 9s - - - - - - | => firrtl / Compile / compileIncremental 9s - - - - - - | => firrtl / Compile / compileIncremental 9s - - - - - - | => firrtl / Compile / compileIncremental 9s - - - - - - | => firrtl / Compile / compileIncremental 9s - - - - - - | => firrtl / Compile / compileIncremental 10s - - - - - - | => firrtl / Compile / compileIncremental 10s - - - - - - | => firrtl / Compile / compileIncremental 10s - - - - - - | => firrtl / Compile / compileIncremental 10s - - - - - - | => firrtl / Compile / compileIncremental 10s - - - - - - | => firrtl / Compile / compileIncremental 10s - - - - - - | => firrtl / Compile / compileIncremental 10s - - - - - - | => firrtl / Compile / compileIncremental 10s - - - - - - | => firrtl / Compile / compileIncremental 10s - - - - - - | => firrtl / Compile / compileIncremental 10s - - - - - - | => firrtl / Compile / compileIncremental 11s - - - - - - | => firrtl / Compile / compileIncremental 11s - - - - - - | => firrtl / Compile / compileIncremental 11s - - - - - - | => firrtl / Compile / compileIncremental 11s - - - - - - | => firrtl / Compile / compileIncremental 11s - - - - - - | => firrtl / Compile / compileIncremental 11s - - - - - - | => firrtl / Compile / compileIncremental 11s - - - - - - | => firrtl / Compile / compileIncremental 11s - - - - - - | => firrtl / Compile / compileIncremental 11s - - - - - - | => firrtl / Compile / compileIncremental 11s - - - - - - | => firrtl / Compile / compileIncremental 12s - - - - - - | => firrtl / Compile / compileIncremental 12s - - - - - - | => firrtl / Compile / compileIncremental 12s - - - - - - | => firrtl / Compile / compileIncremental 12s - - - - - - | => firrtl / Compile / compileIncremental 12s - - - - - - | => firrtl / Compile / compileIncremental 12s - - - - - - | => firrtl / Compile / compileIncremental 12s - - - - - - | => firrtl / Compile / compileIncremental 12s - - - - - - | => firrtl / Compile / compileIncremental 12s - - - - - - | => firrtl / Compile / compileIncremental 12s - - - - - - | => firrtl / Compile / compileIncremental 13s - - - - - - | => firrtl / Compile / compileIncremental 13s - - - - - - | => firrtl / Compile / compileIncremental 13s - - - - - - | => firrtl / Compile / compileIncremental 13s - - - - - - | => firrtl / Compile / compileIncremental 13s - - - - - - | => firrtl / Compile / compileIncremental 13s - - - - - - | => firrtl / Compile / compileIncremental 13s - - - - - - | => firrtl / Compile / compileIncremental 13s - - - - - - | => firrtl / Compile / compileIncremental 13s - - - - - - | => firrtl / Compile / compileIncremental 13s - - - - - - | => firrtl / Compile / compileIncremental 14s - - - - - - | => firrtl / Compile / compileIncremental 14s - - - - - - | => firrtl / Compile / compileIncremental 14s - - - - - - | => firrtl / Compile / compileIncremental 14s - - - - - - | => firrtl / Compile / compileIncremental 14s - - - - - - | => firrtl / Compile / compileIncremental 14s - - - - - - | => firrtl / Compile / compileIncremental 14s - - - - - - | => firrtl / Compile / compileIncremental 14s - - - - - - | => firrtl / Compile / compileIncremental 14s - - - - - - | => firrtl / Compile / compileIncremental 14s - - - - - - | => firrtl / Compile / compileIncremental 15s - - - - - - | => firrtl / Compile / compileIncremental 15s - - - - - - | => firrtl / Compile / compileIncremental 15s - - - - - - | => firrtl / Compile / compileIncremental 15s - - - - - - | => firrtl / Compile / compileIncremental 15s - - - - - - | => firrtl / Compile / compileIncremental 15s - - - - - - | => firrtl / Compile / compileIncremental 15s - - - - - - | => firrtl / Compile / compileIncremental 15s - - - - - - | => firrtl / Compile / compileIncremental 15s - - - - - - | => firrtl / Compile / compileIncremental 15s - - - - - - | => firrtl / Compile / compileIncremental 16s - - - - - - | => firrtl / Compile / compileIncremental 16s - - - - - - | => firrtl / Compile / compileIncremental 16s - - - - - - | => firrtl / Compile / compileIncremental 16s - - - - - - | => firrtl / Compile / compileIncremental 16s - - - - - - | => firrtl / Compile / compileIncremental 16s - - - - - - | => firrtl / Compile / compileIncremental 16s - - - - - - | => firrtl / Compile / compileIncremental 16s - - - - - - | => firrtl / Compile / compileIncremental 17s - - - - - - | => firrtl / Compile / compileIncremental 17s - - - - - - | => firrtl / Compile / compileIncremental 17s - - - - - - | => firrtl / Compile / compileIncremental 17s - - - - - - | => firrtl / Compile / compileIncremental 17s - - - - - - | => firrtl / Compile / compileIncremental 17s - - - - - - | => firrtl / Compile / compileIncremental 17s - - - - - - | => firrtl / Compile / compileIncremental 17s - - - - - - | => firrtl / Compile / compileIncremental 17s - - - - - - | => firrtl / Compile / compileIncremental 17s - - - - - - | => firrtl / Compile / compileIncremental 18s - - - - - - | => firrtl / Compile / compileIncremental 18s - - - - - - | => firrtl / Compile / compileIncremental 18s - - - - - - | => firrtl / Compile / compileIncremental 18s - - - - - - | => firrtl / Compile / compileIncremental 18s - - - - - - | => firrtl / Compile / compileIncremental 18s - - - - - - | => firrtl / Compile / compileIncremental 18s - - - - - - | => firrtl / Compile / compileIncremental 18s - - - - - - | => firrtl / Compile / compileIncremental 18s - - - - - - | => firrtl / Compile / compileIncremental 18s - - - - - - | => firrtl / Compile / compileIncremental 19s - - - - - - | => firrtl / Compile / compileIncremental 19s - - - - - - | => firrtl / Compile / compileIncremental 19s - - - - - - | => firrtl / Compile / compileIncremental 19s - - - - - - | => firrtl / Compile / compileIncremental 19s - - - - - - | => firrtl / Compile / compileIncremental 19s - - - - - - | => firrtl / Compile / compileIncremental 19s - - - - - - | => firrtl / Compile / compileIncremental 19s - - - - - - | => firrtl / Compile / compileIncremental 19s - - - - - - | => firrtl / Compile / compileIncremental 19s - - - - - - | => firrtl / Compile / compileIncremental 20s - - - - - - | => firrtl / Compile / compileIncremental 20s - - - - - - | => firrtl / Compile / compileIncremental 20s - - - - - - | => firrtl / Compile / compileIncremental 20s - - - - - - | => firrtl / Compile / compileIncremental 20s - - - - - - | => firrtl / Compile / compileIncremental 20s - - - - - - | => firrtl / Compile / compileIncremental 20s - - - - - - | => firrtl / Compile / compileIncremental 20s - - - - - - | => firrtl / Compile / compileIncremental 20s - - - - - - | => firrtl / Compile / compileIncremental 20s - - - - - - | => firrtl / Compile / compileIncremental 21s - - - - - - | => firrtl / Compile / compileIncremental 21s - - - - - - | => firrtl / Compile / compileIncremental 21s - - - - - - | => firrtl / Compile / compileIncremental 21s - - - - - - | => firrtl / Compile / compileIncremental 21s - - - - - - | => firrtl / Compile / compileIncremental 21s - - - - - - | => firrtl / Compile / compileIncremental 21s - - - - - - | => firrtl / Compile / compileIncremental 21s - - - - - - | => firrtl / Compile / compileIncremental 21s - - - - - - | => firrtl / Compile / compileIncremental 21s - - - - - - | => firrtl / Compile / compileIncremental 22s - - - - - - | => firrtl / Compile / compileIncremental 22s - - - - - - | => firrtl / Compile / compileIncremental 22s - - - - - - | => firrtl / Compile / compileIncremental 22s - - - - - - | => firrtl / Compile / compileIncremental 22s - - - - - - | => firrtl / Compile / compileIncremental 22s - - - - - - | => firrtl / Compile / compileIncremental 22s - - - - - - | => firrtl / Compile / compileIncremental 22s - - - - - - | => firrtl / Compile / compileIncremental 22s - - - - - - | => firrtl / Compile / compileIncremental 22s - - - - - - | => firrtl / Compile / compileIncremental 23s - - - - - - | => firrtl / Compile / compileIncremental 23s - - - - - - | => firrtl / Compile / compileIncremental 23s - - - - - - | => firrtl / Compile / compileIncremental 23s - - - - - - | => firrtl / Compile / compileIncremental 23s - - - - - - | => firrtl / Compile / compileIncremental 23s - - - - - - | => firrtl / Compile / compileIncremental 23s - - - - - - | => firrtl / Compile / compileIncremental 23s - - - - - - | => firrtl / Compile / compileIncremental 23s - - - - - - | => firrtl / Compile / compileIncremental 24s - - - - - - | => firrtl / Compile / compileIncremental 24s - - - - - - | => firrtl / Compile / compileIncremental 24s - - - - - - | => firrtl / Compile / compileIncremental 24s - - - - - - | => firrtl / Compile / compileIncremental 24s - - - - - - | => firrtl / Compile / compileIncremental 24s - - - - - - | => firrtl / Compile / compileIncremental 24s - - - - - - | => firrtl / Compile / compileIncremental 24s - - - - - - | => firrtl / Compile / compileIncremental 24s - - - - - - | => firrtl / Compile / compileIncremental 24s - - - - - - | => firrtl / Compile / compileIncremental 25s - - - - - - | => firrtl / Compile / compileIncremental 25s - - - - - - | => firrtl / Compile / compileIncremental 25s - - - - - - | => firrtl / Compile / compileIncremental 25s - - - - - - | => firrtl / Compile / compileIncremental 25s - - - - - - | => firrtl / Compile / compileIncremental 25s - - - - - - | => firrtl / Compile / compileIncremental 25s - - - - - - | => firrtl / Compile / compileIncremental 25s - - - - - - | => firrtl / Compile / compileIncremental 25s - - - - - - | => firrtl / Compile / compileIncremental 25s - - - - - - | => firrtl / Compile / compileIncremental 26s - - - - - - | => firrtl / Compile / compileIncremental 26s - - - - - - | => firrtl / Compile / compileIncremental 26s - - - - - - | => firrtl / Compile / compileIncremental 26s - - - - - - | => firrtl / Compile / compileIncremental 26s - - - - - - | => firrtl / Compile / compileIncremental 26s - - - - - - | => firrtl / Compile / compileIncremental 26s - - - - - - | => firrtl / Compile / compileIncremental 26s - - - - - - | => firrtl / Compile / compileIncremental 26s - - - - - - | => firrtl / Compile / compileIncremental 26s - - - - - - | => firrtl / Compile / compileIncremental 27s - - - - - - | => firrtl / Compile / compileIncremental 27s - - - - - - | => firrtl / Compile / compileIncremental 27s - - - - - - | => firrtl / Compile / compileIncremental 27s - - - - - - | => firrtl / Compile / compileIncremental 27s - - - - - - | => firrtl / Compile / compileIncremental 27s - - - - - - | => firrtl / Compile / compileIncremental 27s - - - - - - | => firrtl / Compile / compileIncremental 27s - - - - - - | => firrtl / Compile / compileIncremental 27s - - - - - - | => firrtl / Compile / compileIncremental 27s - - - - - - | => firrtl / Compile / compileIncremental 28s - - - - - - | => firrtl / Compile / compileIncremental 28s - - - - - - | => firrtl / Compile / compileIncremental 28s - - - - - - | => firrtl / Compile / compileIncremental 28s - - - - - - | => firrtl / Compile / compileIncremental 28s - - - - - - | => firrtl / Compile / compileIncremental 28s - - - - - - | => firrtl / Compile / compileIncremental 28s - - - - - - | => firrtl / Compile / compileIncremental 28s - - - - - - | => firrtl / Compile / compileIncremental 28s - - - - - - | => firrtl / Compile / compileIncremental 28s - - - - - - | => firrtl / Compile / compileIncremental 29s - - - - - - | => firrtl / Compile / compileIncremental 29s - - - - - - | => firrtl / Compile / compileIncremental 29s - - - - - - | => firrtl / Compile / compileIncremental 29s - - - - - - | => firrtl / Compile / compileIncremental 29s - - - - - - | => firrtl / Compile / compileIncremental 29s - - - - - - | => firrtl / Compile / compileIncremental 29s - - - - - - | => firrtl / Compile / compileIncremental 29s - - - - - - | => firrtl / Compile / compileIncremental 29s - - - - - - | => firrtl / Compile / compileIncremental 29s - - - - - - | => firrtl / Compile / compileIncremental 30s - - - - - - | => firrtl / Compile / compileIncremental 30s - - - - - - | => firrtl / Compile / compileIncremental 30s - - - - - - | => firrtl / Compile / compileIncremental 30s - - - - - - | => firrtl / Compile / compileIncremental 30s - - - - - - | => firrtl / Compile / compileIncremental 30s - - - - - - | => firrtl / Compile / compileIncremental 30s - - - - - - | => firrtl / Compile / compileIncremental 30s - - - - - - | => firrtl / Compile / compileIncremental 30s - - - - - - | => firrtl / Compile / compileIncremental 30s - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Visitor.scala:155:27: match may not be exhaustive. - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] It would fail on the following input: (_, _) - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  val lower = (ctx.lowerBound.getText, ctx.boundValue(0).getText) match { - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Visitor.scala:160:27: match may not be exhaustive. - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] It would fail on the following input: (_, _) - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  val upper = (ctx.upperBound.getText, ctx.boundValue(1).getText) match { - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 31s - - - - - - | => firrtl / Compile / compileIncremental 31s - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/annotations/Annotation.scala:64:57: match may not be exhaustive. - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] It would fail on the following inputs: CircuitName(_), CompleteTarget(), ComponentName(_, _), GenericTarget(_, _, _), ModuleName(_, _) - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  ret.map(_.map(newT => Target.convertTarget2Named(newT: @unchecked) match { - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 31s - - - - - - | => firrtl / Compile / compileIncremental 31s - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/annotations/Target.scala:231:11: match may not be exhaustive. - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] It would fail on the following input: (None, None) - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  (getRef, getInstanceOf) match { - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 31s - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/annotations/Target.scala:457:41: match may not be exhaustive. - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] It would fail on the following inputs: ("", Clock), ("", Init), ("", Instance(_)), ("", OfModule(_)), ("", Reset), (_, Clock), (_, Init), (_, Instance(_)), (_, OfModule(_)), (_, Ref(_)), (_, Reset) - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  val name = tokens.foldLeft(""){ - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/annotations/Target.scala:594:29: match may not be exhaustive. - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] It would fail on the following inputs: Clock, Init, Instance(_), OfModule(_), Reset - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  val headType = tokens.head match { - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 31s - - - - - - | => firrtl / Compile / compileIncremental 31s - - - - - - | => firrtl / Compile / compileIncremental 31s - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/ir/IR.scala:724:33: match may not be exhaustive. - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] It would fail on the following inputs: (??, None), (Closed(_), None), (Open(_), None), (_, None), (_, Some(_)) - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  def max: Option[BigDecimal] = (upper, precision) match { - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 31s - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/CInferMDir.scala:21:42: match may not be exhaustive. - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn] It would fail on the following inputs: (MInfer, _), (MPortDir(), _), (MRead, _), (MReadWrite, _), (MWrite, _), (_, MInfer), (_, MPortDir()), (_, MRead), (_, MReadWrite), (_, MWrite), (_, _) - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  case Some(p) => mports(e.name) = (p, dir) match { - - - - - - | => firrtl / Compile / compileIncremental 31s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 31s - - - - - - | => firrtl / Compile / compileIncremental 32s - - - - - - | => firrtl / Compile / compileIncremental 32s - - - - - - | => firrtl / Compile / compileIncremental 32s - - - - - - | => firrtl / Compile / compileIncremental 32s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/InferWidths.scala:80:104: Exhaustivity analysis reached max recursion depth, not all missing cases are reported. - - - - - - | => firrtl / Compile / compileIncremental 32s -[warn] (Please try with scalac -Ypatmat-exhaust-depth 40 or -Ypatmat-exhaust-depth off.) - - - - - - | => firrtl / Compile / compileIncremental 32s -[warn]  private def addTypeConstraints(r1: ReferenceTarget, r2: ReferenceTarget)(t1: Type, t2: Type): Unit = (t1,t2) match { - - - - - - | => firrtl / Compile / compileIncremental 32s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 32s - - - - - - | => firrtl / Compile / compileIncremental 32s - - - - - - | => firrtl / Compile / compileIncremental 32s - - - - - - | => firrtl / Compile / compileIncremental 32s - - - - - - | => firrtl / Compile / compileIncremental 32s - - - - - - | => firrtl / Compile / compileIncremental 32s - - - - - - | => firrtl / Compile / compileIncremental 32s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/proto/FromProto.scala:137:63: match may not be exhaustive. - - - - - - | => firrtl / Compile / compileIncremental 32s -[warn] It would fail on the following input: UNRECOGNIZED - - - - - - | => firrtl / Compile / compileIncremental 32s -[warn]  def convert(ruw: ReadUnderWrite): ir.ReadUnderWrite.Value = ruw match { - - - - - - | => firrtl / Compile / compileIncremental 32s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 32s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/proto/FromProto.scala:159:76: match may not be exhaustive. - - - - - - | => firrtl / Compile / compileIncremental 32s -[warn] It would fail on the following inputs: MEMORY_PORT_DIRECTION_UNKNOWN, UNRECOGNIZED - - - - - - | => firrtl / Compile / compileIncremental 32s -[warn]  def convert(mportdir: Firrtl.Statement.MemoryPort.Direction): MPortDir = mportdir match { - - - - - - | => firrtl / Compile / compileIncremental 32s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 32s - - - - - - | => firrtl / Compile / compileIncremental 33s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/proto/FromProto.scala:275:5: match may not be exhaustive. - - - - - - | => firrtl / Compile / compileIncremental 33s -[warn] It would fail on the following inputs: PORT_DIRECTION_UNKNOWN, UNRECOGNIZED - - - - - - | => firrtl / Compile / compileIncremental 33s -[warn]  dir match { - - - - - - | => firrtl / Compile / compileIncremental 33s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 33s - - - - - - | => firrtl / Compile / compileIncremental 33s - - - - - - | => firrtl / Compile / compileIncremental 33s - - - - - - | => firrtl / Compile / compileIncremental 33s - - - - - - | => firrtl / Compile / compileIncremental 33s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/transforms/RemoveKeywordCollisions.scala:47:59: match may not be exhaustive. - - - - - - | => firrtl / Compile / compileIncremental 33s -[warn] It would fail on the following inputs: Some(CompleteTarget()), Some(GenericTarget(_, _, _)) - - - - - - | => firrtl / Compile / compileIncremental 33s -[warn]  def wrap(name: String, scope: Option[Named]): Named = scope match { - - - - - - | => firrtl / Compile / compileIncremental 33s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 33s - - - - - - | => firrtl / Compile / compileIncremental 33s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/transforms/SimplifyMems.scala:43:67: match may not be exhaustive. - - - - - - | => firrtl / Compile / compileIncremental 33s -[warn] It would fail on the following inputs: Field(_, (x: firrtl.ir.Orientation forSome x not in Flip), (x: firrtl.ir.Type forSome x not in firrtl.ir.BundleType)), Field(_, (x: firrtl.ir.Orientation forSome x not in Flip), BundleType(_)), Field(_, Flip, (x: firrtl.ir.Type forSome x not in firrtl.ir.BundleType)) - - - - - - | => firrtl / Compile / compileIncremental 33s -[warn]  val adapterConnects = memType(simpleMemDecl).fields.flatMap { - - - - - - | => firrtl / Compile / compileIncremental 33s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 33s - - - - - - | => firrtl / Compile / compileIncremental 33s - - - - - - | => firrtl / Compile / compileIncremental 33s - - - - - - | => firrtl / Compile / compileIncremental 33s - - - - - - | => firrtl / Compile / compileIncremental 33s - - - - - - | => firrtl / Compile / compileIncremental 34s - - - - - - | => firrtl / Compile / compileIncremental 34s - - - - - - | => firrtl / Compile / compileIncremental 34s - - - - - - | => firrtl / Compile / compileIncremental 34s - - - - - - | => firrtl / Compile / compileIncremental 34s - - - - - - | => firrtl / Compile / compileIncremental 34s - - - - - - | => firrtl / Compile / compileIncremental 34s - - - - - - | => firrtl / Compile / compileIncremental 34s - - - - - - | => firrtl / Compile / compileIncremental 34s - - - - - - | => firrtl / Compile / compileIncremental 34s - - - - - - | => firrtl / Compile / compileIncremental 35s - - - - - - | => firrtl / Compile / compileIncremental 35s - - - - - - | => firrtl / Compile / compileIncremental 35s - - - - - - | => firrtl / Compile / compileIncremental 35s - - - - - - | => firrtl / Compile / compileIncremental 35s - - - - - - | => firrtl / Compile / compileIncremental 35s - - - - - - | => firrtl / Compile / compileIncremental 35s - - - - - - | => firrtl / Compile / compileIncremental 35s - - - - - - | => firrtl / Compile / compileIncremental 35s - - - - - - | => firrtl / Compile / compileIncremental 35s - - - - - - | => firrtl / Compile / compileIncremental 36s - - - - - - | => firrtl / Compile / compileIncremental 36s - - - - - - | => firrtl / Compile / compileIncremental 36s - - - - - - | => firrtl / Compile / compileIncremental 36s - - - - - - | => firrtl / Compile / compileIncremental 36s - - - - - - | => firrtl / Compile / compileIncremental 36s - - - - - - | => firrtl / Compile / compileIncremental 36s - - - - - - | => firrtl / Compile / compileIncremental 36s - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:37:11: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  form: CircuitForm, - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:89:37: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  def apply(circuit: Circuit, form: CircuitForm): CircuitState = apply(circuit, form, Seq()) - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:90:37: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  def apply(circuit: Circuit, form: CircuitForm, annotations: AnnotationSeq): CircuitState = - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:93:31: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  new CircuitState(circuit, UnknownForm, annotations, None) - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:226:60: method inputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  override def prerequisites: Seq[Dependency[Transform]] = inputForm match { - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:227:10: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case C => Nil - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:228:10: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case H => Forms.Deduped - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:229:10: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case M => Forms.MidForm - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:230:10: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case L => Forms.LowForm - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:231:10: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case U => Nil - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:234:68: method inputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  override def optionalPrerequisites: Seq[Dependency[Transform]] = inputForm match { - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:235:10: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case L => Forms.LowFormOptimized - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:245:20: method inputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  val emitters = inputForm match { - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:246:12: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case C => Dependency[ChirrtlEmitter] :: Dependency[HighFirrtlEmitter] :: Dependency[MiddleFirrtlEmitter] :: lowEmitters - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:247:12: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case H => Dependency[HighFirrtlEmitter] :: Dependency[MiddleFirrtlEmitter] :: lowEmitters - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:248:12: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case M => Dependency[MiddleFirrtlEmitter] :: lowEmitters - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:249:12: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case L => lowEmitters - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:250:12: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case U => Nil - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:255:5: method inputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  inputForm match { - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:256:12: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case C => (fullCompilerSet ++ emitters - selfDep).toSeq - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:257:12: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case H => (fullCompilerSet -- Forms.Deduped ++ emitters - selfDep).toSeq - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:258:12: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case M => (fullCompilerSet -- Forms.MidForm ++ emitters - selfDep).toSeq - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:259:12: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case L => (fullCompilerSet -- Forms.LowFormOptimized ++ emitters - selfDep).toSeq - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:260:12: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case U => Nil - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:268:6: method inputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  (inputForm, outputForm) match { - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:268:17: method outputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  (inputForm, outputForm) match { - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:269:13: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case (U, _) | (_, U) => true // invalidate everything - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:269:25: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case (U, _) | (_, U) => true // invalidate everything - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:271:16: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case (_, C) => true // invalidate everything - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:272:16: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case (_, H) => highOutputInvalidates(Dependency.fromTransform(a)) - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:273:16: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case (_, M) => midOutputInvalidates(Dependency.fromTransform(a)) - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:274:16: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  case (_, L) => false // invalidate nothing - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Compiler.scala:378:31: method outputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  CircuitState(ret.circuit, outputForm, ret.annotations, ret.renames) - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:112:43: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] sealed abstract class FirrtlEmitter(form: CircuitForm) extends Transform with Emitter { - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:162:44: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] class ChirrtlEmitter extends FirrtlEmitter(ChirrtlForm) - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:163:47: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] class HighFirrtlEmitter extends FirrtlEmitter(HighForm) - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:164:49: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] class MiddleFirrtlEmitter extends FirrtlEmitter(MidForm) - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:165:46: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] class LowFirrtlEmitter extends FirrtlEmitter(LowForm) - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:181:19: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  def inputForm = LowForm - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/Emitter.scala:182:20: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  def outputForm = LowForm - - - - - - | => firrtl / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 36s - - - - - - | => firrtl / Compile / compileIncremental 37s - - - - - - | => firrtl / Compile / compileIncremental 37s - - - - - - | => firrtl / Compile / compileIncremental 37s - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/annotations/JsonProtocol.scala:47:62: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  Class.forName(s).asInstanceOf[Class[_ <: Transform]].newInstance() - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/graph/DiGraph.scala:223:29: class Stack in package mutable is deprecated (since 2.12.0): Stack is an inelegant and potentially poorly-performing wrapper around List. Use a List assigned to a var instead. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  val stack = new mutable.Stack[T] - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/graph/DiGraph.scala:239:33: class Stack in package mutable is deprecated (since 2.12.0): Stack is an inelegant and potentially poorly-performing wrapper around List. Use a List assigned to a var instead. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  val callStack = new mutable.Stack[StrongConnectFrame[T]] - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/options/Phase.scala:58:63: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  private def safeConstruct[A](a: Class[_ <: A]): A = try { a.newInstance } catch { - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/options/Phase.scala:172:52: method dependents in trait DependencyAPI is deprecated (since FIRRTL 1.3): Due to confusion, 'dependents' is being renamed to 'optionalPrerequisiteOf'. Override the latter instead. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  def optionalPrerequisiteOf: Seq[Dependency[A]] = dependents - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/Inline.scala:310:31: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  CircuitState(flatCircuit, LowForm, annos, Some(renames)) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/LowerTypes.scala:292:26: method outputForm in trait DependencyAPIMigration is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  CircuitState(result, outputForm, state.annotations, Some(renames)) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/ZeroWidth.scala:194:26: method outputForm in trait DependencyAPIMigration is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  CircuitState(result, outputForm, state.annotations, Some(renames)) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/clocklist/ClockList.scala:21:23: method getChildrenMap in object WiringUtils is deprecated (since 1.1.1): Use DiGraph/InstanceGraph - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  val childrenMap = getChildrenMap(c) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/clocklist/ClockList.scala:23:20: method getLineage in object WiringUtils is deprecated (since 1.1.1): Use DiGraph/InstanceGraph - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  val lineages = getLineage(childrenMap, top) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/clocklist/ClockListUtils.scala:15:61: class Lineage in package wiring is deprecated (since 1.1.1): Use DiGraph/InstanceGraph - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  def getSourceList(moduleMap: Map[String, DefModule])(lin: Lineage): Seq[String] = { - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/clocklist/ClockListUtils.scala:33:90: class Lineage in package wiring is deprecated (since 1.1.1): Use DiGraph/InstanceGraph - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  def getOrigins(connects: Connects, me: String, moduleMap: Map[String, DefModule])(lin: Lineage): Map[String, String] = { - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/memlib/ReplaceMemMacros.scala:263:68: method getMyAnnotations in trait Transform is deprecated (since 1.1): Just collect the actual Annotation types the transform wants - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  case _ => throwInternalError(s"execute: getMyAnnotations - ${getMyAnnotations(state)}") - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/memlib/ReplaceMemTransform.scala:103:43: class SimpleTransform in package memlib is deprecated (since FIRRTL 1.3): Migrate to a transform that does not take arguments. This will be removed in 1.4. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] class SimpleMidTransform(p: Pass) extends SimpleTransform(p, MidForm) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/passes/memlib/ReplaceMemTransform.scala:103:62: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] class SimpleMidTransform(p: Pass) extends SimpleTransform(p, MidForm) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:143:41: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] case class CompilerAnnotation(compiler: Compiler = new VerilogCompiler()) extends NoTargetAnnotation with FirrtlOption - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:143:56: class VerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[VerilogEmitter]) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] case class CompilerAnnotation(compiler: Compiler = new VerilogCompiler()) extends NoTargetAnnotation with FirrtlOption - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:149:31: class NoneCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} or stage.transforms.Compiler(Seq(Dependency[ChirrtlEmitter])) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  case "none" => new NoneCompiler() - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:150:31: class HighFirrtlCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Seq(Dependency[HighFirrtlEmitter])) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  case "high" => new HighFirrtlCompiler() - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:151:31: class LowFirrtlCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[LowFirrtlEmitter]) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  case "low" => new LowFirrtlCompiler() - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:152:31: class MiddleFirrtlCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[MiddleFirrtlEmitter]) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  case "middle" => new MiddleFirrtlCompiler() - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:153:31: class VerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[VerilogEmitter]) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  case "verilog" => new VerilogCompiler() - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:154:31: class MinimumVerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[MinimumVerilogEmitter]) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  case "mverilog" => new MinimumVerilogCompiler() - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:155:31: class SystemVerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[SystemVerilogEmitter]) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  case "sverilog" => new SystemVerilogCompiler() - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlAnnotations.scala:185:78: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  val tx = Class.forName(txName).asInstanceOf[Class[_ <: Transform]].newInstance() - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlOptions.scala:16:29: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  val compiler: Compiler = CompilerAnnotation().compiler, - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/FirrtlOptions.scala:22:27: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  compiler: Compiler = compiler, - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/package.scala:39:70: trait FirrtlExecutionResult in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  private [firrtl] implicit object FirrtlExecutionResultView extends OptionsView[FirrtlExecutionResult] { - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/package.scala:43:39: trait FirrtlExecutionResult in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  def view(options: AnnotationSeq): FirrtlExecutionResult = { - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/package.scala:50:22: class FirrtlExecutionFailure in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  case None => FirrtlExecutionFailure("No circuit found in AnnotationSeq!") - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/package.scala:51:25: object FirrtlExecutionSuccess in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  case Some(a) => FirrtlExecutionSuccess( - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/package.scala:56:35: method outputForm in trait DependencyAPIMigration is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  form = fopts.compiler.outputForm, - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/AddImplicitEmitter.scala:20:38: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  val compiler = annos.collectFirst{ case CompilerAnnotation(a) => a } - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/Compiler.scala:17:13: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  compiler: Option[FirrtlCompiler] ) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/Compiler.scala:13:28: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] private [stage] case class CompilerRun( - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/Compiler.scala:23:13: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  compiler: Option[FirrtlCompiler] = None) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/Compiler.scala:20:28: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] private [stage] case class Defaults( - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/Compiler.scala:63:43: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  CompilerRun(CircuitState(circuit, ChirrtlForm, d.annotations, None), None, d.transforms, d.compiler) +=: c - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/phases/Compiler.scala:116:39: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  private def compilerToTransforms(a: FirrtlCompiler): Seq[TransformDependency] = a match { - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/transforms/CatchCustomTransformExceptions.scala:21:15: method getPackage in class Package is deprecated: see corresponding Javadoc for more information. - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  Package.getPackage(pack.getName.split('.').head) - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/transforms/CatchCustomTransformExceptions.scala:24:34: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  getTopPackage(p) != firrtl.Driver.getClass.getPackage - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/transforms/WrappedTransform.scala:26:39: method inputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  override def inputForm = underlying.inputForm - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/firrtl/stage/transforms/WrappedTransform.scala:27:40: method outputForm in trait Transform is deprecated (since FIRRTL 1.3): Use Dependency API methods for equivalent functionality. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  override def outputForm = underlying.outputForm - - - - - - | => firrtl / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 37s - - - - - - | => firrtl / Compile / compileIncremental 37s - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/logger/LoggerOptions.scala:33:47: method logToFile in class LoggerOptions is deprecated (since 1.2): logToFile was removed, use logFileName.nonEmpty - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn]  def getLogFileName(): Option[String] = if (!logToFile) None else logFileName.orElse(Some("a.log")) - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/tutorial/lesson1-circuit-traversal/AnalyzeCircuit.scala:72:19: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn]  def inputForm = LowForm - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/tutorial/lesson1-circuit-traversal/AnalyzeCircuit.scala:74:20: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn]  def outputForm = LowForm - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/tutorial/lesson2-working-ir/AnalyzeCircuit.scala:98:19: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn]  def inputForm = LowForm - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn] /home/riscvuser/chipyard/tools/firrtl/src/main/scala/tutorial/lesson2-working-ir/AnalyzeCircuit.scala:99:20: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn]  def outputForm = LowForm - - - - - - | => firrtl / Compile / compileIncremental 38s -[warn]  ^ - - - - - - | => firrtl / Compile / compileIncremental 38s - - - - - - | => firrtl / Compile / compileIncremental 38s - - - - - - | => firrtl / Compile / compileIncremental 38s - - - - - - | => firrtl / Compile / compileIncremental 38s - - - - - - | => firrtl / Compile / compileIncremental 38s - - - - - - | => firrtl / Compile / compileIncremental 38s - - - - - - | => firrtl / Compile / compileIncremental 38s - - - - - - | => firrtl / Compile / compileIncremental 38s - - - - - - | => firrtl / Compile / compileIncremental 38s - - - - - - | => firrtl / Compile / compileIncremental 39s - - - - - - | => firrtl / Compile / compileIncremental 39s - - - - - - | => firrtl / Compile / compileIncremental 39s - - - - - - | => firrtl / Compile / compileIncremental 39s - - - - - - | => firrtl / Compile / compileIncremental 39s - - - - - - | => firrtl / Compile / compileIncremental 39s - - - - - - | => firrtl / Compile / compileIncremental 39s - - - - - - | => firrtl / Compile / compileIncremental 39s - - - - - - | => firrtl / Compile / compileIncremental 39s - - - - - - | => firrtl / Compile / compileIncremental 39s - - - - - - | => firrtl / Compile / compileIncremental 40s - - - - - - | => firrtl / Compile / compileIncremental 40s - - - - - - | => firrtl / Compile / compileIncremental 40s - - - - - - | => firrtl / Compile / compileIncremental 40s - - - - - - | => firrtl / Compile / compileIncremental 40s - - - - - - | => firrtl / Compile / compileIncremental 40s - - - - - - | => firrtl / Compile / compileIncremental 40s - - - - - - | => firrtl / Compile / compileIncremental 40s - - - - - - | => firrtl / Compile / compileIncremental 40s - - - - - - | => firrtl / Compile / compileIncremental 40s - - - - - - | => firrtl / Compile / compileIncremental 41s - - - - - - | => firrtl / Compile / compileIncremental 41s - - - - - - | => firrtl / Compile / compileIncremental 41s - - - - - - | => firrtl / Compile / compileIncremental 41s - - - - - - | => firrtl / Compile / compileIncremental 41s - - - - - - | => firrtl / Compile / compileIncremental 41s - - - - - - | => firrtl / Compile / compileIncremental 41s - - - - - - | => firrtl / Compile / compileIncremental 41s - - - - - - | => firrtl / Compile / compileIncremental 41s - - - - - - | => firrtl / Compile / compileIncremental 41s - - - - - - | => firrtl / Compile / compileIncremental 42s - - - - - - | => firrtl / Compile / compileIncremental 42s - - - - - - | => firrtl / Compile / compileIncremental 42s - - - - - - | => firrtl / Compile / compileIncremental 42s - - - - - - | => firrtl / Compile / compileIncremental 42s - - - - - - | => firrtl / Compile / compileIncremental 42s - - - - - - | => firrtl / Compile / compileIncremental 42s - - - - - - | => firrtl / Compile / compileIncremental 42s - - - - - - | => firrtl / Compile / compileIncremental 42s - - - - - - | => firrtl / Compile / compileIncremental 42s - - - - - - | => firrtl / Compile / compileIncremental 43s - - - - - - | => firrtl / Compile / compileIncremental 43s - - - - - - | => firrtl / Compile / compileIncremental 43s - - - - - - | => firrtl / Compile / compileIncremental 43s - - - - - - | => firrtl / Compile / compileIncremental 43s - - - - - - | => firrtl / Compile / compileIncremental 43s - - - - - - | => firrtl / Compile / compileIncremental 43s - - - - - - | => firrtl / Compile / compileIncremental 43s - - - - - - | => firrtl / Compile / compileIncremental 43s - - - - - - | => firrtl / Compile / compileIncremental 43s - - - - - - | => firrtl / Compile / compileIncremental 44s - - - - - - | => firrtl / Compile / compileIncremental 44s - - - - - - | => firrtl / Compile / compileIncremental 44s - - - - - - | => firrtl / Compile / compileIncremental 44s - - - - - - | => firrtl / Compile / compileIncremental 44s - - - - - - | => firrtl / Compile / compileIncremental 44s - - - - - - | => firrtl / Compile / compileIncremental 44s - - - - - - | => firrtl / Compile / compileIncremental 44s - - - - - - | => firrtl / Compile / compileIncremental 44s - - - - - - | => firrtl / Compile / compileIncremental 44s - - - - - - | => firrtl / Compile / compileIncremental 45s - - - - - - | => firrtl / Compile / compileIncremental 45s - - - - - - | => firrtl / Compile / compileIncremental 45s - - - - - - | => firrtl / Compile / compileIncremental 45s - - - - - - | => firrtl / Compile / compileIncremental 45s - - - - - - | => firrtl / Compile / compileIncremental 45s - - - - - - | => firrtl / Compile / compileIncremental 45s - - - - - - | => firrtl / Compile / compileIncremental 45s - - - - - - | => firrtl / Compile / compileIncremental 45s - - - - - - | => firrtl / Compile / compileIncremental 45s - - - - - - | => firrtl / Compile / compileIncremental 46s - - - - - - | => firrtl / Compile / compileIncremental 46s - - - - - - | => firrtl / Compile / compileIncremental 46s - - - - - - | => firrtl / Compile / compileIncremental 46s - - - - - - | => firrtl / Compile / compileIncremental 46s - - - - - - | => firrtl / Compile / compileIncremental 46s - - - - - - | => firrtl / Compile / compileIncremental 46s - - - - - - | => firrtl / Compile / compileIncremental 46s - - - - - - | => firrtl / Compile / compileIncremental 46s - - - - - - | => firrtl / Compile / compileIncremental 46s - - - - - - | => firrtl / Compile / compileIncremental 47s - - - - - - | => firrtl / Compile / compileIncremental 47s - - - - - - | => firrtl / Compile / compileIncremental 47s - - - - - - | => firrtl / Compile / compileIncremental 47s - - - - - - | => firrtl / Compile / compileIncremental 47s - - - - - - | => firrtl / Compile / compileIncremental 47s - - - - - - | => firrtl / Compile / compileIncremental 47s - - - - - - | => firrtl / Compile / compileIncremental 47s - - - - - - | => firrtl / Compile / compileIncremental 47s - - - - - - | => firrtl / Compile / compileIncremental 47s - - - - - - | => firrtl / Compile / compileIncremental 48s - - - - - - | => firrtl / Compile / compileIncremental 48s - - - - - - | => firrtl / Compile / compileIncremental 48s - - - - - - | => firrtl / Compile / compileIncremental 48s - - - - - - | => firrtl / Compile / compileIncremental 48s - - - - - - | => firrtl / Compile / compileIncremental 48s - - - - - - | => firrtl / Compile / compileIncremental 48s - - - - - - | => firrtl / Compile / compileIncremental 48s - - - - - - | => firrtl / Compile / compileIncremental 48s - - - - - - | => firrtl / Compile / compileIncremental 48s - - - - - - | => firrtl / Compile / compileIncremental 49s - - - - - - | => firrtl / Compile / compileIncremental 49s - - - - - - | => firrtl / Compile / compileIncremental 49s - - - - - - | => firrtl / Compile / compileIncremental 49s - - - - - - | => firrtl / Compile / compileIncremental 49s - - - - - - | => firrtl / Compile / compileIncremental 49s - - - - - - | => firrtl / Compile / compileIncremental 49s - - - - - - | => firrtl / Compile / compileIncremental 49s - - - - - - | => firrtl / Compile / compileIncremental 49s - - - - - - | => firrtl / Compile / compileIncremental 49s - - - - - - | => firrtl / Compile / compileIncremental 50s - - - - - - | => firrtl / Compile / compileIncremental 50s - - - - - - | => firrtl / Compile / compileIncremental 50s - - - - - - | => firrtl / Compile / compileIncremental 50s - - - - - - | => firrtl / Compile / compileIncremental 50s - - - - - - | => firrtl / Compile / compileIncremental 50s -[warn] 102 warnings found - - - - - - | => firrtl / Compile / compileIncremental 50s - - - - - - | => firrtl / Compile / compileIncremental 50s -[warn] bootstrap class path not set in conjunction with -source 8 - - - - - - | => firrtl / Compile / compileIncremental 50s - - - - - - | => firrtl / Compile / compileIncremental 50s - - - - - - | => firrtl / Compile / compileIncremental 50s - - - - - - | => firrtl / Compile / compileIncremental 50s - - - - - - | => firrtl / Compile / compileIncremental 51s - - - - - - | => firrtl / Compile / compileIncremental 51s - - - - - - | => firrtl / Compile / compileIncremental 51s - - - - - - | => firrtl / Compile / compileIncremental 51s - - - - - - | => firrtl / Compile / compileIncremental 51s - - - - - - | => firrtl / Compile / compileIncremental 51s - - - - - - | => firrtl / Compile / compileIncremental 51s - - - - - - | => firrtl / Compile / compileIncremental 51s - - - - - - | => firrtl / Compile / compileIncremental 51s - - - - - - | => firrtl / Compile / compileIncremental 51s - - - - - - | => firrtl / Compile / compileIncremental 52s - - - - - - | => firrtl / Compile / compileIncremental 52s - - - - - - | => firrtl / Compile / compileIncremental 52s - - - - - - | => firrtl / Compile / compileIncremental 52s - - - - - - | => firrtl / Compile / compileIncremental 52s - - - - - - | => firrtl / Compile / compileIncremental 52s - - - - - - | => firrtl / Compile / compileIncremental 52s - - - - - - | => firrtl / Compile / compileIncremental 52s - - - - - - | => firrtl / Compile / compileIncremental 52s - - - - - - | => firrtl / Compile / compileIncremental 52s - - - - - - | => firrtl / Compile / compileIncremental 53s - - - - - - | => firrtl / Compile / compileIncremental 53s - - - - - - | => firrtl / Compile / compileIncremental 53s - - - - - - | => firrtl / Compile / compileIncremental 53s - - - - - - | => firrtl / Compile / compileIncremental 53s - - - - - - | => firrtl / Compile / compileIncremental 53s - - - - - - | => firrtl / Compile / compileIncremental 53s - - - - - - | => firrtl / Compile / compileIncremental 53s - - - - - - | => firrtl / Compile / compileIncremental 53s - - - - - - | => firrtl / Compile / compileIncremental 53s - - - - - - | => firrtl / Compile / compileIncremental 54s - - - - - - | => firrtl / Compile / compileIncremental 54s - - - - - - | => firrtl / Compile / compileIncremental 54s - - - - - - | => firrtl / Compile / compileIncremental 54s - - - - - - | => firrtl / Compile / compileIncremental 54s - - - - - - | => firrtl / Compile / compileIncremental 54s - - - - - - | => firrtl / Compile / compileIncremental 54s - - - - - - | => firrtl / Compile / compileIncremental 54s - - - - - - | => firrtl / Compile / compileIncremental 54s - - - - - - | => firrtl / Compile / compileIncremental 55s - - - - - - | => firrtl / Compile / compileIncremental 55s - - - - - - | => firrtl / Compile / compileIncremental 55s - - - - - - | => firrtl / Compile / compileIncremental 55s - - - - - - | => firrtl / Compile / compileIncremental 55s - - - - - - | => firrtl / Compile / compileIncremental 55s - - - - - - | => firrtl / Compile / compileIncremental 55s - - - - - - | => firrtl / Compile / compileIncremental 55s - - - - - - | => firrtl / Compile / compileIncremental 55s - - - - - - | => firrtl / Compile / compileIncremental 55s - - - - - - | => firrtl / Compile / compileIncremental 56s - - - - - - | => firrtl / Compile / compileIncremental 56s - - - - - - | => firrtl / Compile / compileIncremental 56s - - - - - - | => firrtl / Compile / compileIncremental 56s - - - - - - | => firrtl / Compile / compileIncremental 56s - - - - - - | => firrtl / Compile / compileIncremental 56s - - - - - - | => firrtl / Compile / compileIncremental 56s - - - - - - | => firrtl / Compile / compileIncremental 56s - - - - - - | => firrtl / Compile / compileIncremental 56s - - - - - - | => firrtl / Compile / compileIncremental 56s -[info] /home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/src_managed/main/firrtl/FirrtlProtos.java: /home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/src_managed/main/firrtl/FirrtlProtos.java uses or overrides a deprecated API. - - - - - - | => firrtl / Compile / compileIncremental 56s -[info] /home/riscvuser/chipyard/tools/firrtl/target/scala-2.12/src_managed/main/firrtl/FirrtlProtos.java: Recompile with -Xlint:deprecation for details. - - - - - - | => firrtl / Compile / compileIncremental 56s - - - - - - | => firrtl / Compile / compileIncremental 57s - - - - - - | => firrtl / Compile / compileIncremental 57s - - - - - - | => firrtl / Compile / compileIncremental 57s - - - - - - | => firrtl / Compile / compileIncremental 57s - - - - - - | => firrtl / Compile / compileIncremental 57s - - - - - - | => firrtl / Compile / compileIncremental 57s - - - - - - | => firrtl / Compile / compileIncremental 57s - - - - - - | => firrtl / Compile / compileIncremental 57s - - - - - - | => firrtl / Compile / compileIncremental 57s - - - - - - | => firrtl / Compile / compileIncremental 57s - - - - - - | => firrtl / Compile / compileIncremental 58s - - - - - - | => firrtl / Compile / compileIncremental 58s - - - - - - | => firrtl / Compile / compileIncremental 58s - - - - - - | => firrtl / Compile / compileIncremental 58s - - - - - - | => firrtl / Compile / compileIncremental 58s - - - - - - | => firrtl / Compile / compileIncremental 58s - - - - - - | => firrtl / Compile / compileIncremental 58s - - - - - - | => firrtl / Compile / compile 0s - - - - - - | => firrtl / Compile / compile 0s - - - - - - | => firrtl / Compile / compile 0s - - - - - - | => firrtl / Compile / compile 0s - - - - - - | => firrtl / Compile / compile 0s - - - - - - | => firrtl / Compile / compile 0s - - - - - - | => firrtl / Compile / compile 0s - - - - - - | => firrtl / Compile / compile 0s - - - - - - | => firrtl / Compile / compile 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - | => firrtl / Compile / compile 0s - - - - - - | => firrtl / assembly / assembledMappings 0s - - - - - - | => firrtl / assembly / assembledMappings 0s - - - - - - | => firrtl / assembly / assembledMappings 0s - - - - - - | => firrtl / assembly / assembledMappings 0s - - - - - - | => firrtl / assembly / assembledMappings 0s - - - - - - | => firrtl / assembly / assembledMappings 0s - - - - - - | => firrtl / assembly / assembledMappings 0s - - - - - - | => firrtl / assembly / assembledMappings 0s - - - - - - | => firrtl / assembly / assembledMappings 0s - - - - - - | => firrtl / assembly / assembledMappings 0s - - - - - - | => firrtl / assembly / assembledMappings 1s - - - - - - | => firrtl / assembly / assembledMappings 1s - - - - - - | => firrtl / assembly 0s - - - - - - | => firrtl / assembly 0s - - - - - - | => firrtl / assembly 0s - - - - - - | => firrtl / assembly 0s - - - - - - | => firrtl / assembly 0s - - - - - - | => firrtl / assembly 0s - - - - - - | => firrtl / assembly 0s - - - - - - | => firrtl / assembly 0s - - - - - - | => firrtl / assembly 0s - - - - - - | => firrtl / assembly 0s -[info] Strategy 'discard' was applied to 17 files (Run the task at debug level to see details) - - - - - - | => firrtl / assembly 0s -[info] Strategy 'rename' was applied to 4 files (Run the task at debug level to see details) - - - - - - | => firrtl / assembly 0s - - - - - - | => firrtl / assembly 1s - - - - - - | => firrtl / assembly 1s - - - - - - | => firrtl / assembly 1s - - - - - - | => firrtl / assembly 1s - - - - - - | => firrtl / assembly 1s - - - - - - | => firrtl / assembly 1s - - - - - - | => firrtl / assembly 1s - - - - - - | => firrtl / assembly 1s - - - - - - | => firrtl / assembly 1s - - - - - - | => firrtl / assembly 2s - - - - - - | => firrtl / assembly 2s - - - - - - | => firrtl / assembly 2s - - - - - - | => firrtl / assembly 2s - - - - - - | => firrtl / assembly 2s - - - - - - | => firrtl / assembly 2s - - - - - - | => firrtl / assembly 2s - - - - - - | => firrtl / assembly 2s - - - - - - | => firrtl / assembly 2s - - - - - - | => firrtl / assembly 2s - - - - - - | => firrtl / assembly 3s - - - - - - | => firrtl / assembly 3s - - - - - - | => firrtl / assembly 3s - - - - - - | => firrtl / assembly 3s - - - - - - | => firrtl / assembly 3s - - - - - - | => firrtl / assembly 3s - - - - - - | => firrtl / assembly 3s - - - - - - | => firrtl / assembly 3s - - - - - - -[success] Total time: 67 s (01:07), completed Dec 23, 2020, 3:40:35 AM -make[1]: Leaving directory '/home/riscvuser/chipyard/tools/firrtl' -mkdir -p /home/riscvuser/chipyard/lib -cp -p /home/riscvuser/chipyard/tools/firrtl/utils/bin/firrtl.jar /home/riscvuser/chipyard/lib/firrtl.jar -touch /home/riscvuser/chipyard/lib/firrtl.jar -cd /home/riscvuser/chipyard && java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar "project utilities" "runMain utilities.GenerateSimFiles -td /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -sim verilator" -OpenJDK 64-Bit Server VM warning: Ignoring option MaxPermSize; support was removed in 8.0 -Getting org.scala-sbt sbt 1.3.2 (this may take some time)... -downloading https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#sbt;1.3.2!sbt.jar (21ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/main_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#main_2.12;1.3.2!main_2.12.jar (107ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.1/io_2.12-1.3.1.jar ... - [SUCCESSFUL ] org.scala-sbt#io_2.12;1.3.1!io_2.12.jar (118ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.2/logic_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#logic_2.12;1.3.2!logic_2.12.jar (17ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.2/actions_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#actions_2.12;1.3.2!actions_2.12.jar (24ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.3.2/main-settings_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#main-settings_2.12;1.3.2!main-settings_2.12.jar (38ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.2/run_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#run_2.12;1.3.2!run_2.12.jar (21ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.2/command_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#command_2.12;1.3.2!command_2.12.jar (29ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.3.2/collections_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#collections_2.12;1.3.2!collections_2.12.jar (29ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_2.12/1.3.2/scripted-sbt-redux_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#scripted-sbt-redux_2.12;1.3.2!scripted-sbt-redux_2.12.jar (20ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.3.2/scripted-plugin_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#scripted-plugin_2.12;1.3.2!scripted-plugin_2.12.jar (100ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.3.2/zinc-lm-integration_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-lm-integration_2.12;1.3.2!zinc-lm-integration_2.12.jar (16ms) -downloading https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.0.0-RC3-4/lm-coursier-shaded_2.12-2.0.0-RC3-4.jar ... - [SUCCESSFUL ] io.get-coursier#lm-coursier-shaded_2.12;2.0.0-RC3-4!lm-coursier-shaded_2.12.jar (141ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.3.0/util-logging_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#util-logging_2.12;1.3.0!util-logging_2.12.jar (22ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.3.0/librarymanagement-core_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#librarymanagement-core_2.12;1.3.0!librarymanagement-core_2.12.jar (29ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.3.0/librarymanagement-ivy_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#librarymanagement-ivy_2.12;1.3.0!librarymanagement-ivy_2.12.jar (28ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.3.0/compiler-interface-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#compiler-interface;1.3.0!compiler-interface.jar (17ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.3.0/zinc-compile_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-compile_2.12;1.3.0!zinc-compile_2.12.jar (16ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.3.0/util-relation_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#util-relation_2.12;1.3.0!util-relation_2.12.jar (15ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.3.0/util-position_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#util-position_2.12;1.3.0!util-position_2.12.jar (16ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3.2/completion_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#completion_2.12;1.3.2!completion_2.12.jar (36ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.3.2/task-system_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#task-system_2.12;1.3.2!task-system_2.12.jar (17ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.2/tasks_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#tasks_2.12;1.3.2!tasks_2.12.jar (16ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.2/testing_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#testing_2.12;1.3.2!testing_2.12.jar (19ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.3.0/util-tracking_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#util-tracking_2.12;1.3.0!util-tracking_2.12.jar (70ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.3.0/zinc-classpath_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-classpath_2.12;1.3.0!zinc-classpath_2.12.jar (17ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.3.0/zinc-apiinfo_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-apiinfo_2.12;1.3.0!zinc-apiinfo_2.12.jar (48ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.0/zinc_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc_2.12;1.3.0!zinc_2.12.jar (19ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.3.0/util-control_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#util-control_2.12;1.3.0!util-control_2.12.jar (17ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.3.0/util-interface-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#util-interface;1.3.0!util-interface.jar (17ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3.0/util-cache_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#util-cache_2.12;1.3.0!util-cache_2.12.jar (18ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.2/test-agent-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#test-agent;1.3.2!test-agent.jar (17ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.3.0/compiler-bridge_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#compiler-bridge_2.12;1.3.0!compiler-bridge_2.12.jar (19ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.3.0/zinc-classfile_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-classfile_2.12;1.3.0!zinc-classfile_2.12.jar (17ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.0/zinc-core_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-core_2.12;1.3.0!zinc-core_2.12.jar (23ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.3.0/zinc-persist_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-persist_2.12;1.3.0!zinc-persist_2.12.jar (39ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.3.0/zinc-compile-core_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#zinc-compile-core_2.12;1.3.0!zinc-compile-core_2.12.jar (16ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.4.4/sbinary_2.12-0.4.4.jar ... - [SUCCESSFUL ] org.scala-sbt#sbinary_2.12;0.4.4!sbinary_2.12.jar (21ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.3.2/core-macros_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#core-macros_2.12;1.3.2!core-macros_2.12.jar (19ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.2/protocol_2.12-1.3.2.jar ... - [SUCCESSFUL ] org.scala-sbt#protocol_2.12;1.3.2!protocol_2.12.jar (23ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/1.3.0/util-scripted_2.12-1.3.0.jar ... - [SUCCESSFUL ] org.scala-sbt#util-scripted_2.12;1.3.0!util-scripted_2.12.jar (65ms) -downloading https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-cb9cc189e9f3af519f9f102e6c5d446488ff6832/ivy-2.3.0-sbt-cb9cc189e9f3af519f9f102e6c5d446488ff6832.jar ... - [SUCCESSFUL ] org.scala-sbt.ivy#ivy;2.3.0-sbt-cb9cc189e9f3af519f9f102e6c5d446488ff6832!ivy.jar (33ms) -:: retrieving :: org.scala-sbt#boot-app - confs: [default] - 81 artifacts copied, 0 already retrieved (37984kB/94ms) -[info] Loading settings for project chipyard-build from plugins.sbt ... -[info] Loading project definition from /home/riscvuser/chipyard/project - - - - - - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s -[info] Updating  - - - - - - | => chipyard-build / update 0s -https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-site_2.12_1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/sbt-mima-plugin_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/gseitz/sbt-protobuf_2.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-unidoc_2.12_1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-unidoc_2.12_1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/net/virtual-void/sbt-dependency-g? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-assembly_2.12_1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/net/virtual-void/sbt-dependency-g? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-assembly_2.12_1.? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 0s -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 100.0% [##########] 3.1 KiB (23.0 KiB / s) -https://repo1.maven.org/maven2/net/virtual-void/sbt-dependency-g? - 100.0% [##########] 2.6 KiB (45.8 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? - 100.0% [##########] 4.5 KiB (34.0 KiB / s) -https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? - 100.0% [##########] 2.9 KiB (21.9 KiB / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-assembly_2.12_1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-ghpages_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/simplytyped/sbt-antlr4_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? - 100.0% [##########] 2.9 KiB (100.3 KiB / s) -https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? - 100.0% [##########] 1.9 KiB (64.1 KiB / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-assembly_2.12_1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/simplytyped/sbt-antlr4_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-unidoc_2.12_1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/eed3si9n/sbt-buildinfo_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/simplytyped/sbt-antlr4_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/simplytyped/sbt-antlr4_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 1s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/simplytyped/sbt-antlr4_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 1s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 1s - - - - - - | => chipyard-build / update 1s - - - - - - | => chipyard-build / update 1s - - - - - - | => chipyard-build / update 1s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 1s - - - - - - | => chipyard-build / update 1s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 1s - - - - - - | => chipyard-build / update 2s - - - - - - | => chipyard-build / update 2s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 2s -https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 2s -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 2s -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 2s -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 2s - - - - - - | => chipyard-build / update 2s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 2s -https://download.eclipse.org/jgit/maven/com/typesafe/sbt-mima-pl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-buildin? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 2s - - - - - - | => chipyard-build / update 3s - - - - - - | => chipyard-build / update 3s - - - - - - | => chipyard-build / update 3s -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-assembl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 3s -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/eed3si9n/sbt-unidoc_? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 3s - - - - - - | => chipyard-build / update 3s -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 3s -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 3s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 2.6 KiB (5.8 KiB / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 2.8 KiB (6.9 KiB / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 2.8 KiB (6.6 KiB / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 1.5 KiB (6.1 KiB / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 3s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 1.5 KiB (14.1 KiB / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 1.7 KiB (5.3 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 1.7 KiB (13.9 KiB / s) -https://download.eclipse.org/jgit/maven/com/github/gseitz/sbt-pr? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 3s -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 4s -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 100.0% [##########] 1.4 KiB (11.7 KiB / s) -https://download.eclipse.org/jgit/maven/com/simplytyped/sbt-antl? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 4s - - - - - - | => chipyard-build / update 4s - - - - - - | => chipyard-build / update 4s - - - - - - | => chipyard-build / update 4s - - - - - - | => chipyard-build / update 4s - - - - - - | => chipyard-build / update 4s - - - - - - | => chipyard-build / update 4s - - - - - - | => chipyard-build / update 4s - - - - - - | => chipyard-build / update 4s - - - - - - | => chipyard-build / update 5s - - - - - - | => chipyard-build / update 5s - - - - - - | => chipyard-build / update 5s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 5s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 100.0% [##########] 1.9 KiB (16.8 KiB / s) - - - - - - | => chipyard-build / update 5s - - - - - - | => chipyard-build / update 5s -https://repo1.maven.org/maven2/org/slf4j/slf4j-simple/1.7.5/slf4? - 100.0% [##########] 1.4 KiB (49.6 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/config/1.2.1/config-? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox-the? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.13/snakeyaml? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.6.5/jarja? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/config/1.2.1/config-? - 100.0% [##########] 1.8 KiB (46.7 KiB / s) -https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.6.5/jarja? - 100.0% [##########] 2.1 KiB (96.5 KiB / s) -https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.13/snakeyaml? - 100.0% [##########] 22.0 KiB (549.6 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.1/io_2.? - 100.0% [##########] 3.8 KiB (291.2 KiB / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox-the? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/play/twirl-api_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? - 100.0% [##########] 2.9 KiB (49.0 KiB / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox-the? - 100.0% [##########] 2.1 KiB (36.1 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/mima-core_2.12/0.6.1? - 100.0% [##########] 2.2 KiB (138.7 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/play/twirl-api_2.12/? - 100.0% [##########] 1.9 KiB (64.0 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-compre? - 100.0% [##########] 11.2 KiB (587.6 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.1/io_2.? - 100.0% [##########] 3.8 KiB (111.3 KiB / s) -https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-core? - 100.0% [##########] 5.5 KiB (323.1 KiB / s) -https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? - 100.0% [##########] 2.7 KiB (99.9 KiB / s) -https://repo1.maven.org/maven2/org/tukaani/xz/1.5/xz-1.5.pom - 100.0% [##########] 1.9 KiB (116.2 KiB / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.5.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/sbt-paradox? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.5.? - 100.0% [##########] 2.1 KiB (84.5 KiB / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 100.0% [##########] 9.3 KiB (356.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? - 100.0% [##########] 7.8 KiB (279.6 KiB / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? - 100.0% [##########] 2.2 KiB (78.0 KiB / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? - 100.0% [##########] 2.3 KiB (19.7 KiB / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 5s - - - - - - | => chipyard-build / update 5s - - - - - - | => chipyard-build / update 5s - - - - - - | => chipyard-build / update 5s - - - - - - | => chipyard-build / update 6s - - - - - - | => chipyard-build / update 6s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 6s - - - - - - | => chipyard-build / update 6s - - - - - - | => chipyard-build / update 6s - - - - - - | => chipyard-build / update 6s - - - - - - | => chipyard-build / update 6s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/dwijnand/sbt-compat_? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/lightbend/paradox/sb? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/dwijnand/sbt-compat_? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/lightbend/paradox/sb? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-git? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 6s - - - - - - | => chipyard-build / update 6s - - - - - - | => chipyard-build / update 6s - - - - - - | => chipyard-build / update 7s -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-git? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/lightbend/paradox/sb? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/dwijnand/sbt-compat_? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 7s - - - - - - | => chipyard-build / update 7s - - - - - - | => chipyard-build / update 7s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 7s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 100.0% [##########] 2.0 KiB (16.9 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 100.0% [##########] 1.8 KiB (15.5 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 1.7 KiB (14.2 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-parent? - 100.0% [##########] 54.6 KiB (4.9 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 5.0% [ ] 1.3 KiB (121.7 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.5/slf4? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 7s -https://repo1.maven.org/maven2/org/apache/commons/commons-parent? - 100.0% [##########] 54.6 KiB (1.8 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 100.0% [##########] 26.8 KiB (892.3 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-parent/1.7.5/slf4? - 100.0% [##########] 11.5 KiB (384.2 KiB / s) -https://repo1.maven.org/maven2/org/apache/apache/13/apache-13.pom - 100.0% [##########] 13.6 KiB (852.9 KiB / s) - - - - - - | => chipyard-build / update 7s -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.53/jsch-0.1.5? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-web_2.12_1.0? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.53/jsch-0.1.5? - 100.0% [##########] 3.2 KiB (150.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? - 100.0% [##########] 4.7 KiB (222.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? - 100.0% [##########] 5.9 KiB (488.0 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.1? - 100.0% [##########] 3.1 KiB (142.3 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? - 100.0% [##########] 4.9 KiB (222.1 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/? - 100.0% [##########] 3.0 KiB (250.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? - 20.9% [## ] 1.3 KiB (111.6 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.2/? - 20.5% [## ] 1.3 KiB (121.7 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-web_2.12_1.0? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 100.0% [##########] 2.2 KiB (110.3 KiB / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-knockof? - 100.0% [##########] 1.8 KiB (90.8 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 100.0% [##########] 8.1 KiB (197.3 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.2/? - 100.0% [##########] 6.5 KiB (217.8 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.2/? - 100.0% [##########] 6.0 KiB (463.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/? - 100.0% [##########] 3.0 KiB (93.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? - 100.0% [##########] 6.4 KiB (200.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.2/run_? - 100.0% [##########] 4.8 KiB (239.0 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_? - 100.0% [##########] 4.4 KiB (220.0 KiB / s) -https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-util? - 100.0% [##########] 4.1 KiB (345.4 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.5/slf4j-a? - 100.0% [##########] 2.6 KiB (202.0 KiB / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? - 100.0% [##########] 2.4 KiB (219.8 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-web_2.12_1.0? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcli? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.2/lo? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/googlecode/javaewah/JavaEWAH/? - 100.0% [##########] 4.2 KiB (303.0 KiB / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? - 100.0% [##########] 2.4 KiB (83.4 KiB / s) -https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcli? - 100.0% [##########] 5.1 KiB (212.0 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.? - 100.0% [##########] 4.3 KiB (305.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.2/lo? - 100.0% [##########] 4.3 KiB (177.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1? - 100.0% [##########] 3.8 KiB (250.0 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? - 100.0% [##########] 4.7 KiB (293.7 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-web_2.12_1.0? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/6.0/asm-c? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm/6.0/asm-6.0.pom - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/6.0/asm-c? - 100.0% [##########] 2.0 KiB (75.7 KiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm/6.0/asm-6.0.pom - 100.0% [##########] 1.9 KiB (75.5 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-web_2.12_1.0? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 7s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 7s - - - - - - | => chipyard-build / update 7s - - - - - - | => chipyard-build / update 8s - - - - - - | => chipyard-build / update 8s - - - - - - | => chipyard-build / update 8s -https://scalasbt.artifactoryonline.com/scalasbt/sbt-plugin-relea? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 8s - - - - - - | => chipyard-build / update 8s - - - - - - | => chipyard-build / update 8s - - - - - - | => chipyard-build / update 8s - - - - - - | => chipyard-build / update 8s - - - - - - | => chipyard-build / update 8s -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-web? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 8s - - - - - - | => chipyard-build / update 9s - - - - - - | => chipyard-build / update 9s -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-web? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 9s - - - - - - | => chipyard-build / update 9s - - - - - - | => chipyard-build / update 9s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 9s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 1.9 KiB (16.8 KiB / s) - - - - - - | => chipyard-build / update 9s -https://repo1.maven.org/maven2/org/ow2/asm/asm-parent/6.0/asm-pa? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/jruby/jruby-artifacts/1.7.21/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcom? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcom? - 100.0% [##########] 15.6 KiB (648.8 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-artifacts/1.7.21/? - 100.0% [##########] 3.4 KiB (143.3 KiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-parent/6.0/asm-pa? - 100.0% [##########] 5.4 KiB (223.5 KiB / s) -https://repo1.maven.org/maven2/org/apache/httpcomponents/project? - 100.0% [##########] 26.6 KiB (1.3 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-parent/1.7.21/jru? - 100.0% [##########] 23.6 KiB (1.2 MiB / s) -https://repo1.maven.org/maven2/org/ow2/ow2/1.3/ow2-1.3.pom - 100.0% [##########] 9.3 KiB (464.3 KiB / s) - - - - - - | => chipyard-build / update 9s -https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? - 100.0% [##########] 2.0 KiB (109.9 KiB / s) -https://repo1.maven.org/maven2/commons-logging/commons-logging/1? - 100.0% [##########] 17.9 KiB (995.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3? - 100.0% [##########] 3.8 KiB (212.6 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/? - 100.0% [##########] 3.3 KiB (367.0 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/? - 100.0% [##########] 3.5 KiB (192.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? - 100.0% [##########] 2.9 KiB (162.4 KiB / s) -https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.5? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.0/zin? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.5? - 100.0% [##########] 3.2 KiB (85.4 KiB / s) -https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcor? - 100.0% [##########] 4.5 KiB (224.5 KiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-tree/6.0/asm-tree? - 100.0% [##########] 2.0 KiB (203.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3? - 100.0% [##########] 4.8 KiB (227.3 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.? - 100.0% [##########] 3.5 KiB (124.1 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-c? - 100.0% [##########] 3.2 KiB (323.1 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.2? - 100.0% [##########] 4.8 KiB (252.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? - 100.0% [##########] 4.8 KiB (251.8 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? - 100.0% [##########] 2.9 KiB (74.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? - 100.0% [##########] 3.9 KiB (261.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? - 100.0% [##########] 4.1 KiB (314.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2? - 100.0% [##########] 5.4 KiB (300.8 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.0/zin? - 100.0% [##########] 4.3 KiB (143.0 KiB / s) -https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? - 100.0% [##########] 7.7 KiB (264.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? - 36.8% [### ] 1.3 KiB (133.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.2/ta? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 9s -https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.2/ta? - 100.0% [##########] 4.3 KiB (157.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.2/? - 100.0% [##########] 4.7 KiB (335.1 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/? - 100.0% [##########] 3.1 KiB (208.8 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? - 100.0% [##########] 3.6 KiB (129.8 KiB / s) -https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcom? - 100.0% [##########] 12.9 KiB (990.7 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-parent? - 100.0% [##########] 49.0 KiB (2.7 MiB / s) - - - - - - | => chipyard-build / update 9s -https://repo1.maven.org/maven2/com/typesafe/config/1.3.1/config-? -[2K 100.0% [##########] 1.8 KiB (101.1 KiB / s) -https://repo1.maven.org/maven2/org/parboiled/parboiled-java/1.1.? - 100.0% [##########] 2.5 KiB (279.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? - 100.0% [##########] 3.0 KiB (167.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 100.0% [##########] 5.0 KiB (265.3 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? - 100.0% [##########] 3.9 KiB (206.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.2/te? - 100.0% [##########] 3.1 KiB (389.0 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 100.0% [##########] 4.9 KiB (197.8 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? - 100.0% [##########] 21.7 KiB (555.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.2/te? - 100.0% [##########] 3.1 KiB (111.2 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? - 100.0% [##########] 3.9 KiB (100.4 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-par? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-parent? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-par? - 100.0% [##########] 8.0 KiB (331.5 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-parent? - 100.0% [##########] 61.6 KiB (2.5 MiB / s) - - - - - - | => chipyard-build / update 10s -https://repo1.maven.org/maven2/org/apache/apache/16/apache-16.pom - 100.0% [##########] 15.0 KiB (1.3 MiB / s) -https://repo1.maven.org/maven2/com/fasterxml/oss-parent/25/oss-p? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/oss-parent/25/oss-p? - 100.0% [##########] 18.9 KiB (611.0 KiB / s) -https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-analysis/5.0.3/as? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.4.4/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-util/5.0.3/asm-ut? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-analysis/5.0.3/as? - 100.0% [##########] 2.0 KiB (93.0 KiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-util/5.0.3/asm-ut? - 100.0% [##########] 2.0 KiB (92.7 KiB / s) -https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.1.? - 100.0% [##########] 1.7 KiB (76.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.4.4/? - 100.0% [##########] 2.5 KiB (114.5 KiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-parent/5.0.3/asm-? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 10s -https://repo1.maven.org/maven2/org/ow2/asm/asm-parent/5.0.3/asm-? - 100.0% [##########] 5.4 KiB (206.4 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-? - 100.0% [##########] 1.8 KiB (165.0 KiB / s) -[info] Resolved dependencies - - - - - - | => chipyard-build / update 10s - - - - - - | => chipyard-build / update 10s - - - - - - | => chipyard-build / update 10s -[info] Fetching artifacts of  - - - - - - | => chipyard-build / update 10s -https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.3.2/lo? - 100.0% [##########] 48.7 KiB (2.3 MiB / s) -https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? - 76.5% [####### ] 129.9 KiB (9.8 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/net/virtual-void/sbt-dependency-g? - 100.0% [##########] 137.1 KiB (13.4 MiB / s) -https://repo1.maven.org/maven2/org/scoverage/scalac-scoverage-pl? - 100.0% [##########] 169.7 KiB (5.3 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? - 7.4% [ ] 230.3 KiB (9.0 MiB / s) -https://repo1.maven.org/maven2/org/tukaani/xz/1.5/xz-1.5.jar - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcli? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-c? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-c? - 100.0% [##########] 1.1 MiB (52.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1? - 100.0% [##########] 16.6 KiB (1.2 MiB / s) -https://repo1.maven.org/maven2/org/tukaani/xz/1.5/xz-1.5.jar - 100.0% [##########] 97.2 KiB (3.8 MiB / s) -https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcli? - 72.9% [####### ] 421.6 KiB (16.5 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? - 17.8% [# ] 550.8 KiB (11.7 MiB / s) -https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/mima-core_2.12/0.6.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/mima-core_2.12/0.6.1? - 100.0% [##########] 309.7 KiB (15.1 MiB / s) -https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcli? - 100.0% [##########] 578.1 KiB (12.8 MiB / s) -https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-util? - 100.0% [##########] 184.0 KiB (18.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? - 49.0% [#### ] 304.0 KiB (12.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? - 37.4% [### ] 229.6 KiB (14.9 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? - 34.1% [### ] 1.0 MiB (15.7 MiB / s) -https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/scalafix-interfaces? - 100.0% [##########] 8.1 KiB (213.6 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-i? - 100.0% [##########] 614.1 KiB (17.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/? - 100.0% [##########] 620.8 KiB (13.5 MiB / s) -https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-util? - 100.0% [##########] 184.0 KiB (5.8 MiB / s) -https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.13/snakeyaml? - 100.0% [##########] 267.0 KiB (13.0 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? - 56.7% [##### ] 1.7 MiB (20.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3? - 45.1% [#### ] 93.7 KiB (5.4 MiB / s) -https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox-the? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.2/run_? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 10s -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox-the? - 100.0% [##########] 92.1 KiB (3.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.3.2/run_? - 100.0% [##########] 76.4 KiB (3.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.3? - 100.0% [##########] 208.0 KiB (5.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/? - 100.0% [##########] 25.9 KiB (1.7 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? - 89.7% [######## ] 2.7 MiB (25.6 MiB / s) -https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? - 65.9% [###### ] 57.6 KiB (710.8 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? - 49.7% [#### ] 48.0 KiB (4.7 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/6.0/asm-c? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/akka/akka-actor_2.12? - 100.0% [##########] 3.0 MiB (24.2 MiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-commons/6.0/asm-c? - 100.0% [##########] 75.6 KiB (3.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.? - 100.0% [##########] 78.1 KiB (5.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.3.2/ta? - 100.0% [##########] 83.6 KiB (5.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.3.2/te? - 100.0% [##########] 22.9 KiB (1.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12? - 100.0% [##########] 96.5 KiB (3.1 MiB / s) -https://repo1.maven.org/maven2/org/xerial/sbt/sbt-pack_2.12_1.0/? - 100.0% [##########] 87.3 KiB (864.4 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/googlecode/javaewah/JavaEWAH/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/googlecode/javaewah/JavaEWAH/? - 100.0% [##########] 122.2 KiB (5.4 MiB / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-knockof? - 100.0% [##########] 50.3 KiB (4.1 MiB / s) -https://repo1.maven.org/maven2/org/scoverage/sbt-scoverage_2.12_? - 100.0% [##########] 23.8 KiB (989.6 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? - 69.2% [###### ] 293.6 KiB (11.9 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 2.1% [ ] 198.7 KiB (12.1 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-lang3/? - 100.0% [##########] 424.5 KiB (9.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.1? - 100.0% [##########] 1.3 KiB (89.6 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12? - 100.0% [##########] 76.1 KiB (3.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.? - 72.5% [####### ] 240.0 KiB (15.6 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 8.1% [ ] 758.7 KiB (20.6 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.? - 100.0% [##########] 330.9 KiB (9.5 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 44.7% [#### ] 13.1 KiB (121.7 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 16.3% [# ] 1.5 MiB (26.6 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 10s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.gith? - 100.0% [##########] 29.4 KiB (229.5 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 27.1% [## ] 2.5 MiB (33.0 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 15.4% [# ] 13.1 KiB (115.3 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 86.7% [######## ] 13.1 KiB (112.3 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 47.3% [#### ] 40.5 KiB (302.1 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 41.4% [#### ] 3.8 MiB (39.9 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 85.5 KiB (555.4 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 15.1 KiB (110.6 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 61.2% [###### ] 5.6 MiB (48.7 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? - 83.5% [######## ] 575.4 KiB (20.8 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 80.2% [######## ] 7.3 MiB (54.3 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 42.3% [#### ] 26.8 KiB (253.0 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 100.0% [##########] 3.6 KiB (30.9 KiB / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.? - 100.0% [##########] 689.0 KiB (14.0 MiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 100.0% [##########] 9.1 MiB (58.6 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 63.5 KiB (499.6 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.2/? - 31.3% [### ] 64.0 KiB (4.8 MiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.5.? - 27.0% [## ] 186.5 KiB (11.4 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 10s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 63.5 KiB (434.6 KiB / s) -https://repo1.maven.org/maven2/com/github/os72/protoc-jar/3.5.1.? - 100.0% [##########] 9.1 MiB (52.3 MiB / s) -https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.5? - 100.0% [##########] 273.9 KiB (14.1 MiB / s) -https://repo1.maven.org/maven2/org/asciidoctor/asciidoctorj/1.5.? - 100.0% [##########] 690.8 KiB (18.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.3.2/? - 100.0% [##########] 204.4 KiB (6.0 MiB / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? - 30.4% [### ] 96.0 KiB (6.7 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 12.8% [# ] 962.9 KiB (47.0 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.0/zin? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 100.0% [##########] 487 B (3.9 KiB / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/paradox_2.1? - 100.0% [##########] 316.3 KiB (9.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/? - 100.0% [##########] 14.2 KiB (887.6 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.3.0/zin? - 100.0% [##########] 63.2 KiB (2.3 MiB / s) -https://repo1.maven.org/maven2/org/webjars/webjars-locator-core/? - 100.0% [##########] 29.0 KiB (1.0 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 34.9% [### ] 2.6 MiB (64.1 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 0.8% [ ] 192.0 KiB (11.7 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.1/io_2.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/foundweekends/pamflet-library? - 100.0% [##########] 203.0 KiB (6.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/? - 100.0% [##########] 89.7 KiB (3.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.3.1/io_2.? - 100.0% [##########] 608.6 KiB (23.8 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 49.6% [#### ] 3.6 MiB (60.7 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 2.6% [ ] 607.0 KiB (16.5 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-analysis/5.0.3/as? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/ch/epfl/scala/sbt-scalafix_2.12_1? - 100.0% [##########] 150.2 KiB (7.0 MiB / s) -https://repo1.maven.org/maven2/commons-logging/commons-logging/1? - 100.0% [##########] 60.6 KiB (4.2 MiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-analysis/5.0.3/as? - 100.0% [##########] 20.0 KiB (739.4 KiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 70.0% [###### ] 5.1 MiB (65.1 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 5.0% [ ] 1.1 MiB (20.1 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/parboiled/parboiled-core/1.1.? - 100.0% [##########] 182.2 KiB (7.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration? - 100.0% [##########] 48.4 KiB (2.4 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 90.6% [######### ] 6.7 MiB (67.3 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 7.1% [ ] 1.6 MiB (21.2 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/play/twirl-api_2.12/? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 10s -https://repo1.maven.org/maven2/com/typesafe/play/twirl-api_2.12/? - 100.0% [##########] 74.3 KiB (2.9 MiB / s) -https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shade? - 100.0% [##########] 7.4 MiB (61.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-c? - 100.0% [##########] 773.9 KiB (26.1 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 9.9% [ ] 2.2 MiB (23.3 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm/6.0/asm-6.0.jar - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm/6.0/asm-6.0.jar - 100.0% [##########] 93.0 KiB (3.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.3.2/? - 100.0% [##########] 200.4 KiB (13.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-scripted_2.12/? - 100.0% [##########] 64.2 KiB (2.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/? - 100.0% [##########] 33.5 KiB (1.4 MiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-compre? - 69.3% [###### ] 256.0 KiB (13.2 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 15.6% [# ] 3.5 MiB (30.3 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-core? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-compre? - 100.0% [##########] 369.4 KiB (9.5 MiB / s) -https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-core? - 45.4% [#### ] 553.3 KiB (20.8 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 44.3% [#### ] 1.0 MiB (30.5 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 18.2% [# ] 4.1 MiB (30.2 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.? - 100.0% [##########] 110.8 KiB (3.9 MiB / s) -https://repo1.maven.org/maven2/org/scalatra/scalate/scalate-core? - 100.0% [##########] 1.2 MiB (25.9 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 89.6% [######## ] 2.0 MiB (38.4 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 41.3% [#### ] 67.8 KiB (510.0 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 20.3% [## ] 4.6 MiB (29.3 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 164.3 KiB (1.0 MiB / s) -https://repo1.maven.org/maven2/org/eclipse/jgit/org.eclipse.jgit? - 100.0% [##########] 2.3 MiB (31.1 MiB / s) -https://repo1.maven.org/maven2/org/parboiled/parboiled-java/1.1.? - 100.0% [##########] 71.6 KiB (4.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? - 100.0% [##########] 222.0 KiB (8.7 MiB / s) -https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcor? - 86.9% [######## ] 240.0 KiB (18.0 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 26.0% [## ] 5.9 MiB (33.4 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 15.6% [# ] 101.0 KiB (390.0 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 10s -https://repo1.maven.org/maven2/org/apache/httpcomponents/httpcor? - 100.0% [##########] 276.2 KiB (8.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/scripted-sbt-redux_? - 100.0% [##########] 73.3 KiB (4.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? - 100.0% [##########] 272.4 KiB (11.6 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 88.3% [######## ] 570.3 KiB (2.0 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 32.2% [### ] 7.3 MiB (37.1 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.dwij? - 100.0% [##########] 645.8 KiB (2.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.4.4/? - 100.0% [##########] 144.6 KiB (7.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1? - 100.0% [##########] 272.4 KiB (6.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.2? - 65.0% [###### ] 281.3 KiB (22.9 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 40.0% [#### ] 9.0 MiB (42.0 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-util/5.0.3/asm-ut? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-util/5.0.3/asm-ut? - 100.0% [##########] 42.3 KiB (1.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.3? - 100.0% [##########] 271.2 KiB (16.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.3.2? - 100.0% [##########] 432.9 KiB (13.2 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 47.7% [#### ] 10.8 MiB (45.8 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 100.0% [##########] 246.6 KiB (7.8 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/config/1.3.3/config-? - 100.0% [##########] 279.4 KiB (12.4 MiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 55.6% [##### ] 12.5 MiB (49.2 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 98.3% [######### ] 118.4 KiB (978.6 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 64.1% [###### ] 14.5 MiB (52.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? - 7.8% [ ] 192.0 KiB (7.5 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 11s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 120.4 KiB (854.0 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.eed3? - 100.0% [##########] 44.2 KiB (362.5 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 72.0% [####### ] 16.2 MiB (55.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? - 24.0% [## ] 591.4 KiB (12.6 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-simple/1.7.5/slf4? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-simple/1.7.5/slf4? - 100.0% [##########] 10.4 KiB (336.4 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 79.9% [####### ] 18.0 MiB (57.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? - 41.4% [#### ] 1019.7 KiB (15.3 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 31.1% [### ] 39.1 KiB (308.0 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 98.6% [######### ] 123.9 KiB (842.8 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 87.7% [######## ] 19.8 MiB (59.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? - 58.2% [##### ] 1.4 MiB (16.5 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 98.6% [######### ] 123.9 KiB (741.8 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 95.9% [######### ] 21.6 MiB (61.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? - 74.4% [####### ] 1.8 MiB (17.0 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 100.0% [##########] 12.8 KiB (103.2 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 125.6 KiB (671.9 KiB / s) -https://repo1.maven.org/maven2/org/jruby/jruby-complete/1.7.21/j? - 100.0% [##########] 22.6 MiB (60.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.3.2/mai? - 100.0% [##########] 2.4 MiB (19.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? - 100.0% [##########] 149.7 KiB (16.2 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.6.5/jarja? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 11s -https://repo1.maven.org/maven2/org/pantsbuild/jarjar/1.6.5/jarja? - 100.0% [##########] 114.5 KiB (3.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1? - 100.0% [##########] 12.3 KiB (472.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1? - 100.0% [##########] 149.7 KiB (4.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2? - 100.0% [##########] 222.3 KiB (9.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.2/? - 100.0% [##########] 357.2 KiB (23.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 65.9% [###### ] 357.6 KiB (20.5 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 100.0% [##########] 1.9 KiB (14.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.3.2/? - 100.0% [##########] 357.2 KiB (10.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.3.2/sbt-1.3.2? - 100.0% [##########] 64.9 KiB (4.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.3.? - 100.0% [##########] 542.7 KiB (15.1 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 76.5% [####### ] 499.4 KiB (4.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? - 72.6% [####### ] 1.3 MiB (50.2 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-tree/6.0/asm-tree? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.simp? - 100.0% [##########] 652.4 KiB (4.8 MiB / s) -https://repo1.maven.org/maven2/org/ow2/asm/asm-tree/6.0/asm-tree? - 100.0% [##########] 47.0 KiB (1.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1? - 100.0% [##########] 1.7 MiB (39.3 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 100.0% [##########] 30.0 KiB (272.7 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chipyard-build / update 11s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 60.0 KiB (618.4 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 60.0 KiB (512.7 KiB / s) -[info] Fetched artifacts of  - - - - - - | => chipyard-build / update 11s - - - - - - | => chipyard-build / update 11s - - - - - - | => chipyard-build / update 11s - - - - - - | => chipyard-build / update 11s - - - - - - | => chipyard-build / update 11s - - - - - - | => chipyard-build / update 11s -[warn] There may be incompatibilities among your library dependencies; run 'evicted' to see detailed eviction warnings. - - - - - - | => chipyard-build / update 11s - - - - - - | => chipyard-build / update 11s - - - - - - | => chipyard-build / Compile / compile / compileOptions 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - -[info] Loading settings for project chipyardRoot from build.sbt ... -[info] Loading settings for project barstoolsMacros from build.sbt ... -[info] Loading settings for project mdf from build.sbt ... -[info] Loading settings for project gemmini from build.sbt ... -[info] Loading settings for project ariane from build.sbt ... -[info] Loading settings for project boom from build.sbt ... -[info] Loading settings for project hwacha from build.sbt ... -[info] Loading settings for project icenet from build.sbt ... -[info] Loading settings for project testchipip from build.sbt ... -[info] Loading settings for project rocketConfig from build.sbt ... -[info] Loading settings for project hardfloat from build.sbt ... -[info] Loading settings for project chisel_testers from build.sbt ... -[info] Loading settings for project treadle from build.sbt ... -[info] Loading settings for project firrtl_interpreter from build.sbt ... -[info] Loading settings for project chisel from build.sbt ... -[info] Loading settings for project sim-build from plugins.sbt ... -[info] Loading project definition from /home/riscvuser/chipyard/sims/firesim/sim/project - - - - - -[info] Updating  -https://repo1.maven.org/maven2/com/typesafe/sbt/sbt-ghpages_2.12? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 0s -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / update 0s -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-ghp? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / update 0s -https://simplytyped.github.io/repo/releases/com/typesafe/sbt/sbt? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 0s -https://simplytyped.github.io/repo/releases/com/typesafe/sbt/sbt? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / update 1s - - - - - - | => sim-build / update 1s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 1.7 KiB (5.2 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 1.7 KiB (4.9 KiB / s) -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 1s - - - - - - | => sim-build / update 1s - - - - - - | => sim-build / update 1s - - - - - - | => sim-build / update 1s -https://download.eclipse.org/jgit/maven/com/typesafe/sbt/sbt-sit? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 1s - - - - - - | => sim-build / update 1s - - - - - - | => sim-build / update 1s -https://simplytyped.github.io/repo/releases/com/typesafe/sbt/sbt? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 1s -https://simplytyped.github.io/repo/releases/com/typesafe/sbt/sbt? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 2s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 3.5 KiB (33.0 KiB / s) - - - - - - | => sim-build / update 2s -https://repo1.maven.org/maven2/io/github/jonas/paradox-material-? - 100.0% [##########] 3.1 KiB (172.1 KiB / s) -https://repo1.maven.org/maven2/com/lightbend/paradox/sbt-paradox? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/planet42/laika-sbt_2.12_1.0/0? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/org/planet42/laika-sbt_2? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/lightbend/paradox/sb? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 2s - - - - - - | => sim-build / update 2s - - - - - - | => sim-build / update 2s - - - - - - | => sim-build / update 2s -https://download.eclipse.org/jgit/maven/com/lightbend/paradox/sb? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/org/planet42/laika-sbt_2? - 0.0% [ ] 0 B (0 B / s) -https://download.eclipse.org/jgit/maven/com/lightbend/paradox/sb? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 2s - - - - - - | => sim-build / update 2s - - - - - - | => sim-build / update 2s -https://simplytyped.github.io/repo/releases/com/lightbend/parado? - 0.0% [ ] 0 B (0 B / s) -https://simplytyped.github.io/repo/releases/org/planet42/laika-s? - 0.0% [ ] 0 B (0 B / s) -https://simplytyped.github.io/repo/releases/org/planet42/laika-s? - 0.0% [ ] 0 B (0 B / s) -https://simplytyped.github.io/repo/releases/com/lightbend/parado? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 2s -https://simplytyped.github.io/repo/releases/org/planet42/laika-s? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 3s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 100.0% [##########] 1.8 KiB (16.0 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 3s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 100.0% [##########] 2.5 KiB (10.3 KiB / s) - - - - - - | => sim-build / update 3s -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.7.? - 100.0% [##########] 2.3 KiB (141.0 KiB / s) -https://repo1.maven.org/maven2/org/planet42/laika-pdf_2.12/0.7.5? - 100.0% [##########] 2.0 KiB (127.6 KiB / s) - - - - - - | => sim-build / update 3s - - - - - - | => sim-build / update 3s -[info] Resolved dependencies - - - - - - | => sim-build / update 3s - - - - - - | => sim-build / update 3s -[info] Fetching artifacts of  - - - - - - | => sim-build / update 3s -https://repo1.maven.org/maven2/org/planet42/laika-pdf_2.12/0.7.5? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/planet42/laika-pdf_2.12/0.7.5? - 100.0% [##########] 31.8 KiB (1.4 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/github/jonas/paradox-material-? - 100.0% [##########] 123.9 KiB (8.6 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.7.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.7.? - 19.3% [# ] 393.3 KiB (17.5 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 3s -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.7.? - 54.1% [##### ] 1.1 MiB (25.7 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.7.? - 100.0% [##########] 2.0 MiB (32.1 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 43.8% [#### ] 13.1 KiB (127.6 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.ligh? - 100.0% [##########] 30.0 KiB (243.9 KiB / s) -https://repo1.maven.org/maven2/org/planet42/laika-core_2.12/0.7.? - 100.0% [##########] 2.0 MiB (24.3 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 3s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 7.7% [ ] 13.1 KiB (66.4 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 100.0% [##########] 55.9 KiB (465.7 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 23.6% [## ] 40.5 KiB (184.9 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 54.0% [##### ] 92.4 KiB (388.4 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 171.3 KiB (663.8 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => sim-build / update 3s -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 98.4% [######### ] 15.9 KiB (68.4 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 16.1 KiB (64.0 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 100.0% [##########] 6.0 KiB (30.0 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 0.0% [ ] 0 B (0 B / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 97.3% [######### ] 24.1 KiB (71.2 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 22.8% [## ] 157.3 KiB (1.2 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 5.8% [ ] 49.1 KiB (146.9 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 846.3 KiB (2.3 MiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/com.type? - 100.0% [##########] 24.7 KiB (68.9 KiB / s) -https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/org.plan? - 100.0% [##########] 690.0 KiB (4.6 MiB / s) -[info] Fetched artifacts of  - - - - - - | => sim-build / update 3s - - - - - - | => sim-build / update 3s - - - - - - | => sim-build / update 4s - - - - - - | => sim-build / update 4s -[warn] There may be incompatibilities among your library dependencies; run 'evicted' to see detailed eviction warnings. - - - - - - | => sim-build / update 4s - - - - - - | => sim-build / update 4s - - - - - - | => sim-build / Compile / compileIncremental 0s - - - - - - -[info] Loading settings for project firesim from build.sbt ... -[info] Loading settings for project midas from build.sbt ... -[info] Loading settings for project targetutils from build.sbt ... -[info] Resolving key references (32007 settings) ... -[info] Set current project to chipyardRoot (in build file:/home/riscvuser/chipyard/) - - - - - - - - - - - | => Global / checkBuildSources 0s - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -[info] Set current project to utilities (in build file:/home/riscvuser/chipyard/) - - - - - - - - - - - - - - - - - - - - - | => macros / update 0s - | => core / projectDescriptors 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -[info] Updating  - - - - - - | => macros / update 0s - | => core / projectDescriptors 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.16.2/s? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-jackson_2.12/3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jlin? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.0? - 100.0% [##########] 1.6 KiB (126.2 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-jackson_2.12/3.? - 100.0% [##########] 2.0 KiB (58.1 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jlin? - 100.0% [##########] 2.0 KiB (59.8 KiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 100.0% [##########] 4.5 KiB (132.2 KiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 100.0% [##########] 5.0 KiB (147.0 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.16.2/s? - 100.0% [##########] 2.7 KiB (79.6 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? - 100.0% [##########] 4.4 KiB (81.1 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/wendykierp/JTransforms? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? - 100.0% [##########] 2.2 KiB (75.8 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? - 100.0% [##########] 4.4 KiB (152.7 KiB / s) -https://repo1.maven.org/maven2/com/github/fommil/netlib/core/1.1? - 100.0% [##########] 5.3 KiB (407.7 KiB / s) -https://repo1.maven.org/maven2/com/github/wendykierp/JTransforms? - 100.0% [##########] 6.4 KiB (221.1 KiB / s) -https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? - 100.0% [##########] 1.3 KiB (45.1 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? - 100.0% [##########] 17.0 KiB (1.1 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.1? - 100.0% [##########] 2.1 KiB (73.4 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/algebra_2.12/2.0.0-? - 100.0% [##########] 2.4 KiB (143.8 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/machinist_2.12/0.6.? - 100.0% [##########] 1.7 KiB (96.6 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire-platform_2.12? - 100.0% [##########] 2.5 KiB (195.8 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 100.0% [##########] 2.7 KiB (93.8 KiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze-macros_2.12/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/net/sf/opencsv/opencsv/2.3/opencs? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/typelevel/macro-compat_2.12/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/typelevel/spire-util_2.12/0.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-coll? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => macros / update 0s - | => core / projectDescriptors 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 100.0% [##########] 2.2 KiB (82.9 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 100.0% [##########] 1.8 KiB (180.7 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 100.0% [##########] 5.3 KiB (440.8 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 100.0% [##########] 6.2 KiB (410.6 KiB / s) -https://repo1.maven.org/maven2/com/typesafe/play/play-functional? - 100.0% [##########] 1.5 KiB (88.5 KiB / s) -https://repo1.maven.org/maven2/net/sf/opencsv/opencsv/2.3/opencs? - 100.0% [##########] 5.3 KiB (212.7 KiB / s) -https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/j? - 100.0% [##########] 3.5 KiB (195.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-coll? - 100.0% [##########] 1.9 KiB (92.6 KiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze-macros_2.12/1? - 100.0% [##########] 2.1 KiB (74.2 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/macro-compat_2.12/1? - 100.0% [##########] 1.9 KiB (77.9 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire-macros_2.12/0? - 100.0% [##########] 3.0 KiB (159.8 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire-util_2.12/0.1? - 100.0% [##########] 2.4 KiB (102.6 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bas? - 100.0% [##########] 5.2 KiB (327.7 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-par? - 100.0% [##########] 7.8 KiB (486.6 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/module/jack? - 100.0% [##########] 2.5 KiB (154.5 KiB / s) -https://repo1.maven.org/maven2/com/github/fommil/netlib/parent/1? - 100.0% [##########] 9.3 KiB (582.4 KiB / s) -https://repo1.maven.org/maven2/org/fusesource/jansi/jansi-projec? - 100.0% [##########] 11.2 KiB (657.6 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/oss-parent/27/oss-p? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/fusesource/fusesource-pom/1.8? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? - 100.0% [##########] 10.2 KiB (1.2 MiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-bom? - 100.0% [##########] 12.0 KiB (545.7 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/oss-parent/27/oss-p? - 100.0% [##########] 19.2 KiB (871.8 KiB / s) -https://repo1.maven.org/maven2/org/fusesource/fusesource-pom/1.8? - 100.0% [##########] 14.3 KiB (650.5 KiB / s) - - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 100.0% [##########] 1.9 KiB (99.8 KiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? - 100.0% [##########] 27.5 KiB (2.4 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/algebra_2.12/2.0.0-? - 100.0% [##########] 2.4 KiB (122.0 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? - 100.0% [##########] 4.0 KiB (197.5 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/machinist_2.12/0.6.? - 100.0% [##########] 1.7 KiB (86.9 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire-macros_2.12/0? - 100.0% [##########] 3.0 KiB (276.4 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire-platform_2.12? - 100.0% [##########] 2.6 KiB (255.5 KiB / s) -https://repo1.maven.org/maven2/pl/edu/icm/JLargeArrays/1.5/JLarg? - 100.0% [##########] 6.0 KiB (298.6 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.1/? - 100.0% [##########] 1.6 KiB (178.2 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/typelevel/spire-util_2.12/0.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 100.0% [##########] 4.0 KiB (99.1 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/jackson-par? - 100.0% [##########] 7.6 KiB (955.2 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.1/? - 100.0% [##########] 1.6 KiB (55.3 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? - 100.0% [##########] 1.6 KiB (59.7 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire-util_2.12/0.1? - 100.0% [##########] 2.4 KiB (112.6 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/oss-parent/28/oss-p? - 100.0% [##########] 19.5 KiB (1.1 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? - 100.0% [##########] 4.0 KiB (329.2 KiB / s) -[info] Resolved dependencies - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -[info] Updating  - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/? - 100.0% [##########] 2.0 KiB (116.0 KiB / s) -[info] Resolved dependencies - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -[info] Updating  - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.11/? - 100.0% [##########] 2.0 KiB (109.6 KiB / s) -[info] Resolved dependencies - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -[info] Fetching artifacts of  - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-coll? - 52.5% [##### ] 57.6 KiB (5.1 MiB / s) -https://repo1.maven.org/maven2/com/github/wendykierp/JTransforms? - 3.5% [ ] 40.2 KiB (3.3 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-coll? - 100.0% [##########] 109.6 KiB (3.5 MiB / s) -https://repo1.maven.org/maven2/com/github/fommil/netlib/core/1.1? - 65.9% [###### ] 105.8 KiB (6.5 MiB / s) -https://repo1.maven.org/maven2/com/github/wendykierp/JTransforms? - 49.0% [#### ] 563.1 KiB (17.7 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? - 45.2% [#### ] 154.0 KiB (9.4 MiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 7.7% [ ] 101.8 KiB (5.0 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 3.7% [ ] 275.2 KiB (8.7 MiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 100.0% [##########] 65.0 KiB (5.8 MiB / s) -https://repo1.maven.org/maven2/com/github/fommil/netlib/core/1.1? - 100.0% [##########] 160.6 KiB (4.4 MiB / s) -https://repo1.maven.org/maven2/com/github/wendykierp/JTransforms? - 100.0% [##########] 1.1 MiB (22.0 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? - 100.0% [##########] 340.6 KiB (9.2 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire-platform_2.12? - 100.0% [##########] 8.1 KiB (537.8 KiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 33.3% [### ] 439.1 KiB (10.7 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 13.7% [# ] 1008.0 KiB (19.3 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-jackson_2.12/3.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 0.0% [ ] 0 B -https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/j? - 100.0% [##########] 111.7 KiB (5.7 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-jackson_2.12/3.? - 100.0% [##########] 35.4 KiB (1.3 MiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 65.8% [###### ] 867.6 KiB (14.1 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? - 42.4% [#### ] 273.1 KiB (10.3 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 24.5% [## ] 1.8 MiB (24.9 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.1? - 18.5% [# ] 85.7 KiB (3.0 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 4.3% [ ] 304.0 KiB (14.8 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 1.0% [ ] 129.5 KiB (10.5 MiB / s) - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 91.7% [######### ] 1.2 MiB (14.8 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? - 85.0% [######## ] 547.6 KiB (11.6 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.1? - 53.7% [##### ] 249.3 KiB (5.1 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 32.1% [### ] 2.3 MiB (25.4 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 8.9% [ ] 624.0 KiB (15.2 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 5.1% [ ] 689.5 KiB (21.0 MiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 100.0% [##########] 1.3 MiB (12.9 MiB / s) -https://repo1.maven.org/maven2/com/typesafe/play/play-json_2.12/? - 100.0% [##########] 644.0 KiB (9.5 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.1? - 100.0% [##########] 464.4 KiB (6.7 MiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.5/slf4j-a? - 100.0% [##########] 25.5 KiB (2.3 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 42.8% [#### ] 3.1 MiB (27.7 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 14.6% [# ] 1.0 MiB (16.7 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 9.8% [ ] 1.3 MiB (25.0 MiB / s) -https://repo1.maven.org/maven2/pl/edu/icm/JLargeArrays/1.5/JLarg? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/net/sf/opencsv/opencsv/2.3/opencs? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? - 100.0% [##########] 33.1 KiB (1.7 MiB / s) -https://repo1.maven.org/maven2/net/sf/opencsv/opencsv/2.3/opencs? - 100.0% [##########] 19.4 KiB (922.0 KiB / s) -https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.5/slf4j-a? - 100.0% [##########] 25.5 KiB (821.7 KiB / s) -https://repo1.maven.org/maven2/pl/edu/icm/JLargeArrays/1.5/JLarg? - 100.0% [##########] 227.0 KiB (7.2 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 54.5% [##### ] 3.9 MiB (29.9 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 20.7% [## ] 1.4 MiB (17.8 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 15.5% [# ] 2.0 MiB (28.4 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze-macros_2.12/1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/typelevel/spire-util_2.12/0.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/typelevel/machinist_2.12/0.6.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze-macros_2.12/1? - 100.0% [##########] 131.5 KiB (4.6 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/machinist_2.12/0.6.? - 100.0% [##########] 33.0 KiB (1.3 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/macro-compat_2.12/1? - 100.0% [##########] 3.1 KiB (238.9 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire-util_2.12/0.1? - 100.0% [##########] 33.8 KiB (1.2 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire-macros_2.12/0? - 82.3% [######## ] 64.0 KiB (4.5 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 65.8% [###### ] 4.7 MiB (31.4 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 26.9% [## ] 1.8 MiB (18.4 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 21.3% [## ] 2.8 MiB (30.6 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/play/play-functional? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/typesafe/play/play-functional? - 100.0% [##########] 173.8 KiB (7.7 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire-macros_2.12/0? - 100.0% [##########] 77.7 KiB (2.2 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 77.7% [####### ] 5.6 MiB (32.7 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 33.1% [### ] 2.3 MiB (18.9 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 26.6% [## ] 3.5 MiB (31.3 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 89.0% [######## ] 6.4 MiB (33.5 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 39.0% [### ] 2.7 MiB (19.1 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 32.4% [### ] 4.3 MiB (32.3 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 7.1% [ ] 224.0 KiB (4.8 MiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? - 4.8% [ ] 96.0 KiB (4.5 MiB / s) -https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 99.2% [######### ] 7.1 MiB (33.8 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 44.4% [#### ] 3.0 MiB (19.0 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 37.2% [### ] 4.9 MiB (32.3 MiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? - 15.6% [# ] 309.6 KiB (7.4 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 12.6% [# ] 400.0 KiB (5.9 MiB / s) -https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? - 8.2% [ ] 96.0 KiB (1.7 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.? - 100.0% [##########] 7.2 MiB (31.2 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 51.5% [##### ] 3.5 MiB (19.6 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 43.4% [#### ] 5.7 MiB (33.3 MiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? - 31.1% [### ] 619.7 KiB (9.9 MiB / s) -https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? - 21.1% [## ] 245.6 KiB (3.2 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 20.7% [## ] 656.0 KiB (7.4 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? - 0.5% [ ] 16.0 KiB (888.9 KiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 57.0% [##### ] 3.9 MiB (19.5 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 48.4% [#### ] 6.4 MiB (33.2 MiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? - 43.0% [#### ] 856.0 KiB (10.3 MiB / s) -https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? - 32.0% [### ] 373.6 KiB (3.8 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? - 27.6% [## ] 869.5 KiB (22.3 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 26.6% [## ] 841.2 KiB (7.8 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 62.5% [###### ] 4.3 MiB (19.5 MiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? - 55.4% [##### ] 1.1 MiB (10.7 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 53.1% [##### ] 7.0 MiB (33.0 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? - 49.7% [#### ] 1.5 MiB (26.4 MiB / s) -https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? - 43.5% [#### ] 507.7 KiB (4.3 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 32.8% [### ] 1.0 MiB (8.1 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? - 71.8% [####### ] 2.2 MiB (28.3 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 68.2% [###### ] 4.7 MiB (19.5 MiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? - 67.7% [###### ] 1.3 MiB (10.9 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 58.2% [##### ] 7.7 MiB (33.1 MiB / s) -https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? - 54.5% [##### ] 635.7 KiB (4.6 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 37.9% [### ] 1.2 MiB (8.0 MiB / s) - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? - 92.0% [######### ] 2.8 MiB (28.9 MiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? - 80.1% [######## ] 1.6 MiB (11.0 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 73.6% [####### ] 5.0 MiB (19.4 MiB / s) -https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? - 64.7% [###### ] 753.9 KiB (4.7 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 63.3% [###### ] 8.3 MiB (33.1 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 43.9% [#### ] 1.4 MiB (8.2 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/cats-kernel_2.12/2.? - 100.0% [##########] 3.1 MiB (26.1 MiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? - 94.9% [######### ] 1.8 MiB (11.5 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 80.9% [######## ] 5.5 MiB (19.8 MiB / s) -https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? - 78.9% [####### ] 920.0 KiB (5.1 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 69.6% [###### ] 9.2 MiB (33.7 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 51.7% [##### ] 1.6 MiB (8.6 MiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/datatype/ja? - 100.0% [##########] 87.9 KiB (2.6 MiB / s) -https://repo1.maven.org/maven2/org/apache/commons/commons-math3/? - 100.0% [##########] 1.9 MiB (10.7 MiB / s) -https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? - 95.9% [######### ] 1.1 MiB (5.6 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 89.4% [######## ] 6.1 MiB (20.4 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 77.2% [####### ] 10.2 MiB (34.8 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 61.3% [###### ] 1.9 MiB (9.2 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/algebra_2.12/2.0.0-? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.1/? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/net/sourceforge/f2j/arpack_combin? - 100.0% [##########] 1.1 MiB (5.3 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.1/? - 100.0% [##########] 82.0 KiB (2.5 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 96.0% [######### ] 6.6 MiB (20.6 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 83.7% [######## ] 11.0 MiB (35.4 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 68.4% [###### ] 2.1 MiB (9.4 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/algebra_2.12/2.0.0-? - 51.8% [##### ] 591.4 KiB (17.0 MiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.0? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.0? - 100.0% [##########] 76.8 KiB (2.3 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/algebra_2.12/2.0.0-? - 100.0% [##########] 1.1 MiB (21.0 MiB / s) -https://repo1.maven.org/maven2/org/typelevel/spire_2.12/0.17.0-M? - 100.0% [##########] 6.9 MiB (20.2 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 90.8% [######### ] 12.0 MiB (36.1 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 76.4% [####### ] 2.4 MiB (9.6 MiB / s) -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 60.7% [###### ] 192.0 KiB (5.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jlin? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s -https://repo1.maven.org/maven2/com/fasterxml/jackson/core/jackso? - 100.0% [##########] 316.3 KiB (5.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jlin? - 100.0% [##########] 118.2 KiB (4.1 MiB / s) -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/? - 100.0% [##########] 144.8 KiB (5.2 MiB / s) -https://repo1.maven.org/maven2/org/scalanlp/breeze_2.12/1.0/bree? - 100.0% [##########] 13.2 MiB (37.5 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 93.5% [######### ] 2.9 MiB (10.9 MiB / s) -https://repo1.maven.org/maven2/com/chuusai/shapeless_2.12/2.3.3/? - 100.0% [##########] 3.1 MiB (10.8 MiB / s) -[info] Fetched artifacts of  - - - - - - | => macros / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s - - - - - - | => macros / update 1s - | => rocketMacros / update 1s - | => rocketConfig / update 1s - - - - - - | => macros / update 1s - | => rocketMacros / update 1s - | => rocketConfig / update 1s -[info] Fetching artifacts of  - - - - - - | => macros / update 1s - | => rocketMacros / update 1s - | => rocketConfig / update 1s -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.11/? - 0.0% [ ] 0 B (0 B / s) - - - - - - - | => macros / update 1s - | => rocketConfig / update 1s -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.11/? - 100.0% [##########] 144.8 KiB (916.3 KiB / s) -[info] Fetched artifacts of  - - - - - - | => macros / update 1s - | => rocketConfig / update 1s - - - - - - | => macros / update 1s - | => rocketConfig / update 1s - - - - - - - | => core / update 0s - - - - - - - | => chisel / update 0s -[info] Updating  - - - - - - | => chisel / update 0s -https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.pom - 100.0% [##########] 24.5 KiB (1.3 MiB / s) -https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/ha? - 0.0% [ ] 0 B (0 B / s) -[info] Resolved dependencies - - - - - - | => chisel / update 0s -[info] Fetching artifacts of  - - - - - - | => chisel / update 0s -https://repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/ha? - 100.0% [##########] 44.0 KiB (4.8 MiB / s) -https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar - 0.0% [ ] 0 B (0 B / s) - - - - - - | => chisel / update 0s -https://repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar - 100.0% [##########] 372.8 KiB (13.0 MiB / s) -[info] Fetched artifacts of  - - - - - - | => chisel / update 0s -[info] Updating  - - - - - - | => chisel / update 0s -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 100.0% [##########] 1.6 KiB (97.3 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 100.0% [##########] 1.8 KiB (110.1 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => targetutils / update 0s - - - - - - | => targetutils / update 0s -https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? - 100.0% [##########] 1.8 KiB (8.3 KiB / s) -[info] Resolved dependencies - - - - - - | => targetutils / update 0s - - - - - - | => targetutils / update 0s -[info] Updating  - - - - - - | => targetutils / update 0s -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.4/2? - 100.0% [##########] 2.0 KiB (115.8 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => targetutils / update 0s -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 100.0% [##########] 2.2 KiB (18.4 KiB / s) -[info] Resolved dependencies - - - - - - | => targetutils / update 0s -[info] Updating  - - - - - - | => targetutils / update 0s -https://repo1.maven.org/maven2/jline/jline/2.14.5/jline-2.14.5.p? - 100.0% [##########] 19.4 KiB (1.3 MiB / s) -[info] Resolved dependencies - - - - - - | => targetutils / update 0s -[info] Fetching artifacts of  - - - - - - | => targetutils / update 0s -https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? - 100.0% [##########] 91.2 KiB (7.4 MiB / s) -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.4/2? - 100.0% [##########] 145.6 KiB (14.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 6.2% [ ] 319.0 KiB (18.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 3.0% [ ] 282.3 KiB (19.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/jline/jline/2.14.5/jline-2.14.5.j? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => targetutils / update 0s -https://repo1.maven.org/maven2/jline/jline/2.14.5/jline-2.14.5.j? - 100.0% [##########] 262.3 KiB (11.1 MiB / s) -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.4/2? - 100.0% [##########] 145.6 KiB (4.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 28.0% [## ] 980.3 KiB (25.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 16.5% [# ] 845.5 KiB (22.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 8.1% [ ] 774.4 KiB (22.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 71.7% [####### ] 2.5 MiB (43.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 25.0% [## ] 1.3 MiB (21.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 11.3% [# ] 1.1 MiB (19.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 100.0% [##########] 3.4 MiB (45.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 39.4% [### ] 2.0 MiB (25.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 17.0% [# ] 1.6 MiB (21.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 65.6% [###### ] 3.3 MiB (34.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 26.8% [## ] 2.5 MiB (26.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 92.7% [######### ] 4.6 MiB (40.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 36.0% [### ] 3.4 MiB (29.5 MiB / s) - - - - - - | => targetutils / update 0s -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 100.0% [##########] 5.0 MiB (36.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 55.6% [##### ] 5.2 MiB (39.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 79.6% [####### ] 7.4 MiB (48.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 100.0% [##########] 9.3 MiB (54.0 MiB / s) -[info] Fetched artifacts of  - - - - - - | => targetutils / update 0s - - - - - - | => targetutils / update 0s -[info] Updating  - - - - - - | => targetutils / update 0s -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 100.0% [##########] 1.6 KiB (111.4 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 100.0% [##########] 1.8 KiB (126.0 KiB / s) - - - - - - | => hardfloat / update 0s -[info] Resolved dependencies - - - - - - | => hardfloat / update 0s -[info] Updating  - - - - - - | => hardfloat / update 0s -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.8/2? - 100.0% [##########] 2.0 KiB (103.6 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 0.0% [ ] 0 B (0 B / s) -[info] Resolved dependencies - - - - - - | => hardfloat / update 0s - - - - - - | => hardfloat / update 0s -[info] Fetching artifacts of  - - - - - - | => hardfloat / update 0s -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 7.9% [ ] 404.8 KiB (32.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.8/2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.8/2? - 100.0% [##########] 144.8 KiB (5.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 20.9% [## ] 1.1 MiB (33.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 19.3% [# ] 688.0 KiB (22.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 8.3% [ ] 848.0 KiB (34.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 41.4% [#### ] 1.4 MiB (28.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 31.8% [### ] 1.6 MiB (31.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 17.3% [# ] 1.7 MiB (39.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 61.7% [###### ] 2.1 MiB (30.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 42.4% [#### ] 2.1 MiB (30.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 27.3% [## ] 2.7 MiB (42.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 83.5% [######## ] 2.9 MiB (32.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 52.6% [##### ] 2.6 MiB (29.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 37.1% [### ] 3.7 MiB (44.3 MiB / s) - - - - - - | => hardfloat / update 0s -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 100.0% [##########] 3.5 MiB (31.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 62.9% [###### ] 3.2 MiB (28.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 48.8% [#### ] 4.9 MiB (47.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 75.9% [####### ] 3.8 MiB (29.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 64.5% [###### ] 6.5 MiB (52.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 88.7% [######## ] 4.5 MiB (29.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 80.5% [######## ] 8.1 MiB (56.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 100.0% [##########] 5.0 MiB (29.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 97.1% [######### ] 9.7 MiB (59.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 100.0% [##########] 10.0 MiB (54.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 100.0% [##########] 5.0 MiB (26.3 MiB / s) - - - - - - | => hardfloat / update 0s -[info] Fetched artifacts of  - - - - - - | => hardfloat / update 0s - - - - - - | => rocketchip / update 0s - - - - - - | => rocketchip / update 0s - - - - - - | => rocketchip / update 0s - - - - - - | => sifive_blocks / update 0s - - - - - - | => sifive_blocks / update 0s - - - - - - | => sifive_blocks / update 0s - - - - - - | => testchipip / update 0s - - - - - - | => testchipip / update 0s - - - - - - | => utilities / update 0s - - - - - - | => utilities / update 0s - - - - - - - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s -[info] Compiling 1 Scala source to /home/riscvuser/chipyard/generators/rocket-chip/api-config-chipsalliance/build-rules/sbt/target/scala-2.12/classes ... - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s -[info] Compiling 1 Scala source to /home/riscvuser/chipyard/generators/rocket-chip/macros/target/scala-2.12/classes ... - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s -[info] Compiling 5 Scala sources to /home/riscvuser/chipyard/tools/chisel3/macros/target/scala-2.12/classes ... - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s -https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.1? - 0.0% [ ] 0 B (0 B / s) - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s -[info] Non-compiled module 'compiler-bridge_2.12' for Scala 2.12.10. Compiling... - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - - - - - - | => rocketMacros / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - - - - - - | => rocketMacros / Compile / compileIncremental 1s - | => rocketConfig / Compile / compileIncremental 1s - | => macros / Compile / compileIncremental 1s - - - - - - | => rocketMacros / Compile / compileIncremental 1s - | => rocketConfig / Compile / compileIncremental 1s - | => macros / Compile / compileIncremental 1s - - - - - - | => rocketMacros / Compile / compileIncremental 1s - | => rocketConfig / Compile / compileIncremental 1s - | => macros / Compile / compileIncremental 1s - - - - - - | => rocketMacros / Compile / compileIncremental 1s - | => rocketConfig / Compile / compileIncremental 1s - | => macros / Compile / compileIncremental 1s - - - - - - | => rocketMacros / Compile / compileIncremental 1s - | => rocketConfig / Compile / compileIncremental 1s - | => macros / Compile / compileIncremental 1s - - - - - - | => rocketMacros / Compile / compileIncremental 1s - | => rocketConfig / Compile / compileIncremental 1s - | => macros / Compile / compileIncremental 1s - - - - - - | => rocketMacros / Compile / compileIncremental 1s - | => rocketConfig / Compile / compileIncremental 1s - | => macros / Compile / compileIncremental 1s - - - - - - | => rocketMacros / Compile / compileIncremental 1s - | => rocketConfig / Compile / compileIncremental 1s - | => macros / Compile / compileIncremental 1s - - - - - - | => rocketMacros / Compile / compileIncremental 1s - | => rocketConfig / Compile / compileIncremental 1s - | => macros / Compile / compileIncremental 1s - - - - - - | => rocketMacros / Compile / compileIncremental 1s - | => rocketConfig / Compile / compileIncremental 1s - | => macros / Compile / compileIncremental 1s - - - - - - | => rocketMacros / Compile / compileIncremental 2s - | => rocketConfig / Compile / compileIncremental 2s - | => macros / Compile / compileIncremental 2s - - - - - - | => rocketMacros / Compile / compileIncremental 2s - | => rocketConfig / Compile / compileIncremental 2s - | => macros / Compile / compileIncremental 2s - - - - - - | => rocketMacros / Compile / compileIncremental 2s - | => rocketConfig / Compile / compileIncremental 2s - | => macros / Compile / compileIncremental 2s - - - - - - | => rocketMacros / Compile / compileIncremental 2s - | => rocketConfig / Compile / compileIncremental 2s - | => macros / Compile / compileIncremental 2s - - - - - - | => rocketMacros / Compile / compileIncremental 2s - | => rocketConfig / Compile / compileIncremental 2s - | => macros / Compile / compileIncremental 2s - - - - - - | => rocketMacros / Compile / compileIncremental 2s - | => rocketConfig / Compile / compileIncremental 2s - | => macros / Compile / compileIncremental 2s - - - - - - | => rocketMacros / Compile / compileIncremental 2s - | => rocketConfig / Compile / compileIncremental 2s - | => macros / Compile / compileIncremental 2s - - - - - - | => rocketMacros / Compile / compileIncremental 2s - | => rocketConfig / Compile / compileIncremental 2s - | => macros / Compile / compileIncremental 2s - - - - - - | => rocketMacros / Compile / compileIncremental 2s - | => rocketConfig / Compile / compileIncremental 2s - | => macros / Compile / compileIncremental 2s - - - - - - | => rocketMacros / Compile / compileIncremental 2s - | => rocketConfig / Compile / compileIncremental 2s - | => macros / Compile / compileIncremental 2s - - - - - - | => rocketMacros / Compile / compileIncremental 3s - | => rocketConfig / Compile / compileIncremental 3s - | => macros / Compile / compileIncremental 3s - - - - - - | => rocketMacros / Compile / compileIncremental 3s - | => rocketConfig / Compile / compileIncremental 3s - | => macros / Compile / compileIncremental 3s - - - - - - | => rocketMacros / Compile / compileIncremental 3s - | => rocketConfig / Compile / compileIncremental 3s - | => macros / Compile / compileIncremental 3s - - - - - - | => rocketMacros / Compile / compileIncremental 3s - | => rocketConfig / Compile / compileIncremental 3s - | => macros / Compile / compileIncremental 3s - - - - - - | => rocketMacros / Compile / compileIncremental 3s - | => rocketConfig / Compile / compileIncremental 3s - | => macros / Compile / compileIncremental 3s - - - - - - | => rocketMacros / Compile / compileIncremental 3s - | => rocketConfig / Compile / compileIncremental 3s - | => macros / Compile / compileIncremental 3s - - - - - - | => rocketMacros / Compile / compileIncremental 3s - | => rocketConfig / Compile / compileIncremental 3s - | => macros / Compile / compileIncremental 3s - - - - - - | => rocketMacros / Compile / compileIncremental 3s - | => rocketConfig / Compile / compileIncremental 3s - | => macros / Compile / compileIncremental 3s - - - - - - | => rocketMacros / Compile / compileIncremental 3s - | => rocketConfig / Compile / compileIncremental 3s - | => macros / Compile / compileIncremental 3s - - - - - - | => rocketMacros / Compile / compileIncremental 3s - | => rocketConfig / Compile / compileIncremental 3s - | => macros / Compile / compileIncremental 3s - - - - - - | => rocketMacros / Compile / compileIncremental 4s - | => rocketConfig / Compile / compileIncremental 4s - | => macros / Compile / compileIncremental 4s - - - - - - | => rocketMacros / Compile / compileIncremental 4s - | => rocketConfig / Compile / compileIncremental 4s - | => macros / Compile / compileIncremental 4s - - - - - - | => rocketMacros / Compile / compileIncremental 4s - | => rocketConfig / Compile / compileIncremental 4s - | => macros / Compile / compileIncremental 4s - - - - - - | => rocketMacros / Compile / compileIncremental 4s - | => rocketConfig / Compile / compileIncremental 4s - | => macros / Compile / compileIncremental 4s - - - - - - | => rocketMacros / Compile / compileIncremental 4s - | => rocketConfig / Compile / compileIncremental 4s - | => macros / Compile / compileIncremental 4s - - - - - - | => rocketMacros / Compile / compileIncremental 4s - | => rocketConfig / Compile / compileIncremental 4s - | => macros / Compile / compileIncremental 4s - - - - - - | => rocketMacros / Compile / compileIncremental 4s - | => rocketConfig / Compile / compileIncremental 4s - | => macros / Compile / compileIncremental 4s - - - - - - | => rocketMacros / Compile / compileIncremental 4s - | => rocketConfig / Compile / compileIncremental 4s - | => macros / Compile / compileIncremental 4s - - - - - - | => rocketMacros / Compile / compileIncremental 4s - | => rocketConfig / Compile / compileIncremental 4s - | => macros / Compile / compileIncremental 4s - - - - - - | => rocketMacros / Compile / compileIncremental 4s - | => rocketConfig / Compile / compileIncremental 4s - | => macros / Compile / compileIncremental 4s -[info]  Compilation completed in 4.734s. - - - - - - | => rocketMacros / Compile / compileIncremental 4s - | => rocketConfig / Compile / compileIncremental 4s - | => macros / Compile / compileIncremental 4s - - - - - - | => rocketMacros / Compile / compileIncremental 5s - | => rocketConfig / Compile / compileIncremental 5s - | => macros / Compile / compileIncremental 5s -[info] Non-compiled module 'compiler-bridge_2.12' for Scala 2.12.11. Compiling... - - - - - - | => rocketMacros / Compile / compileIncremental 5s - | => rocketConfig / Compile / compileIncremental 5s - | => macros / Compile / compileIncremental 5s - - - - - - | => rocketMacros / Compile / compileIncremental 5s - | => rocketConfig / Compile / compileIncremental 5s - | => macros / Compile / compileIncremental 5s - - - - - - | => rocketMacros / Compile / compileIncremental 5s - | => rocketConfig / Compile / compileIncremental 5s - | => macros / Compile / compileIncremental 5s - - - - - - | => rocketMacros / Compile / compileIncremental 5s - | => rocketConfig / Compile / compileIncremental 5s - | => macros / Compile / compileIncremental 5s - - - - - - | => rocketMacros / Compile / compileIncremental 5s - | => rocketConfig / Compile / compileIncremental 5s - | => macros / Compile / compileIncremental 5s - - - - - - | => rocketMacros / Compile / compileIncremental 5s - | => rocketConfig / Compile / compileIncremental 5s - | => macros / Compile / compileIncremental 5s - - - - - - | => rocketMacros / Compile / compileIncremental 5s - | => rocketConfig / Compile / compileIncremental 5s - | => macros / Compile / compileIncremental 5s - - - - - - | => rocketMacros / Compile / compileIncremental 5s - | => rocketConfig / Compile / compileIncremental 5s - | => macros / Compile / compileIncremental 5s - - - - - - | => rocketMacros / Compile / compileIncremental 5s - | => rocketConfig / Compile / compileIncremental 5s - | => macros / Compile / compileIncremental 5s - - - - - - | => rocketMacros / Compile / compileIncremental 5s - | => rocketConfig / Compile / compileIncremental 5s - | => macros / Compile / compileIncremental 5s - - - - - - | => rocketMacros / Compile / compileIncremental 6s - | => rocketConfig / Compile / compileIncremental 6s - | => macros / Compile / compileIncremental 6s - - - - - - | => rocketMacros / Compile / compileIncremental 6s - | => rocketConfig / Compile / compileIncremental 6s - | => macros / Compile / compileIncremental 6s - - - - - - | => rocketMacros / Compile / compileIncremental 6s - | => rocketConfig / Compile / compileIncremental 6s - | => macros / Compile / compileIncremental 6s - - - - - - | => rocketMacros / Compile / compileIncremental 6s - | => rocketConfig / Compile / compileIncremental 6s - | => macros / Compile / compileIncremental 6s - - - - - - | => rocketMacros / Compile / compileIncremental 6s - | => rocketConfig / Compile / compileIncremental 6s - | => macros / Compile / compileIncremental 6s - - - - - - | => rocketMacros / Compile / compileIncremental 6s - | => rocketConfig / Compile / compileIncremental 6s - | => macros / Compile / compileIncremental 6s - - - - - - | => rocketMacros / Compile / compileIncremental 6s - | => rocketConfig / Compile / compileIncremental 6s - | => macros / Compile / compileIncremental 6s - - - - - - | => rocketMacros / Compile / compileIncremental 6s - | => rocketConfig / Compile / compileIncremental 6s - | => macros / Compile / compileIncremental 6s - - - - - - | => rocketMacros / Compile / compileIncremental 6s - | => rocketConfig / Compile / compileIncremental 6s - | => macros / Compile / compileIncremental 6s - - - - - - | => rocketMacros / Compile / compileIncremental 7s - | => rocketConfig / Compile / compileIncremental 7s - | => macros / Compile / compileIncremental 7s - - - - - - | => rocketMacros / Compile / compileIncremental 7s - | => rocketConfig / Compile / compileIncremental 7s - | => macros / Compile / compileIncremental 7s - - - - - - | => rocketMacros / Compile / compileIncremental 7s - | => rocketConfig / Compile / compileIncremental 7s - | => macros / Compile / compileIncremental 7s - - - - - - | => rocketMacros / Compile / compileIncremental 7s - | => rocketConfig / Compile / compileIncremental 7s - | => macros / Compile / compileIncremental 7s - - - - - - | => rocketMacros / Compile / compileIncremental 7s - | => rocketConfig / Compile / compileIncremental 7s - | => macros / Compile / compileIncremental 7s - - - - - - | => rocketMacros / Compile / compileIncremental 7s - | => rocketConfig / Compile / compileIncremental 7s - | => macros / Compile / compileIncremental 7s - - - - - - | => rocketMacros / Compile / compileIncremental 7s - | => rocketConfig / Compile / compileIncremental 7s - | => macros / Compile / compileIncremental 7s - - - - - - | => rocketMacros / Compile / compileIncremental 7s - | => rocketConfig / Compile / compileIncremental 7s - | => macros / Compile / compileIncremental 7s - - - - - - | => rocketMacros / Compile / compileIncremental 7s - | => rocketConfig / Compile / compileIncremental 7s - | => macros / Compile / compileIncremental 7s - - - - - - | => rocketMacros / Compile / compileIncremental 7s - | => rocketConfig / Compile / compileIncremental 7s - | => macros / Compile / compileIncremental 7s - - - - - - | => rocketMacros / Compile / compileIncremental 8s - | => rocketConfig / Compile / compileIncremental 8s - | => macros / Compile / compileIncremental 8s - - - - - - | => rocketMacros / Compile / compileIncremental 8s - | => rocketConfig / Compile / compileIncremental 8s - | => macros / Compile / compileIncremental 8s - - - - - - | => rocketMacros / Compile / compileIncremental 8s - | => rocketConfig / Compile / compileIncremental 8s - | => macros / Compile / compileIncremental 8s - - - - - - | => rocketMacros / Compile / compileIncremental 8s - | => rocketConfig / Compile / compileIncremental 8s - | => macros / Compile / compileIncremental 8s - - - - - - | => rocketMacros / Compile / compileIncremental 8s - | => rocketConfig / Compile / compileIncremental 8s - | => macros / Compile / compileIncremental 8s - - - - - - | => rocketMacros / Compile / compileIncremental 8s - | => rocketConfig / Compile / compileIncremental 8s - | => macros / Compile / compileIncremental 8s - - - - - - | => rocketMacros / Compile / compileIncremental 8s - | => rocketConfig / Compile / compileIncremental 8s - | => macros / Compile / compileIncremental 8s - - - - - - | => rocketMacros / Compile / compileIncremental 8s - | => rocketConfig / Compile / compileIncremental 8s - | => macros / Compile / compileIncremental 8s - - - - - - | => rocketMacros / Compile / compileIncremental 8s - | => rocketConfig / Compile / compileIncremental 8s - | => macros / Compile / compileIncremental 8s - - - - - - | => rocketMacros / Compile / compileIncremental 8s - | => rocketConfig / Compile / compileIncremental 8s - | => macros / Compile / compileIncremental 8s - - - - - - | => rocketMacros / Compile / compileIncremental 9s - | => rocketConfig / Compile / compileIncremental 9s - | => macros / Compile / compileIncremental 9s - - - - - - | => rocketMacros / Compile / compileIncremental 9s - | => rocketConfig / Compile / compileIncremental 9s - | => macros / Compile / compileIncremental 9s - - - - - - | => rocketMacros / Compile / compileIncremental 9s - | => rocketConfig / Compile / compileIncremental 9s - | => macros / Compile / compileIncremental 9s - - - - - - | => rocketMacros / Compile / compileIncremental 9s - | => rocketConfig / Compile / compileIncremental 9s - | => macros / Compile / compileIncremental 9s - - - - - - | => rocketMacros / Compile / compileIncremental 9s - | => rocketConfig / Compile / compileIncremental 9s - | => macros / Compile / compileIncremental 9s - - - - - - | => rocketMacros / Compile / compileIncremental 9s - | => rocketConfig / Compile / compileIncremental 9s - | => macros / Compile / compileIncremental 9s - - - - - - | => rocketMacros / Compile / compileIncremental 9s - | => rocketConfig / Compile / compileIncremental 9s - | => macros / Compile / compileIncremental 9s - - - - - - | => rocketMacros / Compile / compileIncremental 9s - | => rocketConfig / Compile / compileIncremental 9s - | => macros / Compile / compileIncremental 9s - - - - - - | => rocketMacros / Compile / compileIncremental 9s - | => rocketConfig / Compile / compileIncremental 9s - | => macros / Compile / compileIncremental 9s - - - - - - | => rocketMacros / Compile / compileIncremental 9s - | => rocketConfig / Compile / compileIncremental 9s - | => macros / Compile / compileIncremental 9s - - - - - - | => rocketMacros / Compile / compileIncremental 10s - | => rocketConfig / Compile / compileIncremental 10s - | => macros / Compile / compileIncremental 10s - - - - - - | => rocketMacros / Compile / compileIncremental 10s - | => rocketConfig / Compile / compileIncremental 10s - | => macros / Compile / compileIncremental 10s - - - - - - | => rocketMacros / Compile / compileIncremental 10s - | => rocketConfig / Compile / compileIncremental 10s - | => macros / Compile / compileIncremental 10s - - - - - - | => rocketMacros / Compile / compileIncremental 10s - | => rocketConfig / Compile / compileIncremental 10s - | => macros / Compile / compileIncremental 10s - - - - - - | => rocketMacros / Compile / compileIncremental 10s - | => rocketConfig / Compile / compileIncremental 10s - | => macros / Compile / compileIncremental 10s - - - - - - | => rocketMacros / Compile / compileIncremental 10s - | => rocketConfig / Compile / compileIncremental 10s - | => macros / Compile / compileIncremental 10s - - - - - - | => rocketMacros / Compile / compileIncremental 10s - | => rocketConfig / Compile / compileIncremental 10s - | => macros / Compile / compileIncremental 10s - - - - - - | => rocketMacros / Compile / compileIncremental 10s - | => rocketConfig / Compile / compileIncremental 10s - | => macros / Compile / compileIncremental 10s - - - - - - | => rocketMacros / Compile / compileIncremental 10s - | => rocketConfig / Compile / compileIncremental 10s - | => macros / Compile / compileIncremental 10s - - - - - - | => rocketMacros / Compile / compileIncremental 10s - | => rocketConfig / Compile / compileIncremental 10s - | => macros / Compile / compileIncremental 10s - - - - - - | => rocketMacros / Compile / compileIncremental 11s - | => rocketConfig / Compile / compileIncremental 11s - | => macros / Compile / compileIncremental 11s - - - - - - | => rocketMacros / Compile / compileIncremental 11s - | => rocketConfig / Compile / compileIncremental 11s - | => macros / Compile / compileIncremental 11s - - - - - - | => rocketMacros / Compile / compileIncremental 11s - | => rocketConfig / Compile / compileIncremental 11s - | => macros / Compile / compileIncremental 11s - - - - - - | => rocketMacros / Compile / compileIncremental 11s - | => rocketConfig / Compile / compileIncremental 11s - | => macros / Compile / compileIncremental 11s - - - - - - | => rocketMacros / Compile / compileIncremental 11s - | => rocketConfig / Compile / compileIncremental 11s - | => macros / Compile / compileIncremental 11s - - - - - - | => rocketMacros / Compile / compileIncremental 11s - | => rocketConfig / Compile / compileIncremental 11s - | => macros / Compile / compileIncremental 11s - - - - - - | => rocketMacros / Compile / compileIncremental 11s - | => rocketConfig / Compile / compileIncremental 11s - | => macros / Compile / compileIncremental 11s - - - - - - | => rocketMacros / Compile / compileIncremental 11s - | => rocketConfig / Compile / compileIncremental 11s - | => macros / Compile / compileIncremental 11s - - - - - - | => rocketMacros / Compile / compileIncremental 11s - | => rocketConfig / Compile / compileIncremental 11s - | => macros / Compile / compileIncremental 11s - - - - - - | => rocketMacros / Compile / compileIncremental 11s - | => rocketConfig / Compile / compileIncremental 11s - | => macros / Compile / compileIncremental 11s - - - - - - | => rocketMacros / Compile / compileIncremental 12s - | => rocketConfig / Compile / compileIncremental 12s - | => macros / Compile / compileIncremental 12s - - - - - - | => rocketMacros / Compile / compileIncremental 12s - | => rocketConfig / Compile / compileIncremental 12s - | => macros / Compile / compileIncremental 12s - - - - - - | => rocketMacros / Compile / compileIncremental 12s - | => rocketConfig / Compile / compileIncremental 12s - | => macros / Compile / compileIncremental 12s - - - - - - | => rocketMacros / Compile / compileIncremental 12s - | => rocketConfig / Compile / compileIncremental 12s - | => macros / Compile / compileIncremental 12s - - - - - - | => rocketMacros / Compile / compileIncremental 12s - | => rocketConfig / Compile / compileIncremental 12s - | => macros / Compile / compileIncremental 12s - - - - - - | => rocketMacros / Compile / compileIncremental 12s - | => rocketConfig / Compile / compileIncremental 12s - | => macros / Compile / compileIncremental 12s - - - - - - | => rocketMacros / Compile / compileIncremental 12s - | => rocketConfig / Compile / compileIncremental 12s - | => macros / Compile / compileIncremental 12s - - - - - - | => rocketMacros / Compile / compileIncremental 12s - | => rocketConfig / Compile / compileIncremental 12s - | => macros / Compile / compileIncremental 12s - - - - - - | => rocketMacros / Compile / compileIncremental 12s - | => rocketConfig / Compile / compileIncremental 12s - | => macros / Compile / compileIncremental 12s - - - - - - | => rocketMacros / Compile / compileIncremental 12s - | => rocketConfig / Compile / compileIncremental 12s - | => macros / Compile / compileIncremental 12s - - - - - - | => rocketMacros / Compile / compileIncremental 13s - | => rocketConfig / Compile / compileIncremental 13s - | => macros / Compile / compileIncremental 13s - - - - - - | => rocketMacros / Compile / compileIncremental 13s - | => rocketConfig / Compile / compileIncremental 13s - | => macros / Compile / compileIncremental 13s - - - - - - | => rocketMacros / Compile / compileIncremental 13s - | => rocketConfig / Compile / compileIncremental 13s - | => macros / Compile / compileIncremental 13s - - - - - - | => rocketMacros / Compile / compileIncremental 13s - | => rocketConfig / Compile / compileIncremental 13s - | => macros / Compile / compileIncremental 13s - - - - - - | => rocketMacros / Compile / compileIncremental 13s - | => rocketConfig / Compile / compileIncremental 13s - | => macros / Compile / compileIncremental 13s - - - - - - | => rocketMacros / Compile / compileIncremental 13s - | => rocketConfig / Compile / compileIncremental 13s - | => macros / Compile / compileIncremental 13s - - - - - - | => rocketMacros / Compile / compileIncremental 13s - | => rocketConfig / Compile / compileIncremental 13s - | => macros / Compile / compileIncremental 13s - - - - - - | => rocketMacros / Compile / compileIncremental 13s - | => rocketConfig / Compile / compileIncremental 13s - | => macros / Compile / compileIncremental 13s - - - - - - | => rocketMacros / Compile / compileIncremental 13s - | => rocketConfig / Compile / compileIncremental 13s - | => macros / Compile / compileIncremental 13s - - - - - - | => rocketMacros / Compile / compileIncremental 14s - | => rocketConfig / Compile / compileIncremental 14s - | => macros / Compile / compileIncremental 14s - - - - - - | => rocketMacros / Compile / compileIncremental 14s - | => rocketConfig / Compile / compileIncremental 14s - | => macros / Compile / compileIncremental 14s - - - - - - | => rocketMacros / Compile / compileIncremental 14s - | => rocketConfig / Compile / compileIncremental 14s - | => macros / Compile / compileIncremental 14s - - - - - - | => rocketMacros / Compile / compileIncremental 14s - | => rocketConfig / Compile / compileIncremental 14s - | => macros / Compile / compileIncremental 14s - - - - - - | => rocketMacros / Compile / compileIncremental 14s - | => rocketConfig / Compile / compileIncremental 14s - | => macros / Compile / compileIncremental 14s - - - - - - | => rocketMacros / Compile / compileIncremental 14s - | => rocketConfig / Compile / compileIncremental 14s - | => macros / Compile / compileIncremental 14s - - - - - - | => rocketMacros / Compile / compileIncremental 14s - | => rocketConfig / Compile / compileIncremental 14s - | => macros / Compile / compileIncremental 14s - - - - - - | => rocketMacros / Compile / compileIncremental 14s - | => rocketConfig / Compile / compileIncremental 14s - | => macros / Compile / compileIncremental 14s - - - - - - | => rocketMacros / Compile / compileIncremental 14s - | => rocketConfig / Compile / compileIncremental 14s - | => macros / Compile / compileIncremental 14s -[info]  Compilation completed in 9.786s. - - - - - - | => rocketMacros / Compile / compileIncremental 14s - | => rocketConfig / Compile / compileIncremental 14s - | => macros / Compile / compileIncremental 14s - - - - - - | => rocketMacros / Compile / compileIncremental 14s - | => rocketConfig / Compile / compileIncremental 14s - | => macros / Compile / compileIncremental 14s - - - - - - | => rocketMacros / Compile / compileIncremental 15s - | => rocketConfig / Compile / compileIncremental 15s - | => macros / Compile / compileIncremental 15s - - - - - - | => rocketMacros / Compile / compileIncremental 15s - | => rocketConfig / Compile / compileIncremental 15s - | => macros / Compile / compileIncremental 15s - - - - - - | => rocketMacros / Compile / compileIncremental 15s - | => rocketConfig / Compile / compileIncremental 15s - | => macros / Compile / compileIncremental 15s - - - - - - | => rocketMacros / Compile / compileIncremental 15s - | => rocketConfig / Compile / compileIncremental 15s - | => macros / Compile / compileIncremental 15s - - - - - - | => rocketMacros / Compile / compileIncremental 15s - | => rocketConfig / Compile / compileIncremental 15s - | => macros / Compile / compileIncremental 15s - - - - - - | => rocketMacros / Compile / compileIncremental 15s - | => rocketConfig / Compile / compileIncremental 15s - | => macros / Compile / compileIncremental 15s - - - - - - | => rocketMacros / Compile / compileIncremental 15s - | => rocketConfig / Compile / compileIncremental 15s - | => macros / Compile / compileIncremental 15s - - - - - - | => rocketMacros / Compile / compileIncremental 15s - | => rocketConfig / Compile / compileIncremental 15s - | => macros / Compile / compileIncremental 15s - - - - - - | => rocketMacros / Compile / compileIncremental 15s - | => rocketConfig / Compile / compileIncremental 15s - | => macros / Compile / compileIncremental 15s - - - - - - | => rocketConfig / Compile / compile 0s - | => rocketMacros / Compile / compileIncremental 15s - | => macros / Compile / compileIncremental 15s - - - - - - | => rocketMacros / Compile / compile 0s - | => rocketConfig / Compile / compile 0s - | => macros / Compile / compileIncremental 16s - - - - - - | => rocketConfig / Compile / packageBin 0s - | => rocketMacros / Compile / packageBin 0s - | => macros / Compile / compileIncremental 16s - - - - - - - - | => macros / Compile / compileIncremental 16s - - - - - - - - | => macros / Compile / compileIncremental 16s - - - - - - - - | => macros / Compile / compileIncremental 16s - - - - - - - - | => macros / Compile / compileIncremental 16s - - - - - - - - | => macros / Compile / compileIncremental 16s - - - - - - - - | => macros / Compile / compileIncremental 16s - - - - - - - - | => macros / Compile / compileIncremental 16s - - - - - - - - | => macros / Compile / compileIncremental 16s - - - - - - - - | => macros / Compile / compileIncremental 17s - - - - - - - - | => macros / Compile / compileIncremental 17s - - - - - - - - | => macros / Compile / compileIncremental 17s - - - - - - - - | => macros / Compile / compileIncremental 17s - - - - - - - - | => macros / Compile / compileIncremental 17s - - - - - - - - | => macros / Compile / compileIncremental 17s - - - - - - - - | => macros / Compile / compileIncremental 17s - - - - - - - - | => macros / Compile / compileIncremental 17s - - - - - - - - | => macros / Compile / compileIncremental 17s - - - - - - - - | => macros / Compile / compileIncremental 17s - - - - - - - - | => macros / Compile / compileIncremental 18s - - - - - - - - | => macros / Compile / compileIncremental 18s - - - - - - - - | => macros / Compile / compileIncremental 18s - - - - - - - - | => macros / Compile / compileIncremental 18s - - - - - - - - | => macros / Compile / compileIncremental 18s - - - - - - - - | => macros / Compile / compileIncremental 18s - - - - - - - - | => macros / Compile / compile 0s -[info] Compiling 41 Scala sources to /home/riscvuser/chipyard/tools/chisel3/core/target/scala-2.12/classes ... - - - - - - - | => macros / Compile / compile 0s - - - - - - - | => core / Compile / compileIncremental 0s - - - - - - - | => core / Compile / compileIncremental 0s - - - - - - - | => core / Compile / compileIncremental 0s - - - - - - - | => core / Compile / compileIncremental 0s - - - - - - - | => core / Compile / compileIncremental 0s - - - - - - - | => core / Compile / compileIncremental 0s - - - - - - - | => core / Compile / compileIncremental 0s - - - - - - - | => core / Compile / compileIncremental 0s - - - - - - - | => core / Compile / compileIncremental 0s - - - - - - - | => core / Compile / compileIncremental 0s - - - - - - - | => core / Compile / compileIncremental 1s - - - - - - - | => core / Compile / compileIncremental 1s - - - - - - - | => core / Compile / compileIncremental 1s - - - - - - - | => core / Compile / compileIncremental 1s - - - - - - - | => core / Compile / compileIncremental 1s - - - - - - - | => core / Compile / compileIncremental 1s - - - - - - - | => core / Compile / compileIncremental 1s - - - - - - - | => core / Compile / compileIncremental 1s - - - - - - - | => core / Compile / compileIncremental 1s - - - - - - - | => core / Compile / compileIncremental 1s - - - - - - - | => core / Compile / compileIncremental 2s - - - - - - - | => core / Compile / compileIncremental 2s - - - - - - - | => core / Compile / compileIncremental 2s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Num.scala:5:25: imported `ChiselException' is permanently hidden by definition of type ChiselException in package chisel3 - - - - - - | => core / Compile / compileIncremental 2s -[warn] import chisel3.internal.ChiselException - - - - - - | => core / Compile / compileIncremental 2s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 2s - - - - - - | => core / Compile / compileIncremental 2s - - - - - - | => core / Compile / compileIncremental 2s - - - - - - | => core / Compile / compileIncremental 2s - - - - - - | => core / Compile / compileIncremental 2s - - - - - - | => core / Compile / compileIncremental 2s - - - - - - | => core / Compile / compileIncremental 2s - - - - - - | => core / Compile / compileIncremental 2s - - - - - - | => core / Compile / compileIncremental 3s - - - - - - | => core / Compile / compileIncremental 3s - - - - - - | => core / Compile / compileIncremental 3s - - - - - - | => core / Compile / compileIncremental 3s - - - - - - | => core / Compile / compileIncremental 3s - - - - - - | => core / Compile / compileIncremental 3s - - - - - - | => core / Compile / compileIncremental 3s - - - - - - | => core / Compile / compileIncremental 3s - - - - - - | => core / Compile / compileIncremental 3s - - - - - - | => core / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/StrongEnum.scala:185:27: inferred existential type Option[chisel3.Vec[_]]( forSome { type _ <: chisel3.Data }), which cannot be expressed by wildcards, should be enabled - - - - - - | => core / Compile / compileIncremental 4s -[warn] by making the implicit value scala.language.existentials visible. - - - - - - | => core / Compile / compileIncremental 4s -[warn] This can be achieved by adding the import clause 'import scala.language.existentials' - - - - - - | => core / Compile / compileIncremental 4s -[warn] or by setting the compiler option -language:existentials. - - - - - - | => core / Compile / compileIncremental 4s -[warn] See the Scaladoc for value scala.language.existentials for a discussion - - - - - - | => core / Compile / compileIncremental 4s -[warn] why the feature should be explicitly enabled. - - - - - - | => core / Compile / compileIncremental 4s -[warn]  val currentVecOpt = d match { - - - - - - | => core / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 4s - - - - - - | => core / Compile / compileIncremental 4s - - - - - - | => core / Compile / compileIncremental 4s - - - - - - | => core / Compile / compileIncremental 4s - - - - - - | => core / Compile / compileIncremental 4s - - - - - - | => core / Compile / compileIncremental 4s - - - - - - | => core / Compile / compileIncremental 4s - - - - - - | => core / Compile / compileIncremental 4s - - - - - - | => core / Compile / compileIncremental 4s - - - - - - | => core / Compile / compileIncremental 4s - - - - - - | => core / Compile / compileIncremental 5s - - - - - - | => core / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Aggregate.scala:231:42: match may not be exhaustive. - - - - - - | => core / Compile / compileIncremental 5s -[warn] It would fail on the following input: Empty - - - - - - | => core / Compile / compileIncremental 5s -[warn]  val reconstructedResolvedDirection = direction match { - - - - - - | => core / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Aggregate.scala:333:15: match may not be exhaustive. - - - - - - | => core / Compile / compileIncremental 5s -[warn] It would fail on the following input: Empty - - - - - - | => core / Compile / compileIncremental 5s -[warn]  elts.head.direction match { - - - - - - | => core / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Aggregate.scala:510:65: match may not be exhaustive. - - - - - - | => core / Compile / compileIncremental 5s -[warn] It would fail on the following inputs: (Data(), _), (Element(), Record()), (Element(), _), (Record(), Element()), (Record(), _), (_, Data()), (_, Element()), (_, Record()), (_, _) - - - - - - | => core / Compile / compileIncremental 5s -[warn]  def getMatchedFields(x: Data, y: Data): Seq[(Data, Data)] = (x, y) match { - - - - - - | => core / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Aggregate.scala:561:24: match may not be exhaustive. - - - - - - | => core / Compile / compileIncremental 5s -[warn] It would fail on the following input: BundleLitBinding(_) - - - - - - | => core / Compile / compileIncremental 5s -[warn]  val litArg = valueBinding match { - - - - - - | => core / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 5s - - - - - - | => core / Compile / compileIncremental 5s - - - - - - | => core / Compile / compileIncremental 5s - - - - - - | => core / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Bits.scala:2183:21: match may not be exhaustive. - - - - - - | => core / Compile / compileIncremental 5s -[warn] It would fail on the following inputs: (??, _), (Closed(_), _), (Open(_), _), (_, ??), (_, Closed(_)), (_, Open(_)), (_, _) - - - - - - | => core / Compile / compileIncremental 5s -[warn]  val inRange = (range.lowerBound, range.upperBound) match { - - - - - - | => core / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 5s - - - - - - | => core / Compile / compileIncremental 5s - - - - - - | => core / Compile / compileIncremental 5s - - - - - - | => core / Compile / compileIncremental 5s - - - - - - | => core / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/StrongEnum.scala:171:60: match may not be exhaustive. - - - - - - | => core / Compile / compileIncremental 5s -[warn] It would fail on the following input: Record() - - - - - - | => core / Compile / compileIncremental 5s -[warn]  private def enumFields(d: Aggregate): Seq[Seq[String]] = d match { - - - - - - | => core / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 5s - - - - - - | => core / Compile / compileIncremental 5s - - - - - - | => core / Compile / compileIncremental 6s - - - - - - | => core / Compile / compileIncremental 6s - - - - - - | => core / Compile / compileIncremental 6s - - - - - - | => core / Compile / compileIncremental 6s - - - - - - | => core / Compile / compileIncremental 6s - - - - - - | => core / Compile / compileIncremental 6s - - - - - - | => core / Compile / compileIncremental 6s - - - - - - | => core / Compile / compileIncremental 6s - - - - - - | => core / Compile / compileIncremental 6s - - - - - - | => core / Compile / compileIncremental 6s - - - - - - | => core / Compile / compileIncremental 7s - - - - - - | => core / Compile / compileIncremental 7s - - - - - - | => core / Compile / compileIncremental 7s - - - - - - | => core / Compile / compileIncremental 7s - - - - - - | => core / Compile / compileIncremental 7s - - - - - - | => core / Compile / compileIncremental 7s - - - - - - | => core / Compile / compileIncremental 7s - - - - - - | => core / Compile / compileIncremental 7s - - - - - - | => core / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Aggregate.scala:64:24: method flatten in class Data is deprecated (since chisel3): pending removal once all instances replaced - - - - - - | => core / Compile / compileIncremental 7s -[warn]  SeqUtils.do_asUInt(flatten.map(_.asUInt())) - - - - - - | => core / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Aggregate.scala:70:15: method flatten in class Data is deprecated (since chisel3): pending removal once all instances replaced - - - - - - | => core / Compile / compileIncremental 7s -[warn]  for (x <- flatten) { - - - - - - | => core / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 7s - - - - - - | => core / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Annotation.scala:38:30: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type - - - - - - | => core / Compile / compileIncremental 7s -[warn]  def toFirrtl: Annotation = Annotation(component.toNamed, transformClass, value) - - - - - - | => core / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Assert.scala:41:57: method lineContent in trait Position is deprecated (since 2.11.0): removed from the public API - - - - - - | => core / Compile / compileIncremental 7s -[warn]  val condStr = s"${p.source.file.name}:${p.line} ${p.lineContent.trim}" - - - - - - | => core / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/Assert.scala:49:57: method lineContent in trait Position is deprecated (since 2.11.0): removed from the public API - - - - - - | => core / Compile / compileIncremental 7s -[warn]  val condStr = s"${p.source.file.name}:${p.line} ${p.lineContent.trim}" - - - - - - | => core / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 7s - - - - - - | => core / Compile / compileIncremental 8s - - - - - - | => core / Compile / compileIncremental 8s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/internal/Namer.scala:84:9: object JavaConversions in package collection is deprecated (since 2.12.0): use JavaConverters - - - - - - | => core / Compile / compileIncremental 8s -[warn]  descendants.getOrElseUpdate(ref, ListBuffer[NamingContext]()) += descendant - - - - - - | => core / Compile / compileIncremental 8s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 8s -[warn] /home/riscvuser/chipyard/tools/chisel3/core/src/main/scala/chisel3/internal/Namer.scala:114:42: object JavaConversions in package collection is deprecated (since 2.12.0): use JavaConverters - - - - - - | => core / Compile / compileIncremental 8s -[warn]  for (descendant <- descendants.values().flatten) { - - - - - - | => core / Compile / compileIncremental 8s -[warn]  ^ - - - - - - | => core / Compile / compileIncremental 8s - - - - - - | => core / Compile / compileIncremental 8s - - - - - - | => core / Compile / compileIncremental 8s - - - - - - | => core / Compile / compileIncremental 8s - - - - - - | => core / Compile / compileIncremental 8s - - - - - - | => core / Compile / compileIncremental 8s - - - - - - | => core / Compile / compileIncremental 8s - - - - - - | => core / Compile / compileIncremental 8s - - - - - - | => core / Compile / compileIncremental 8s - - - - - - | => core / Compile / compileIncremental 9s - - - - - - | => core / Compile / compileIncremental 9s - - - - - - | => core / Compile / compileIncremental 9s - - - - - - | => core / Compile / compileIncremental 9s - - - - - - | => core / Compile / compileIncremental 9s - - - - - - | => core / Compile / compileIncremental 9s - - - - - - | => core / Compile / compileIncremental 9s - - - - - - | => core / Compile / compileIncremental 9s - - - - - - | => core / Compile / compileIncremental 9s - - - - - - | => core / Compile / compileIncremental 9s - - - - - - | => core / Compile / compileIncremental 10s - - - - - - | => core / Compile / compileIncremental 10s - - - - - - | => core / Compile / compileIncremental 10s - - - - - - | => core / Compile / compileIncremental 10s - - - - - - | => core / Compile / compileIncremental 10s - - - - - - | => core / Compile / compileIncremental 10s - - - - - - | => core / Compile / compileIncremental 10s - - - - - - | => core / Compile / compileIncremental 10s - - - - - - | => core / Compile / compileIncremental 10s - - - - - - | => core / Compile / compileIncremental 10s - - - - - - | => core / Compile / compileIncremental 11s - - - - - - | => core / Compile / compileIncremental 11s - - - - - - | => core / Compile / compileIncremental 11s - - - - - - | => core / Compile / compileIncremental 11s - - - - - - | => core / Compile / compileIncremental 11s - - - - - - | => core / Compile / compileIncremental 11s - - - - - - | => core / Compile / compileIncremental 11s - - - - - - | => core / Compile / compileIncremental 11s - - - - - - | => core / Compile / compileIncremental 11s - - - - - - | => core / Compile / compileIncremental 11s - - - - - - | => core / Compile / compileIncremental 12s - - - - - - | => core / Compile / compileIncremental 12s -[warn] 15 warnings found - - - - - - | => core / Compile / compileIncremental 12s - - - - - - | => core / Compile / compileIncremental 12s - - - - - - | => core / Compile / compile 0s - - - - - - | => core / Compile / compile 0s - - - - - - | => core / Compile / compile 0s -[info] Compiling 57 Scala sources to /home/riscvuser/chipyard/tools/chisel3/target/scala-2.12/classes ... - - - - - - | => core / Compile / compile 0s - - - - - - | => core / Compile / packageBin 0s - | => chisel / Compile / compileIncremental 0s - - - - - - - | => chisel / Compile / compileIncremental 0s - - - - - - - | => chisel / Compile / compileIncremental 0s - - - - - - - | => chisel / Compile / compileIncremental 0s - - - - - - - | => chisel / Compile / compileIncremental 0s - - - - - - - | => chisel / Compile / compileIncremental 0s - - - - - - - | => chisel / Compile / compileIncremental 0s - - - - - - - | => chisel / Compile / compileIncremental 0s - - - - - - - | => chisel / Compile / compileIncremental 0s - - - - - - - | => chisel / Compile / compileIncremental 0s - - - - - - - | => chisel / Compile / compileIncremental 1s - - - - - - - | => chisel / Compile / compileIncremental 1s - - - - - - - | => chisel / Compile / compileIncremental 1s - - - - - - - | => chisel / Compile / compileIncremental 1s - - - - - - - | => chisel / Compile / compileIncremental 1s - - - - - - - | => chisel / Compile / compileIncremental 1s - - - - - - - | => chisel / Compile / compileIncremental 1s - - - - - - - | => chisel / Compile / compileIncremental 1s - - - - - - - | => chisel / Compile / compileIncremental 1s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:24:9: inferred existential type (chisel3.internal.firrtl.Circuit, chisel3.stage.DesignAnnotation[_]) forSome { type _ <: chisel3.RawModule }, which cannot be expressed by wildcards, should be enabled - - - - - - | => chisel / Compile / compileIncremental 1s -[warn] by making the implicit value scala.language.existentials visible. - - - - - - | => chisel / Compile / compileIncremental 1s -[warn] This can be achieved by adding the import clause 'import scala.language.existentials' - - - - - - | => chisel / Compile / compileIncremental 1s -[warn] or by setting the compiler option -language:existentials. - - - - - - | => chisel / Compile / compileIncremental 1s -[warn] See the Scaladoc for value scala.language.existentials for a discussion - - - - - - | => chisel / Compile / compileIncremental 1s -[warn] why the feature should be explicitly enabled. - - - - - - | => chisel / Compile / compileIncremental 1s -[warn]  val (circuit, dut) = new chisel3.stage.ChiselGeneratorAnnotation(finishWrapper(t)).elaborate.toSeq match { - - - - - - | => chisel / Compile / compileIncremental 1s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 1s - - - - - - | => chisel / Compile / compileIncremental 2s - - - - - - | => chisel / Compile / compileIncremental 2s - - - - - - | => chisel / Compile / compileIncremental 2s - - - - - - | => chisel / Compile / compileIncremental 2s - - - - - - | => chisel / Compile / compileIncremental 2s - - - - - - | => chisel / Compile / compileIncremental 2s - - - - - - | => chisel / Compile / compileIncremental 2s - - - - - - | => chisel / Compile / compileIncremental 2s - - - - - - | => chisel / Compile / compileIncremental 2s - - - - - - | => chisel / Compile / compileIncremental 2s - - - - - - | => chisel / Compile / compileIncremental 3s - - - - - - | => chisel / Compile / compileIncremental 3s - - - - - - | => chisel / Compile / compileIncremental 3s - - - - - - | => chisel / Compile / compileIncremental 3s - - - - - - | => chisel / Compile / compileIncremental 3s - - - - - - | => chisel / Compile / compileIncremental 3s - - - - - - | => chisel / Compile / compileIncremental 3s - - - - - - | => chisel / Compile / compileIncremental 3s - - - - - - | => chisel / Compile / compileIncremental 3s - - - - - - | => chisel / Compile / compileIncremental 3s - - - - - - | => chisel / Compile / compileIncremental 4s - - - - - - | => chisel / Compile / compileIncremental 4s - - - - - - | => chisel / Compile / compileIncremental 4s - - - - - - | => chisel / Compile / compileIncremental 4s - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/ChiselExecutionOptions.scala:21:44: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ) extends ComposableOptions { - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/ChiselExecutionOptions.scala:30:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  self: ExecutionOptionsManager => - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:49:30: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  val optionsManager = new ExecutionOptionsManager("chisel3") with HasChiselExecutionOptions with HasFirrtlOptions { - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:49:101: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  val optionsManager = new ExecutionOptionsManager("chisel3") with HasChiselExecutionOptions with HasFirrtlOptions { - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:50:23: class CommonOptions in package firrtl is deprecated (since 1.2): Use a FirrtlOptionsView, LoggerOptionsView, or construct your own view of an AnnotationSeq - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  commonOptions = CommonOptions(topName = prefix, targetDirName = dir.getAbsolutePath) - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:51:23: class FirrtlExecutionOptions in package firrtl is deprecated (since 1.2): Use a FirrtlOptionsView or construct your own view of an AnnotationSeq - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  firrtlOptions = FirrtlExecutionOptions(compilerName = "verilog") - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:54:12: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  firrtl.Driver.execute(optionsManager) match { - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:75:55: trait FirrtlExecutionResult in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  firrtlResultOption: Option[FirrtlExecutionResult] - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/Driver.scala:72:12: trait FirrtlExecutionResult in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] case class ChiselExecutionSuccess( - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/aop/AspectLibrary.scala:21:11: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  x.newInstance() - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/aop/injecting/InjectingTransform.scala:14:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  override def inputForm: CircuitForm = ChirrtlForm - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/aop/injecting/InjectingTransform.scala:14:41: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  override def inputForm: CircuitForm = ChirrtlForm - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/aop/injecting/InjectingTransform.scala:15:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  override def outputForm: CircuitForm = ChirrtlForm - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/aop/injecting/InjectingTransform.scala:15:42: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  override def outputForm: CircuitForm = ChirrtlForm - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:396:24: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  val Driver = chisel3.Driver - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:407:28: method elaborate in object Driver is deprecated (since 3.2.4): Use ChiselStage.elaborate or use a ChiselStage class. This will be removed in 3.4. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  val circuit = Driver.elaborate(gen) - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:408:14: method parseArgs in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage with '--target-directory'. This will be removed in 3.4. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  Driver.parseArgs(args) - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:409:41: method targetDir in object Driver is deprecated (since 3.2.2): This has no effect on Chisel3 Driver! This will be removed in 3.4. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  val output_file = new File(Driver.targetDir + "/" + circuit.name + ".fir") - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:410:14: method dumpFirrtl in object Driver is deprecated (since 3.2.4): Migrate to chisel3.stage.ChiselStage. This will be removed in 3.4. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  Driver.dumpFirrtl(circuit, Option(output_file)) - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:475:29: object unless in package util is deprecated (since 3.2): The unless conditional is deprecated, use when(!condition){...} instead - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  val unless = chisel3.util.unless - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/compatibility.scala:564:29: object LFSR16 in package util is deprecated (since 3.2): LFSR16 is deprecated in favor of the parameterized chisel3.util.random.LFSR - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  val LFSR16 = chisel3.util.LFSR16 - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/internal/firrtl/Emitter.scala:189:16: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  res ++= s";${Driver.chiselVersionString}\n" - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/ChiselAnnotations.scala:70:63: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  Class.forName(name).asInstanceOf[Class[_ <: RawModule]].newInstance() - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/package.scala:45:61: method firrtlResultView in object DriverCompatibility is deprecated (since 1.2): FirrtlExecutionResult is deprecated as part of the Stage/Phase refactor. Migrate to FirrtlStage. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  val fResult = firrtl.stage.phases.DriverCompatibility.firrtlResultView(options) - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/phases/Convert.scala:37:75: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  .map { c: Class[_ <: Transform] => RunFirrtlTransformAnnotation(c.newInstance()) } - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/phases/DriverCompatibility.scala:102:14: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  manager: ExecutionOptionsManager with HasChiselExecutionOptions with HasFirrtlOptions) - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/phases/DriverCompatibility.scala:101:31: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  private[chisel3] case class OptionsManagerAnnotation( - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/phases/DriverCompatibility.scala:118:22: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  .collectFirst{ case OptionsManagerAnnotation(a) => a } - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/phases/DriverCompatibility.scala:145:56: class AddImplicitOutputFile in object DriverCompatibility is deprecated (since 1.2): AddImplicitOutputFile should only be used to build Driver compatibility wrappers. Switch to Stage. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  Seq( new firrtl.stage.phases.DriverCompatibility.AddImplicitOutputFile, - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/stage/phases/DriverCompatibility.scala:146:56: class AddImplicitEmitter in object DriverCompatibility is deprecated (since 1.2): AddImplicitEmitter should only be used to build Driver compatibility wrappers. Switch to Stage. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  new firrtl.stage.phases.DriverCompatibility.AddImplicitEmitter ) - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:36:12: method dumpFirrtl in object Driver is deprecated (since 3.2.4): Migrate to chisel3.stage.ChiselStage. This will be removed in 3.4. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  Driver.dumpFirrtl(circuit, Some(new File(fname.toString + ".fir"))) - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:36:5: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  Driver.dumpFirrtl(circuit, Some(new File(fname.toString + ".fir"))) - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:37:32: method toFirrtl in object Driver is deprecated (since 3.2.4): Use ChiselStage.convert or use a ChiselStage class. This will be removed in 3.4. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  val firrtlCircuit = Driver.toFirrtl(circuit) - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:37:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  val firrtlCircuit = Driver.toFirrtl(circuit) - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:55:69: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  .map { transformClass: Class[_ <: Transform] => transformClass.newInstance() } - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:58:30: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  val optionsManager = new ExecutionOptionsManager("chisel3") with HasChiselExecutionOptions with HasFirrtlOptions { - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:58:101: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  val optionsManager = new ExecutionOptionsManager("chisel3") with HasChiselExecutionOptions with HasFirrtlOptions { - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:59:23: class CommonOptions in package firrtl is deprecated (since 1.2): Use a FirrtlOptionsView, LoggerOptionsView, or construct your own view of an AnnotationSeq - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  commonOptions = CommonOptions(topName = target, targetDirName = path.getAbsolutePath) - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:60:23: class FirrtlExecutionOptions in package firrtl is deprecated (since 1.2): Use a FirrtlOptionsView or construct your own view of an AnnotationSeq - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  firrtlOptions = FirrtlExecutionOptions(compilerName = "verilog", annotations = resolvedAnnotations, - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/testers/TesterDriver.scala:64:12: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  firrtl.Driver.execute(optionsManager) match { - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/util/experimental/LoadMemoryTransform.scala:128:18: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  def inputForm: CircuitForm = LowForm - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/util/experimental/LoadMemoryTransform.scala:128:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  def inputForm: CircuitForm = LowForm - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/util/experimental/LoadMemoryTransform.scala:129:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  def outputForm: CircuitForm = LowForm - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/chisel3/src/main/scala/chisel3/util/experimental/LoadMemoryTransform.scala:129:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  def outputForm: CircuitForm = LowForm - - - - - - | => chisel / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => chisel / Compile / compileIncremental 4s - - - - - - | => chisel / Compile / compileIncremental 4s - - - - - - | => chisel / Compile / compileIncremental 4s - - - - - - | => chisel / Compile / compileIncremental 4s - - - - - - | => chisel / Compile / compileIncremental 5s - - - - - - | => chisel / Compile / compileIncremental 5s - - - - - - | => chisel / Compile / compileIncremental 5s - - - - - - | => chisel / Compile / compileIncremental 5s - - - - - - | => chisel / Compile / compileIncremental 5s - - - - - - | => chisel / Compile / compileIncremental 5s - - - - - - | => chisel / Compile / compileIncremental 5s - - - - - - | => chisel / Compile / compileIncremental 5s - - - - - - | => chisel / Compile / compileIncremental 5s - - - - - - | => chisel / Compile / compileIncremental 5s - - - - - - | => chisel / Compile / compileIncremental 6s - - - - - - | => chisel / Compile / compileIncremental 6s - - - - - - | => chisel / Compile / compileIncremental 6s - - - - - - | => chisel / Compile / compileIncremental 6s - - - - - - | => chisel / Compile / compileIncremental 6s - - - - - - | => chisel / Compile / compileIncremental 6s - - - - - - | => chisel / Compile / compileIncremental 6s - - - - - - | => chisel / Compile / compileIncremental 6s - - - - - - | => chisel / Compile / compileIncremental 6s - - - - - - | => chisel / Compile / compileIncremental 6s - - - - - - | => chisel / Compile / compileIncremental 7s - - - - - - | => chisel / Compile / compileIncremental 7s -[warn] 45 warnings found - - - - - - | => chisel / Compile / compileIncremental 7s - - - - - - | => chisel / Compile / compile 0s - - - - - - | => chisel / Compile / compile 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - | => chisel / Compile / compile 0s -[info] Compiling 1 Scala source to /home/riscvuser/chipyard/sims/firesim/sim/midas/targetutils/target/scala-2.12/classes ... - - - - - - | => chisel / Compile / compile 0s - - - - - - | => chisel / Compile / packageBin 0s - | => targetutils / Compile / compileIncremental 0s -[info] Non-compiled module 'compiler-bridge_2.12' for Scala 2.12.4. Compiling... - - - - - - | => chisel / Compile / packageBin 0s - | => targetutils / Compile / compileIncremental 0s - - - - - - - | => targetutils / Compile / compileIncremental 0s - - - - - - - | => targetutils / Compile / compileIncremental 0s - - - - - - - | => targetutils / Compile / compileIncremental 0s - - - - - - - | => targetutils / Compile / compileIncremental 0s - - - - - - - | => targetutils / Compile / compileIncremental 0s - - - - - - - | => targetutils / Compile / compileIncremental 0s - - - - - - - | => targetutils / Compile / compileIncremental 0s - - - - - - - | => targetutils / Compile / compileIncremental 0s - - - - - - - | => targetutils / Compile / compileIncremental 0s - - - - - - - | => targetutils / Compile / compileIncremental 1s - - - - - - - | => targetutils / Compile / compileIncremental 1s - - - - - - - | => targetutils / Compile / compileIncremental 1s - - - - - - - | => targetutils / Compile / compileIncremental 1s - - - - - - - | => targetutils / Compile / compileIncremental 1s - - - - - - - | => targetutils / Compile / compileIncremental 1s - - - - - - - | => targetutils / Compile / compileIncremental 1s - - - - - - - | => targetutils / Compile / compileIncremental 1s - - - - - - - | => targetutils / Compile / compileIncremental 1s - - - - - - - | => targetutils / Compile / compileIncremental 1s - - - - - - - | => targetutils / Compile / compileIncremental 2s - - - - - - - | => targetutils / Compile / compileIncremental 2s - - - - - - - | => targetutils / Compile / compileIncremental 2s - - - - - - - | => targetutils / Compile / compileIncremental 2s - - - - - - - | => targetutils / Compile / compileIncremental 2s - - - - - - - | => targetutils / Compile / compileIncremental 2s - - - - - - - | => targetutils / Compile / compileIncremental 2s - - - - - - - | => targetutils / Compile / compileIncremental 2s - - - - - - - | => targetutils / Compile / compileIncremental 2s - - - - - - - | => targetutils / Compile / compileIncremental 2s - - - - - - - | => targetutils / Compile / compileIncremental 3s - - - - - - - | => targetutils / Compile / compileIncremental 3s - - - - - - - | => targetutils / Compile / compileIncremental 3s - - - - - - - | => targetutils / Compile / compileIncremental 3s - - - - - - - | => targetutils / Compile / compileIncremental 3s - - - - - - - | => targetutils / Compile / compileIncremental 3s - - - - - - - | => targetutils / Compile / compileIncremental 3s - - - - - - - | => targetutils / Compile / compileIncremental 3s - - - - - - - | => targetutils / Compile / compileIncremental 3s - - - - - - - | => targetutils / Compile / compileIncremental 3s - - - - - - - | => targetutils / Compile / compileIncremental 4s - - - - - - - | => targetutils / Compile / compileIncremental 4s - - - - - - - | => targetutils / Compile / compileIncremental 4s - - - - - - - | => targetutils / Compile / compileIncremental 4s - - - - - - - | => targetutils / Compile / compileIncremental 4s - - - - - - - | => targetutils / Compile / compileIncremental 4s - - - - - - - | => targetutils / Compile / compileIncremental 4s - - - - - - - | => targetutils / Compile / compileIncremental 4s - - - - - - - | => targetutils / Compile / compileIncremental 4s - - - - - - - | => targetutils / Compile / compileIncremental 4s - - - - - - - | => targetutils / Compile / compileIncremental 5s - - - - - - - | => targetutils / Compile / compileIncremental 5s - - - - - - - | => targetutils / Compile / compileIncremental 5s - - - - - - - | => targetutils / Compile / compileIncremental 5s - - - - - - - | => targetutils / Compile / compileIncremental 5s - - - - - - - | => targetutils / Compile / compileIncremental 5s - - - - - - - | => targetutils / Compile / compileIncremental 5s - - - - - - - | => targetutils / Compile / compileIncremental 5s - - - - - - - | => targetutils / Compile / compileIncremental 5s - - - - - - - | => targetutils / Compile / compileIncremental 5s - - - - - - - | => targetutils / Compile / compileIncremental 6s - - - - - - - | => targetutils / Compile / compileIncremental 6s - - - - - - - | => targetutils / Compile / compileIncremental 6s - - - - - - - | => targetutils / Compile / compileIncremental 6s - - - - - - - | => targetutils / Compile / compileIncremental 6s - - - - - - - | => targetutils / Compile / compileIncremental 6s - - - - - - - | => targetutils / Compile / compileIncremental 6s - - - - - - - | => targetutils / Compile / compileIncremental 6s - - - - - - - | => targetutils / Compile / compileIncremental 6s - - - - - - - | => targetutils / Compile / compileIncremental 6s - - - - - - - | => targetutils / Compile / compileIncremental 7s - - - - - - - | => targetutils / Compile / compileIncremental 7s - - - - - - - | => targetutils / Compile / compileIncremental 7s - - - - - - - | => targetutils / Compile / compileIncremental 7s - - - - - - - | => targetutils / Compile / compileIncremental 7s - - - - - - - | => targetutils / Compile / compileIncremental 7s - - - - - - - | => targetutils / Compile / compileIncremental 7s - - - - - - - | => targetutils / Compile / compileIncremental 7s - - - - - - - | => targetutils / Compile / compileIncremental 7s - - - - - - - | => targetutils / Compile / compileIncremental 7s - - - - - - - | => targetutils / Compile / compileIncremental 8s - - - - - - - | => targetutils / Compile / compileIncremental 8s - - - - - - - | => targetutils / Compile / compileIncremental 8s - - - - - - - | => targetutils / Compile / compileIncremental 8s - - - - - - - | => targetutils / Compile / compileIncremental 8s - - - - - - - | => targetutils / Compile / compileIncremental 8s - - - - - - - | => targetutils / Compile / compileIncremental 8s - - - - - - - | => targetutils / Compile / compileIncremental 8s - - - - - - - | => targetutils / Compile / compileIncremental 8s - - - - - - - | => targetutils / Compile / compileIncremental 9s - - - - - - - | => targetutils / Compile / compileIncremental 9s - - - - - - - | => targetutils / Compile / compileIncremental 9s - - - - - - - | => targetutils / Compile / compileIncremental 9s - - - - - - - | => targetutils / Compile / compileIncremental 9s - - - - - - - | => targetutils / Compile / compileIncremental 9s - - - - - - - | => targetutils / Compile / compileIncremental 9s - - - - - - - | => targetutils / Compile / compileIncremental 9s - - - - - - - | => targetutils / Compile / compileIncremental 9s - - - - - - - | => targetutils / Compile / compileIncremental 9s - - - - - - - | => targetutils / Compile / compileIncremental 10s - - - - - - - | => targetutils / Compile / compileIncremental 10s - - - - - - - | => targetutils / Compile / compileIncremental 10s - - - - - - - | => targetutils / Compile / compileIncremental 10s - - - - - - - | => targetutils / Compile / compileIncremental 10s - - - - - - - | => targetutils / Compile / compileIncremental 10s - - - - - - - | => targetutils / Compile / compileIncremental 10s - - - - - - - | => targetutils / Compile / compileIncremental 10s - - - - - - - | => targetutils / Compile / compileIncremental 10s - - - - - - - | => targetutils / Compile / compileIncremental 10s - - - - - - - | => targetutils / Compile / compileIncremental 11s - - - - - - - | => targetutils / Compile / compileIncremental 11s - - - - - - - | => targetutils / Compile / compileIncremental 11s - - - - - - - | => targetutils / Compile / compileIncremental 11s - - - - - - - | => targetutils / Compile / compileIncremental 11s - - - - - - - | => targetutils / Compile / compileIncremental 11s - - - - - - - | => targetutils / Compile / compileIncremental 11s - - - - - - - | => targetutils / Compile / compileIncremental 11s - - - - - - - | => targetutils / Compile / compileIncremental 11s - - - - - - - | => targetutils / Compile / compileIncremental 11s - - - - - - - | => targetutils / Compile / compileIncremental 12s - - - - - - - | => targetutils / Compile / compileIncremental 12s - - - - - - - | => targetutils / Compile / compileIncremental 12s - - - - - - - | => targetutils / Compile / compileIncremental 12s - - - - - - - | => targetutils / Compile / compileIncremental 12s - - - - - - - | => targetutils / Compile / compileIncremental 12s - - - - - - - | => targetutils / Compile / compileIncremental 12s - - - - - - - | => targetutils / Compile / compileIncremental 12s - - - - - - - | => targetutils / Compile / compileIncremental 12s - - - - - - - | => targetutils / Compile / compileIncremental 12s - - - - - - - | => targetutils / Compile / compileIncremental 13s - - - - - - - | => targetutils / Compile / compileIncremental 13s - - - - - - - | => targetutils / Compile / compileIncremental 13s - - - - - - - | => targetutils / Compile / compileIncremental 13s - - - - - - - | => targetutils / Compile / compileIncremental 13s - - - - - - - | => targetutils / Compile / compileIncremental 13s - - - - - - - | => targetutils / Compile / compileIncremental 13s -[info]  Compilation completed in 13.551s. - - - - - - | => targetutils / Compile / compileIncremental 13s - - - - - - | => targetutils / Compile / compileIncremental 13s - - - - - - | => targetutils / Compile / compileIncremental 13s - - - - - - | => targetutils / Compile / compileIncremental 13s - - - - - - | => targetutils / Compile / compileIncremental 14s - - - - - - | => targetutils / Compile / compileIncremental 14s - - - - - - | => targetutils / Compile / compileIncremental 14s - - - - - - | => targetutils / Compile / compileIncremental 14s - - - - - - | => targetutils / Compile / compileIncremental 14s - - - - - - | => targetutils / Compile / compileIncremental 14s - - - - - - | => targetutils / Compile / compileIncremental 14s - - - - - - | => targetutils / Compile / compileIncremental 14s - - - - - - | => targetutils / Compile / compileIncremental 14s - - - - - - | => targetutils / Compile / compileIncremental 14s - - - - - - | => targetutils / Compile / compileIncremental 15s - - - - - - | => targetutils / Compile / compileIncremental 15s - - - - - - | => targetutils / Compile / compileIncremental 15s - - - - - - | => targetutils / Compile / compileIncremental 15s - - - - - - | => targetutils / Compile / compileIncremental 15s - - - - - - | => targetutils / Compile / compileIncremental 15s - - - - - - | => targetutils / Compile / compileIncremental 15s - - - - - - | => targetutils / Compile / compileIncremental 15s - - - - - - | => targetutils / Compile / compileIncremental 15s - - - - - - | => targetutils / Compile / compileIncremental 15s - - - - - - | => targetutils / Compile / compileIncremental 16s - - - - - - | => targetutils / Compile / compileIncremental 16s - - - - - - | => targetutils / Compile / compileIncremental 16s - - - - - - | => targetutils / Compile / compileIncremental 16s - - - - - - | => targetutils / Compile / compileIncremental 16s - - - - - - | => targetutils / Compile / compileIncremental 16s - - - - - - | => targetutils / Compile / packageBin 0s -[info] Compiling 27 Scala sources to /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/target/scala-2.12/classes ... - - - - - - | => targetutils / Compile / packageBin 0s - - - - - - | => hardfloat / Compile / compileIncremental 0s -[info] Non-compiled module 'compiler-bridge_2.12' for Scala 2.12.8. Compiling... - - - - - - | => hardfloat / Compile / compileIncremental 0s - - - - - - | => hardfloat / Compile / compileIncremental 0s - - - - - - | => hardfloat / Compile / compileIncremental 0s - - - - - - | => hardfloat / Compile / compileIncremental 0s - - - - - - | => hardfloat / Compile / compileIncremental 0s - - - - - - | => hardfloat / Compile / compileIncremental 0s - - - - - - | => hardfloat / Compile / compileIncremental 0s - - - - - - | => hardfloat / Compile / compileIncremental 0s - - - - - - | => hardfloat / Compile / compileIncremental 0s - - - - - - | => hardfloat / Compile / compileIncremental 0s - - - - - - | => hardfloat / Compile / compileIncremental 1s - - - - - - | => hardfloat / Compile / compileIncremental 1s - - - - - - | => hardfloat / Compile / compileIncremental 1s - - - - - - | => hardfloat / Compile / compileIncremental 1s - - - - - - | => hardfloat / Compile / compileIncremental 1s - - - - - - | => hardfloat / Compile / compileIncremental 1s - - - - - - | => hardfloat / Compile / compileIncremental 1s - - - - - - | => hardfloat / Compile / compileIncremental 1s - - - - - - | => hardfloat / Compile / compileIncremental 1s - - - - - - | => hardfloat / Compile / compileIncremental 1s - - - - - - | => hardfloat / Compile / compileIncremental 2s - - - - - - | => hardfloat / Compile / compileIncremental 2s - - - - - - | => hardfloat / Compile / compileIncremental 2s - - - - - - | => hardfloat / Compile / compileIncremental 2s - - - - - - | => hardfloat / Compile / compileIncremental 2s - - - - - - | => hardfloat / Compile / compileIncremental 2s - - - - - - | => hardfloat / Compile / compileIncremental 2s - - - - - - | => hardfloat / Compile / compileIncremental 2s - - - - - - | => hardfloat / Compile / compileIncremental 2s - - - - - - | => hardfloat / Compile / compileIncremental 2s - - - - - - | => hardfloat / Compile / compileIncremental 3s - - - - - - | => hardfloat / Compile / compileIncremental 3s - - - - - - | => hardfloat / Compile / compileIncremental 3s - - - - - - | => hardfloat / Compile / compileIncremental 3s - - - - - - | => hardfloat / Compile / compileIncremental 3s - - - - - - | => hardfloat / Compile / compileIncremental 3s - - - - - - | => hardfloat / Compile / compileIncremental 3s - - - - - - | => hardfloat / Compile / compileIncremental 3s - - - - - - | => hardfloat / Compile / compileIncremental 3s - - - - - - | => hardfloat / Compile / compileIncremental 3s - - - - - - | => hardfloat / Compile / compileIncremental 4s - - - - - - | => hardfloat / Compile / compileIncremental 4s - - - - - - | => hardfloat / Compile / compileIncremental 4s - - - - - - | => hardfloat / Compile / compileIncremental 4s - - - - - - | => hardfloat / Compile / compileIncremental 4s - - - - - - | => hardfloat / Compile / compileIncremental 4s - - - - - - | => hardfloat / Compile / compileIncremental 4s - - - - - - | => hardfloat / Compile / compileIncremental 4s - - - - - - | => hardfloat / Compile / compileIncremental 4s - - - - - - | => hardfloat / Compile / compileIncremental 5s - - - - - - | => hardfloat / Compile / compileIncremental 5s - - - - - - | => hardfloat / Compile / compileIncremental 5s - - - - - - | => hardfloat / Compile / compileIncremental 5s - - - - - - | => hardfloat / Compile / compileIncremental 5s - - - - - - | => hardfloat / Compile / compileIncremental 5s - - - - - - | => hardfloat / Compile / compileIncremental 5s - - - - - - | => hardfloat / Compile / compileIncremental 5s - - - - - - | => hardfloat / Compile / compileIncremental 5s - - - - - - | => hardfloat / Compile / compileIncremental 6s - - - - - - | => hardfloat / Compile / compileIncremental 6s - - - - - - | => hardfloat / Compile / compileIncremental 6s - - - - - - | => hardfloat / Compile / compileIncremental 6s - - - - - - | => hardfloat / Compile / compileIncremental 6s - - - - - - | => hardfloat / Compile / compileIncremental 6s - - - - - - | => hardfloat / Compile / compileIncremental 6s - - - - - - | => hardfloat / Compile / compileIncremental 6s - - - - - - | => hardfloat / Compile / compileIncremental 6s - - - - - - | => hardfloat / Compile / compileIncremental 6s - - - - - - | => hardfloat / Compile / compileIncremental 7s - - - - - - | => hardfloat / Compile / compileIncremental 7s - - - - - - | => hardfloat / Compile / compileIncremental 7s - - - - - - | => hardfloat / Compile / compileIncremental 7s - - - - - - | => hardfloat / Compile / compileIncremental 7s - - - - - - | => hardfloat / Compile / compileIncremental 7s - - - - - - | => hardfloat / Compile / compileIncremental 7s - - - - - - | => hardfloat / Compile / compileIncremental 7s - - - - - - | => hardfloat / Compile / compileIncremental 7s - - - - - - | => hardfloat / Compile / compileIncremental 7s - - - - - - | => hardfloat / Compile / compileIncremental 8s - - - - - - | => hardfloat / Compile / compileIncremental 8s - - - - - - | => hardfloat / Compile / compileIncremental 8s - - - - - - | => hardfloat / Compile / compileIncremental 8s - - - - - - | => hardfloat / Compile / compileIncremental 8s - - - - - - | => hardfloat / Compile / compileIncremental 8s - - - - - - | => hardfloat / Compile / compileIncremental 8s - - - - - - | => hardfloat / Compile / compileIncremental 8s - - - - - - | => hardfloat / Compile / compileIncremental 8s - - - - - - | => hardfloat / Compile / compileIncremental 8s - - - - - - | => hardfloat / Compile / compileIncremental 9s - - - - - - | => hardfloat / Compile / compileIncremental 9s - - - - - - | => hardfloat / Compile / compileIncremental 9s - - - - - - | => hardfloat / Compile / compileIncremental 9s - - - - - - | => hardfloat / Compile / compileIncremental 9s - - - - - - | => hardfloat / Compile / compileIncremental 9s - - - - - - | => hardfloat / Compile / compileIncremental 9s - - - - - - | => hardfloat / Compile / compileIncremental 9s - - - - - - | => hardfloat / Compile / compileIncremental 9s - - - - - - | => hardfloat / Compile / compileIncremental 9s - - - - - - | => hardfloat / Compile / compileIncremental 10s - - - - - - | => hardfloat / Compile / compileIncremental 10s - - - - - - | => hardfloat / Compile / compileIncremental 10s - - - - - - | => hardfloat / Compile / compileIncremental 10s - - - - - - | => hardfloat / Compile / compileIncremental 10s - - - - - - | => hardfloat / Compile / compileIncremental 10s - - - - - - | => hardfloat / Compile / compileIncremental 10s - - - - - - | => hardfloat / Compile / compileIncremental 10s - - - - - - | => hardfloat / Compile / compileIncremental 10s - - - - - - | => hardfloat / Compile / compileIncremental 10s - - - - - - | => hardfloat / Compile / compileIncremental 11s - - - - - - | => hardfloat / Compile / compileIncremental 11s - - - - - - | => hardfloat / Compile / compileIncremental 11s - - - - - - | => hardfloat / Compile / compileIncremental 11s - - - - - - | => hardfloat / Compile / compileIncremental 11s - - - - - - | => hardfloat / Compile / compileIncremental 11s - - - - - - | => hardfloat / Compile / compileIncremental 11s - - - - - - | => hardfloat / Compile / compileIncremental 11s - - - - - - | => hardfloat / Compile / compileIncremental 11s - - - - - - | => hardfloat / Compile / compileIncremental 12s - - - - - - | => hardfloat / Compile / compileIncremental 12s - - - - - - | => hardfloat / Compile / compileIncremental 12s - - - - - - | => hardfloat / Compile / compileIncremental 12s - - - - - - | => hardfloat / Compile / compileIncremental 12s - - - - - - | => hardfloat / Compile / compileIncremental 12s - - - - - - | => hardfloat / Compile / compileIncremental 12s - - - - - - | => hardfloat / Compile / compileIncremental 12s - - - - - - | => hardfloat / Compile / compileIncremental 12s - - - - - - | => hardfloat / Compile / compileIncremental 12s - - - - - - | => hardfloat / Compile / compileIncremental 13s - - - - - - | => hardfloat / Compile / compileIncremental 13s - - - - - - | => hardfloat / Compile / compileIncremental 13s - - - - - - | => hardfloat / Compile / compileIncremental 13s - - - - - - | => hardfloat / Compile / compileIncremental 13s - - - - - - | => hardfloat / Compile / compileIncremental 13s - - - - - - | => hardfloat / Compile / compileIncremental 13s - - - - - - | => hardfloat / Compile / compileIncremental 13s - - - - - - | => hardfloat / Compile / compileIncremental 13s - - - - - - | => hardfloat / Compile / compileIncremental 13s - - - - - - | => hardfloat / Compile / compileIncremental 14s - - - - - - | => hardfloat / Compile / compileIncremental 14s - - - - - - | => hardfloat / Compile / compileIncremental 14s - - - - - - | => hardfloat / Compile / compileIncremental 14s - - - - - - | => hardfloat / Compile / compileIncremental 14s -[info]  Compilation completed in 14.398s. - - - - - - | => hardfloat / Compile / compileIncremental 14s - - - - - - | => hardfloat / Compile / compileIncremental 14s - - - - - - | => hardfloat / Compile / compileIncremental 14s - - - - - - | => hardfloat / Compile / compileIncremental 14s - - - - - - | => hardfloat / Compile / compileIncremental 14s - - - - - - | => hardfloat / Compile / compileIncremental 14s - - - - - - | => hardfloat / Compile / compileIncremental 15s - - - - - - | => hardfloat / Compile / compileIncremental 15s - - - - - - | => hardfloat / Compile / compileIncremental 15s - - - - - - | => hardfloat / Compile / compileIncremental 15s - - - - - - | => hardfloat / Compile / compileIncremental 15s - - - - - - | => hardfloat / Compile / compileIncremental 15s - - - - - - | => hardfloat / Compile / compileIncremental 15s - - - - - - | => hardfloat / Compile / compileIncremental 15s - - - - - - | => hardfloat / Compile / compileIncremental 15s - - - - - - | => hardfloat / Compile / compileIncremental 15s - - - - - - | => hardfloat / Compile / compileIncremental 16s - - - - - - | => hardfloat / Compile / compileIncremental 16s - - - - - - | => hardfloat / Compile / compileIncremental 16s - - - - - - | => hardfloat / Compile / compileIncremental 16s - - - - - - | => hardfloat / Compile / compileIncremental 16s - - - - - - | => hardfloat / Compile / compileIncremental 16s - - - - - - | => hardfloat / Compile / compileIncremental 16s - - - - - - | => hardfloat / Compile / compileIncremental 16s - - - - - - | => hardfloat / Compile / compileIncremental 16s - - - - - - | => hardfloat / Compile / compileIncremental 16s - - - - - - | => hardfloat / Compile / compileIncremental 17s - - - - - - | => hardfloat / Compile / compileIncremental 17s - - - - - - | => hardfloat / Compile / compileIncremental 17s - - - - - - | => hardfloat / Compile / compileIncremental 17s - - - - - - | => hardfloat / Compile / compileIncremental 17s - - - - - - | => hardfloat / Compile / compileIncremental 17s - - - - - - | => hardfloat / Compile / compileIncremental 17s - - - - - - | => hardfloat / Compile / compileIncremental 17s - - - - - - | => hardfloat / Compile / compileIncremental 17s - - - - - - | => hardfloat / Compile / compileIncremental 17s - - - - - - | => hardfloat / Compile / compileIncremental 18s - - - - - - | => hardfloat / Compile / compileIncremental 18s - - - - - - | => hardfloat / Compile / compileIncremental 18s - - - - - - | => hardfloat / Compile / compileIncremental 18s - - - - - - | => hardfloat / Compile / compileIncremental 18s - - - - - - | => hardfloat / Compile / compileIncremental 18s - - - - - - | => hardfloat / Compile / compileIncremental 18s - - - - - - | => hardfloat / Compile / compileIncremental 18s - - - - - - | => hardfloat / Compile / compileIncremental 18s - - - - - - | => hardfloat / Compile / compileIncremental 18s - - - - - - | => hardfloat / Compile / compileIncremental 19s - - - - - - | => hardfloat / Compile / compileIncremental 19s - - - - - - | => hardfloat / Compile / compileIncremental 19s - - - - - - | => hardfloat / Compile / compileIncremental 19s - - - - - - | => hardfloat / Compile / compileIncremental 19s - - - - - - | => hardfloat / Compile / compileIncremental 19s - - - - - - | => hardfloat / Compile / compileIncremental 19s - - - - - - | => hardfloat / Compile / compileIncremental 19s - - - - - - | => hardfloat / Compile / compileIncremental 19s - - - - - - | => hardfloat / Compile / compileIncremental 19s - - - - - - | => hardfloat / Compile / compileIncremental 20s - - - - - - | => hardfloat / Compile / compileIncremental 20s - - - - - - | => hardfloat / Compile / compileIncremental 20s - - - - - - | => hardfloat / Compile / compileIncremental 20s - - - - - - | => hardfloat / Compile / compileIncremental 20s - - - - - - | => hardfloat / Compile / compileIncremental 20s - - - - - - | => hardfloat / Compile / compileIncremental 20s - - - - - - | => hardfloat / Compile / compileIncremental 20s - - - - - - | => hardfloat / Compile / compileIncremental 20s - - - - - - | => hardfloat / Compile / compileIncremental 20s - - - - - - | => hardfloat / Compile / compileIncremental 21s - - - - - - | => hardfloat / Compile / compileIncremental 21s - - - - - - | => hardfloat / Compile / compileIncremental 21s - - - - - - | => hardfloat / Compile / compileIncremental 21s - - - - - - | => hardfloat / Compile / compileIncremental 21s - - - - - - | => hardfloat / Compile / compileIncremental 21s - - - - - - | => hardfloat / Compile / compileIncremental 21s - - - - - - | => hardfloat / Compile / compileIncremental 21s - - - - - - | => hardfloat / Compile / compileIncremental 21s - - - - - - | => hardfloat / Compile / compileIncremental 21s - - - - - - | => hardfloat / Compile / compileIncremental 22s - - - - - - | => hardfloat / Compile / compileIncremental 22s - - - - - - | => hardfloat / Compile / compileIncremental 22s - - - - - - | => hardfloat / Compile / compileIncremental 22s - - - - - - | => hardfloat / Compile / compileIncremental 22s - - - - - - | => hardfloat / Compile / compileIncremental 22s - - - - - - | => hardfloat / Compile / compileIncremental 22s - - - - - - | => hardfloat / Compile / compileIncremental 22s - - - - - - | => hardfloat / Compile / compileIncremental 22s - - - - - - | => hardfloat / Compile / compileIncremental 22s - - - - - - | => hardfloat / Compile / compileIncremental 23s - - - - - - | => hardfloat / Compile / compileIncremental 23s - - - - - - | => hardfloat / Compile / compileIncremental 23s - - - - - - | => hardfloat / Compile / compileIncremental 23s - - - - - - | => hardfloat / Compile / compileIncremental 23s - - - - - - | => hardfloat / Compile / compileIncremental 23s - - - - - - | => hardfloat / Compile / compileIncremental 23s - - - - - - | => hardfloat / Compile / compileIncremental 23s - - - - - - | => hardfloat / Compile / compileIncremental 23s - - - - - - | => hardfloat / Compile / compileIncremental 23s - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:62:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_f16FromRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:62:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_f16FromRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:64:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_f32FromRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:64:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_f32FromRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:66:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_f64FromRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:66:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_f64FromRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:68:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI32ToRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:68:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI32ToRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:70:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI32ToRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:70:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI32ToRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:72:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI32ToRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:72:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI32ToRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:74:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI64ToRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:74:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI64ToRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:76:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI64ToRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:76:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI64ToRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:78:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI64ToRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:78:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_UI64ToRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:80:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I32ToRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:80:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I32ToRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:82:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I32ToRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:82:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I32ToRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:84:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I32ToRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:84:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I32ToRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:86:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I64ToRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:86:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I64ToRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:88:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I64ToRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:88:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I64ToRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:90:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I64ToRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:90:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_I64ToRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:92:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToUI32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:92:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToUI32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:94:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToUI64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:94:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToUI64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:96:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToUI32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:96:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToUI32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:98:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToUI64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:98:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToUI64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:100:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToUI32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:100:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToUI32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:102:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToUI64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:102:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToUI64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:104:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToI32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:104:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToI32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:106:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToI64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:106:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToI64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:108:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToI32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:108:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToI32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:110:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToI64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:110:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToI64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:112:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToI32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:112:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToI32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:114:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToI64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:114:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToI64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:116:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:116:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:118:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:118:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF16ToRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:120:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:120:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:122:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:122:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF32ToRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:124:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:124:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:126:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:126:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_RecF64ToRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:128:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:128:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:131:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:131:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:134:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_MulAddRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:134:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_MulAddRecF16) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:136:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:136:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:139:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:139:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:142:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_MulAddRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:142:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_MulAddRecF32) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:144:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:144:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:147:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:147:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:150:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_MulAddRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:150:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute(testArgs, () => new ValExec_MulAddRecF64) - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:152:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:152:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:156:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:156:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:161:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:161:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:165:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:165:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:170:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:170:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:174:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:174:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:179:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:179:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:182:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:182:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:185:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:185:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:188:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:188:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:191:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:191:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:194:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:194:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:197:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:197:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:200:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:200:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:203:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:203:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:206:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:206:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:209:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/hardfloat/src/main/scala/tests.scala:209:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  chisel3.Driver.execute( - - - - - - | => hardfloat / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => hardfloat / Compile / compileIncremental 24s - - - - - - | => hardfloat / Compile / compileIncremental 24s - - - - - - | => hardfloat / Compile / compileIncremental 24s - - - - - - | => hardfloat / Compile / compileIncremental 24s - - - - - - | => hardfloat / Compile / compileIncremental 24s - - - - - - | => hardfloat / Compile / compileIncremental 24s - - - - - - | => hardfloat / Compile / compileIncremental 24s - - - - - - | => hardfloat / Compile / compileIncremental 24s - - - - - - | => hardfloat / Compile / compileIncremental 24s - - - - - - | => hardfloat / Compile / compileIncremental 25s - - - - - - | => hardfloat / Compile / compileIncremental 25s - - - - - - | => hardfloat / Compile / compileIncremental 25s - - - - - - | => hardfloat / Compile / compileIncremental 25s - - - - - - | => hardfloat / Compile / compileIncremental 25s - - - - - - | => hardfloat / Compile / compileIncremental 25s - - - - - - | => hardfloat / Compile / compileIncremental 25s - - - - - - | => hardfloat / Compile / compileIncremental 25s - - - - - - | => hardfloat / Compile / compileIncremental 25s - - - - - - | => hardfloat / Compile / compileIncremental 25s - - - - - - | => hardfloat / Compile / compileIncremental 26s - - - - - - | => hardfloat / Compile / compileIncremental 26s - - - - - - | => hardfloat / Compile / compileIncremental 26s - - - - - - | => hardfloat / Compile / compileIncremental 26s - - - - - - | => hardfloat / Compile / compileIncremental 26s - - - - - - | => hardfloat / Compile / compileIncremental 26s - - - - - - | => hardfloat / Compile / compileIncremental 26s - - - - - - | => hardfloat / Compile / compileIncremental 26s - - - - - - | => hardfloat / Compile / compileIncremental 26s - - - - - - | => hardfloat / Compile / compileIncremental 26s - - - - - - | => hardfloat / Compile / compileIncremental 27s - - - - - - | => hardfloat / Compile / compileIncremental 27s - - - - - - | => hardfloat / Compile / compileIncremental 27s - - - - - - | => hardfloat / Compile / compileIncremental 27s - - - - - - | => hardfloat / Compile / compileIncremental 27s - - - - - - | => hardfloat / Compile / compileIncremental 27s - - - - - - | => hardfloat / Compile / compileIncremental 27s - - - - - - | => hardfloat / Compile / compileIncremental 27s - - - - - - | => hardfloat / Compile / compileIncremental 27s - - - - - - | => hardfloat / Compile / compileIncremental 27s - - - - - - | => hardfloat / Compile / compileIncremental 28s - - - - - - | => hardfloat / Compile / compileIncremental 28s - - - - - - | => hardfloat / Compile / compileIncremental 28s - - - - - - | => hardfloat / Compile / compileIncremental 28s - - - - - - | => hardfloat / Compile / compileIncremental 28s - - - - - - | => hardfloat / Compile / compileIncremental 28s - - - - - - | => hardfloat / Compile / compileIncremental 28s -[warn] there were 890 feature warnings; re-run with -feature for details - - - - - - | => hardfloat / Compile / compileIncremental 28s -[warn] 119 warnings found - - - - - - | => hardfloat / Compile / compileIncremental 28s - - - - - - | => hardfloat / Compile / compile 0s - - - - - - | => hardfloat / Compile / packageBin 0s -[info] Compiling 345 Scala sources to /home/riscvuser/chipyard/generators/rocket-chip/src/target/scala-2.12/classes ... - - - - - - | => hardfloat / Compile / packageBin 0s - - - - - - | => rocketchip / Compile / compileIncremental 0s - - - - - - | => rocketchip / Compile / compileIncremental 0s - - - - - - | => rocketchip / Compile / compileIncremental 0s - - - - - - | => rocketchip / Compile / compileIncremental 0s - - - - - - | => rocketchip / Compile / compileIncremental 0s - - - - - - | => rocketchip / Compile / compileIncremental 0s - - - - - - | => rocketchip / Compile / compileIncremental 0s - - - - - - | => rocketchip / Compile / compileIncremental 0s - - - - - - | => rocketchip / Compile / compileIncremental 0s - - - - - - | => rocketchip / Compile / compileIncremental 0s - - - - - - | => rocketchip / Compile / compileIncremental 1s - - - - - - | => rocketchip / Compile / compileIncremental 1s - - - - - - | => rocketchip / Compile / compileIncremental 1s - - - - - - | => rocketchip / Compile / compileIncremental 1s - - - - - - | => rocketchip / Compile / compileIncremental 1s - - - - - - | => rocketchip / Compile / compileIncremental 1s - - - - - - | => rocketchip / Compile / compileIncremental 1s - - - - - - | => rocketchip / Compile / compileIncremental 1s - - - - - - | => rocketchip / Compile / compileIncremental 1s - - - - - - | => rocketchip / Compile / compileIncremental 1s - - - - - - | => rocketchip / Compile / compileIncremental 2s - - - - - - | => rocketchip / Compile / compileIncremental 2s - - - - - - | => rocketchip / Compile / compileIncremental 2s - - - - - - | => rocketchip / Compile / compileIncremental 2s - - - - - - | => rocketchip / Compile / compileIncremental 2s - - - - - - | => rocketchip / Compile / compileIncremental 2s - - - - - - | => rocketchip / Compile / compileIncremental 2s - - - - - - | => rocketchip / Compile / compileIncremental 2s - - - - - - | => rocketchip / Compile / compileIncremental 2s - - - - - - | => rocketchip / Compile / compileIncremental 3s - - - - - - | => rocketchip / Compile / compileIncremental 3s - - - - - - | => rocketchip / Compile / compileIncremental 3s - - - - - - | => rocketchip / Compile / compileIncremental 3s - - - - - - | => rocketchip / Compile / compileIncremental 3s - - - - - - | => rocketchip / Compile / compileIncremental 3s - - - - - - | => rocketchip / Compile / compileIncremental 3s - - - - - - | => rocketchip / Compile / compileIncremental 3s - - - - - - | => rocketchip / Compile / compileIncremental 3s - - - - - - | => rocketchip / Compile / compileIncremental 3s - - - - - - | => rocketchip / Compile / compileIncremental 4s - - - - - - | => rocketchip / Compile / compileIncremental 4s - - - - - - | => rocketchip / Compile / compileIncremental 4s - - - - - - | => rocketchip / Compile / compileIncremental 4s - - - - - - | => rocketchip / Compile / compileIncremental 4s - - - - - - | => rocketchip / Compile / compileIncremental 4s - - - - - - | => rocketchip / Compile / compileIncremental 4s - - - - - - | => rocketchip / Compile / compileIncremental 4s - - - - - - | => rocketchip / Compile / compileIncremental 4s - - - - - - | => rocketchip / Compile / compileIncremental 4s - - - - - - | => rocketchip / Compile / compileIncremental 5s - - - - - - | => rocketchip / Compile / compileIncremental 5s - - - - - - | => rocketchip / Compile / compileIncremental 5s - - - - - - | => rocketchip / Compile / compileIncremental 5s - - - - - - | => rocketchip / Compile / compileIncremental 5s - - - - - - | => rocketchip / Compile / compileIncremental 5s - - - - - - | => rocketchip / Compile / compileIncremental 5s - - - - - - | => rocketchip / Compile / compileIncremental 5s - - - - - - | => rocketchip / Compile / compileIncremental 5s - - - - - - | => rocketchip / Compile / compileIncremental 5s - - - - - - | => rocketchip / Compile / compileIncremental 6s - - - - - - | => rocketchip / Compile / compileIncremental 6s - - - - - - | => rocketchip / Compile / compileIncremental 6s - - - - - - | => rocketchip / Compile / compileIncremental 6s - - - - - - | => rocketchip / Compile / compileIncremental 6s - - - - - - | => rocketchip / Compile / compileIncremental 6s - - - - - - | => rocketchip / Compile / compileIncremental 6s - - - - - - | => rocketchip / Compile / compileIncremental 6s - - - - - - | => rocketchip / Compile / compileIncremental 6s - - - - - - | => rocketchip / Compile / compileIncremental 6s - - - - - - | => rocketchip / Compile / compileIncremental 7s - - - - - - | => rocketchip / Compile / compileIncremental 7s - - - - - - | => rocketchip / Compile / compileIncremental 7s - - - - - - | => rocketchip / Compile / compileIncremental 7s - - - - - - | => rocketchip / Compile / compileIncremental 7s - - - - - - | => rocketchip / Compile / compileIncremental 7s - - - - - - | => rocketchip / Compile / compileIncremental 7s - - - - - - | => rocketchip / Compile / compileIncremental 7s - - - - - - | => rocketchip / Compile / compileIncremental 7s - - - - - - | => rocketchip / Compile / compileIncremental 7s - - - - - - | => rocketchip / Compile / compileIncremental 8s - - - - - - | => rocketchip / Compile / compileIncremental 8s - - - - - - | => rocketchip / Compile / compileIncremental 8s - - - - - - | => rocketchip / Compile / compileIncremental 8s - - - - - - | => rocketchip / Compile / compileIncremental 8s - - - - - - | => rocketchip / Compile / compileIncremental 8s - - - - - - | => rocketchip / Compile / compileIncremental 8s - - - - - - | => rocketchip / Compile / compileIncremental 8s - - - - - - | => rocketchip / Compile / compileIncremental 8s - - - - - - | => rocketchip / Compile / compileIncremental 8s - - - - - - | => rocketchip / Compile / compileIncremental 9s - - - - - - | => rocketchip / Compile / compileIncremental 9s - - - - - - | => rocketchip / Compile / compileIncremental 9s - - - - - - | => rocketchip / Compile / compileIncremental 9s - - - - - - | => rocketchip / Compile / compileIncremental 9s - - - - - - | => rocketchip / Compile / compileIncremental 9s - - - - - - | => rocketchip / Compile / compileIncremental 9s - - - - - - | => rocketchip / Compile / compileIncremental 9s - - - - - - | => rocketchip / Compile / compileIncremental 9s - - - - - - | => rocketchip / Compile / compileIncremental 9s - - - - - - | => rocketchip / Compile / compileIncremental 10s - - - - - - | => rocketchip / Compile / compileIncremental 10s - - - - - - | => rocketchip / Compile / compileIncremental 10s - - - - - - | => rocketchip / Compile / compileIncremental 10s - - - - - - | => rocketchip / Compile / compileIncremental 10s - - - - - - | => rocketchip / Compile / compileIncremental 10s - - - - - - | => rocketchip / Compile / compileIncremental 10s - - - - - - | => rocketchip / Compile / compileIncremental 10s - - - - - - | => rocketchip / Compile / compileIncremental 10s - - - - - - | => rocketchip / Compile / compileIncremental 10s - - - - - - | => rocketchip / Compile / compileIncremental 11s - - - - - - | => rocketchip / Compile / compileIncremental 11s - - - - - - | => rocketchip / Compile / compileIncremental 11s - - - - - - | => rocketchip / Compile / compileIncremental 11s - - - - - - | => rocketchip / Compile / compileIncremental 11s - - - - - - | => rocketchip / Compile / compileIncremental 11s - - - - - - | => rocketchip / Compile / compileIncremental 11s - - - - - - | => rocketchip / Compile / compileIncremental 11s - - - - - - | => rocketchip / Compile / compileIncremental 11s - - - - - - | => rocketchip / Compile / compileIncremental 11s - - - - - - | => rocketchip / Compile / compileIncremental 12s - - - - - - | => rocketchip / Compile / compileIncremental 12s - - - - - - | => rocketchip / Compile / compileIncremental 12s - - - - - - | => rocketchip / Compile / compileIncremental 12s - - - - - - | => rocketchip / Compile / compileIncremental 12s - - - - - - | => rocketchip / Compile / compileIncremental 12s - - - - - - | => rocketchip / Compile / compileIncremental 12s - - - - - - | => rocketchip / Compile / compileIncremental 12s - - - - - - | => rocketchip / Compile / compileIncremental 12s - - - - - - | => rocketchip / Compile / compileIncremental 12s - - - - - - | => rocketchip / Compile / compileIncremental 13s - - - - - - | => rocketchip / Compile / compileIncremental 13s - - - - - - | => rocketchip / Compile / compileIncremental 13s - - - - - - | => rocketchip / Compile / compileIncremental 13s - - - - - - | => rocketchip / Compile / compileIncremental 13s - - - - - - | => rocketchip / Compile / compileIncremental 13s - - - - - - | => rocketchip / Compile / compileIncremental 13s - - - - - - | => rocketchip / Compile / compileIncremental 13s - - - - - - | => rocketchip / Compile / compileIncremental 13s - - - - - - | => rocketchip / Compile / compileIncremental 13s - - - - - - | => rocketchip / Compile / compileIncremental 14s - - - - - - | => rocketchip / Compile / compileIncremental 14s - - - - - - | => rocketchip / Compile / compileIncremental 14s - - - - - - | => rocketchip / Compile / compileIncremental 14s - - - - - - | => rocketchip / Compile / compileIncremental 14s - - - - - - | => rocketchip / Compile / compileIncremental 14s - - - - - - | => rocketchip / Compile / compileIncremental 14s - - - - - - | => rocketchip / Compile / compileIncremental 14s - - - - - - | => rocketchip / Compile / compileIncremental 14s - - - - - - | => rocketchip / Compile / compileIncremental 14s - - - - - - | => rocketchip / Compile / compileIncremental 15s - - - - - - | => rocketchip / Compile / compileIncremental 15s - - - - - - | => rocketchip / Compile / compileIncremental 15s - - - - - - | => rocketchip / Compile / compileIncremental 15s - - - - - - | => rocketchip / Compile / compileIncremental 15s - - - - - - | => rocketchip / Compile / compileIncremental 15s - - - - - - | => rocketchip / Compile / compileIncremental 15s - - - - - - | => rocketchip / Compile / compileIncremental 15s - - - - - - | => rocketchip / Compile / compileIncremental 15s - - - - - - | => rocketchip / Compile / compileIncremental 15s - - - - - - | => rocketchip / Compile / compileIncremental 16s - - - - - - | => rocketchip / Compile / compileIncremental 16s - - - - - - | => rocketchip / Compile / compileIncremental 16s - - - - - - | => rocketchip / Compile / compileIncremental 16s - - - - - - | => rocketchip / Compile / compileIncremental 16s - - - - - - | => rocketchip / Compile / compileIncremental 16s - - - - - - | => rocketchip / Compile / compileIncremental 16s - - - - - - | => rocketchip / Compile / compileIncremental 16s - - - - - - | => rocketchip / Compile / compileIncremental 16s - - - - - - | => rocketchip / Compile / compileIncremental 16s - - - - - - | => rocketchip / Compile / compileIncremental 17s - - - - - - | => rocketchip / Compile / compileIncremental 17s - - - - - - | => rocketchip / Compile / compileIncremental 17s - - - - - - | => rocketchip / Compile / compileIncremental 17s - - - - - - | => rocketchip / Compile / compileIncremental 17s - - - - - - | => rocketchip / Compile / compileIncremental 17s - - - - - - | => rocketchip / Compile / compileIncremental 17s - - - - - - | => rocketchip / Compile / compileIncremental 17s - - - - - - | => rocketchip / Compile / compileIncremental 17s - - - - - - | => rocketchip / Compile / compileIncremental 17s - - - - - - | => rocketchip / Compile / compileIncremental 18s - - - - - - | => rocketchip / Compile / compileIncremental 18s - - - - - - | => rocketchip / Compile / compileIncremental 18s - - - - - - | => rocketchip / Compile / compileIncremental 18s - - - - - - | => rocketchip / Compile / compileIncremental 18s - - - - - - | => rocketchip / Compile / compileIncremental 18s - - - - - - | => rocketchip / Compile / compileIncremental 18s - - - - - - | => rocketchip / Compile / compileIncremental 18s - - - - - - | => rocketchip / Compile / compileIncremental 18s - - - - - - | => rocketchip / Compile / compileIncremental 18s - - - - - - | => rocketchip / Compile / compileIncremental 19s - - - - - - | => rocketchip / Compile / compileIncremental 19s - - - - - - | => rocketchip / Compile / compileIncremental 19s - - - - - - | => rocketchip / Compile / compileIncremental 19s - - - - - - | => rocketchip / Compile / compileIncremental 19s - - - - - - | => rocketchip / Compile / compileIncremental 19s - - - - - - | => rocketchip / Compile / compileIncremental 19s - - - - - - | => rocketchip / Compile / compileIncremental 19s - - - - - - | => rocketchip / Compile / compileIncremental 19s - - - - - - | => rocketchip / Compile / compileIncremental 19s - - - - - - | => rocketchip / Compile / compileIncremental 20s - - - - - - | => rocketchip / Compile / compileIncremental 20s - - - - - - | => rocketchip / Compile / compileIncremental 20s - - - - - - | => rocketchip / Compile / compileIncremental 20s - - - - - - | => rocketchip / Compile / compileIncremental 20s - - - - - - | => rocketchip / Compile / compileIncremental 20s - - - - - - | => rocketchip / Compile / compileIncremental 20s - - - - - - | => rocketchip / Compile / compileIncremental 20s - - - - - - | => rocketchip / Compile / compileIncremental 20s - - - - - - | => rocketchip / Compile / compileIncremental 20s - - - - - - | => rocketchip / Compile / compileIncremental 21s - - - - - - | => rocketchip / Compile / compileIncremental 21s - - - - - - | => rocketchip / Compile / compileIncremental 21s - - - - - - | => rocketchip / Compile / compileIncremental 21s - - - - - - | => rocketchip / Compile / compileIncremental 21s - - - - - - | => rocketchip / Compile / compileIncremental 21s - - - - - - | => rocketchip / Compile / compileIncremental 21s - - - - - - | => rocketchip / Compile / compileIncremental 21s - - - - - - | => rocketchip / Compile / compileIncremental 21s - - - - - - | => rocketchip / Compile / compileIncremental 21s - - - - - - | => rocketchip / Compile / compileIncremental 22s - - - - - - | => rocketchip / Compile / compileIncremental 22s - - - - - - | => rocketchip / Compile / compileIncremental 22s - - - - - - | => rocketchip / Compile / compileIncremental 22s - - - - - - | => rocketchip / Compile / compileIncremental 22s - - - - - - | => rocketchip / Compile / compileIncremental 22s - - - - - - | => rocketchip / Compile / compileIncremental 22s - - - - - - | => rocketchip / Compile / compileIncremental 22s - - - - - - | => rocketchip / Compile / compileIncremental 22s - - - - - - | => rocketchip / Compile / compileIncremental 22s - - - - - - | => rocketchip / Compile / compileIncremental 23s - - - - - - | => rocketchip / Compile / compileIncremental 23s - - - - - - | => rocketchip / Compile / compileIncremental 23s - - - - - - | => rocketchip / Compile / compileIncremental 23s - - - - - - | => rocketchip / Compile / compileIncremental 23s - - - - - - | => rocketchip / Compile / compileIncremental 23s - - - - - - | => rocketchip / Compile / compileIncremental 23s - - - - - - | => rocketchip / Compile / compileIncremental 23s - - - - - - | => rocketchip / Compile / compileIncremental 23s - - - - - - | => rocketchip / Compile / compileIncremental 23s - - - - - - | => rocketchip / Compile / compileIncremental 24s - - - - - - | => rocketchip / Compile / compileIncremental 24s - - - - - - | => rocketchip / Compile / compileIncremental 24s - - - - - - | => rocketchip / Compile / compileIncremental 24s - - - - - - | => rocketchip / Compile / compileIncremental 24s - - - - - - | => rocketchip / Compile / compileIncremental 24s - - - - - - | => rocketchip / Compile / compileIncremental 24s - - - - - - | => rocketchip / Compile / compileIncremental 24s - - - - - - | => rocketchip / Compile / compileIncremental 24s - - - - - - | => rocketchip / Compile / compileIncremental 25s - - - - - - | => rocketchip / Compile / compileIncremental 25s - - - - - - | => rocketchip / Compile / compileIncremental 25s - - - - - - | => rocketchip / Compile / compileIncremental 25s - - - - - - | => rocketchip / Compile / compileIncremental 25s - - - - - - | => rocketchip / Compile / compileIncremental 25s - - - - - - | => rocketchip / Compile / compileIncremental 25s - - - - - - | => rocketchip / Compile / compileIncremental 25s - - - - - - | => rocketchip / Compile / compileIncremental 25s - - - - - - | => rocketchip / Compile / compileIncremental 25s - - - - - - | => rocketchip / Compile / compileIncremental 26s - - - - - - | => rocketchip / Compile / compileIncremental 26s - - - - - - | => rocketchip / Compile / compileIncremental 26s - - - - - - | => rocketchip / Compile / compileIncremental 26s - - - - - - | => rocketchip / Compile / compileIncremental 26s - - - - - - | => rocketchip / Compile / compileIncremental 26s - - - - - - | => rocketchip / Compile / compileIncremental 26s - - - - - - | => rocketchip / Compile / compileIncremental 26s - - - - - - | => rocketchip / Compile / compileIncremental 26s - - - - - - | => rocketchip / Compile / compileIncremental 26s - - - - - - | => rocketchip / Compile / compileIncremental 27s - - - - - - | => rocketchip / Compile / compileIncremental 27s - - - - - - | => rocketchip / Compile / compileIncremental 27s - - - - - - | => rocketchip / Compile / compileIncremental 27s - - - - - - | => rocketchip / Compile / compileIncremental 27s - - - - - - | => rocketchip / Compile / compileIncremental 27s - - - - - - | => rocketchip / Compile / compileIncremental 27s - - - - - - | => rocketchip / Compile / compileIncremental 27s - - - - - - | => rocketchip / Compile / compileIncremental 27s - - - - - - | => rocketchip / Compile / compileIncremental 27s - - - - - - | => rocketchip / Compile / compileIncremental 28s - - - - - - | => rocketchip / Compile / compileIncremental 28s - - - - - - | => rocketchip / Compile / compileIncremental 28s - - - - - - | => rocketchip / Compile / compileIncremental 28s - - - - - - | => rocketchip / Compile / compileIncremental 28s - - - - - - | => rocketchip / Compile / compileIncremental 28s - - - - - - | => rocketchip / Compile / compileIncremental 28s - - - - - - | => rocketchip / Compile / compileIncremental 28s - - - - - - | => rocketchip / Compile / compileIncremental 28s - - - - - - | => rocketchip / Compile / compileIncremental 28s - - - - - - | => rocketchip / Compile / compileIncremental 29s - - - - - - | => rocketchip / Compile / compileIncremental 29s - - - - - - | => rocketchip / Compile / compileIncremental 29s - - - - - - | => rocketchip / Compile / compileIncremental 29s - - - - - - | => rocketchip / Compile / compileIncremental 29s - - - - - - | => rocketchip / Compile / compileIncremental 29s - - - - - - | => rocketchip / Compile / compileIncremental 29s - - - - - - | => rocketchip / Compile / compileIncremental 29s - - - - - - | => rocketchip / Compile / compileIncremental 29s - - - - - - | => rocketchip / Compile / compileIncremental 29s - - - - - - | => rocketchip / Compile / compileIncremental 30s - - - - - - | => rocketchip / Compile / compileIncremental 30s - - - - - - | => rocketchip / Compile / compileIncremental 30s - - - - - - | => rocketchip / Compile / compileIncremental 30s - - - - - - | => rocketchip / Compile / compileIncremental 30s - - - - - - | => rocketchip / Compile / compileIncremental 30s - - - - - - | => rocketchip / Compile / compileIncremental 30s - - - - - - | => rocketchip / Compile / compileIncremental 30s - - - - - - | => rocketchip / Compile / compileIncremental 30s - - - - - - | => rocketchip / Compile / compileIncremental 30s - - - - - - | => rocketchip / Compile / compileIncremental 31s - - - - - - | => rocketchip / Compile / compileIncremental 31s - - - - - - | => rocketchip / Compile / compileIncremental 31s - - - - - - | => rocketchip / Compile / compileIncremental 31s - - - - - - | => rocketchip / Compile / compileIncremental 31s - - - - - - | => rocketchip / Compile / compileIncremental 31s - - - - - - | => rocketchip / Compile / compileIncremental 31s - - - - - - | => rocketchip / Compile / compileIncremental 31s - - - - - - | => rocketchip / Compile / compileIncremental 31s - - - - - - | => rocketchip / Compile / compileIncremental 31s - - - - - - | => rocketchip / Compile / compileIncremental 32s - - - - - - | => rocketchip / Compile / compileIncremental 32s - - - - - - | => rocketchip / Compile / compileIncremental 32s - - - - - - | => rocketchip / Compile / compileIncremental 32s - - - - - - | => rocketchip / Compile / compileIncremental 32s - - - - - - | => rocketchip / Compile / compileIncremental 32s - - - - - - | => rocketchip / Compile / compileIncremental 32s - - - - - - | => rocketchip / Compile / compileIncremental 32s - - - - - - | => rocketchip / Compile / compileIncremental 32s - - - - - - | => rocketchip / Compile / compileIncremental 32s - - - - - - | => rocketchip / Compile / compileIncremental 33s - - - - - - | => rocketchip / Compile / compileIncremental 33s - - - - - - | => rocketchip / Compile / compileIncremental 33s - - - - - - | => rocketchip / Compile / compileIncremental 33s - - - - - - | => rocketchip / Compile / compileIncremental 33s - - - - - - | => rocketchip / Compile / compileIncremental 33s - - - - - - | => rocketchip / Compile / compileIncremental 33s - - - - - - | => rocketchip / Compile / compileIncremental 33s - - - - - - | => rocketchip / Compile / compileIncremental 33s - - - - - - | => rocketchip / Compile / compileIncremental 33s - - - - - - | => rocketchip / Compile / compileIncremental 34s - - - - - - | => rocketchip / Compile / compileIncremental 34s - - - - - - | => rocketchip / Compile / compileIncremental 34s - - - - - - | => rocketchip / Compile / compileIncremental 34s - - - - - - | => rocketchip / Compile / compileIncremental 34s - - - - - - | => rocketchip / Compile / compileIncremental 34s - - - - - - | => rocketchip / Compile / compileIncremental 34s - - - - - - | => rocketchip / Compile / compileIncremental 34s - - - - - - | => rocketchip / Compile / compileIncremental 34s - - - - - - | => rocketchip / Compile / compileIncremental 34s - - - - - - | => rocketchip / Compile / compileIncremental 35s - - - - - - | => rocketchip / Compile / compileIncremental 35s - - - - - - | => rocketchip / Compile / compileIncremental 35s - - - - - - | => rocketchip / Compile / compileIncremental 35s - - - - - - | => rocketchip / Compile / compileIncremental 35s - - - - - - | => rocketchip / Compile / compileIncremental 35s - - - - - - | => rocketchip / Compile / compileIncremental 35s - - - - - - | => rocketchip / Compile / compileIncremental 35s - - - - - - | => rocketchip / Compile / compileIncremental 35s - - - - - - | => rocketchip / Compile / compileIncremental 35s - - - - - - | => rocketchip / Compile / compileIncremental 36s - - - - - - | => rocketchip / Compile / compileIncremental 36s - - - - - - | => rocketchip / Compile / compileIncremental 36s - - - - - - | => rocketchip / Compile / compileIncremental 36s - - - - - - | => rocketchip / Compile / compileIncremental 36s - - - - - - | => rocketchip / Compile / compileIncremental 36s - - - - - - | => rocketchip / Compile / compileIncremental 36s - - - - - - | => rocketchip / Compile / compileIncremental 36s - - - - - - | => rocketchip / Compile / compileIncremental 36s - - - - - - | => rocketchip / Compile / compileIncremental 37s - - - - - - | => rocketchip / Compile / compileIncremental 37s - - - - - - | => rocketchip / Compile / compileIncremental 37s - - - - - - | => rocketchip / Compile / compileIncremental 37s - - - - - - | => rocketchip / Compile / compileIncremental 37s - - - - - - | => rocketchip / Compile / compileIncremental 37s - - - - - - | => rocketchip / Compile / compileIncremental 37s - - - - - - | => rocketchip / Compile / compileIncremental 37s - - - - - - | => rocketchip / Compile / compileIncremental 37s - - - - - - | => rocketchip / Compile / compileIncremental 37s - - - - - - | => rocketchip / Compile / compileIncremental 38s - - - - - - | => rocketchip / Compile / compileIncremental 38s - - - - - - | => rocketchip / Compile / compileIncremental 38s - - - - - - | => rocketchip / Compile / compileIncremental 38s - - - - - - | => rocketchip / Compile / compileIncremental 38s - - - - - - | => rocketchip / Compile / compileIncremental 38s - - - - - - | => rocketchip / Compile / compileIncremental 38s - - - - - - | => rocketchip / Compile / compileIncremental 38s - - - - - - | => rocketchip / Compile / compileIncremental 38s - - - - - - | => rocketchip / Compile / compileIncremental 38s - - - - - - | => rocketchip / Compile / compileIncremental 39s - - - - - - | => rocketchip / Compile / compileIncremental 39s - - - - - - | => rocketchip / Compile / compileIncremental 39s - - - - - - | => rocketchip / Compile / compileIncremental 39s - - - - - - | => rocketchip / Compile / compileIncremental 39s - - - - - - | => rocketchip / Compile / compileIncremental 39s - - - - - - | => rocketchip / Compile / compileIncremental 39s - - - - - - | => rocketchip / Compile / compileIncremental 39s - - - - - - | => rocketchip / Compile / compileIncremental 39s - - - - - - | => rocketchip / Compile / compileIncremental 39s - - - - - - | => rocketchip / Compile / compileIncremental 40s - - - - - - | => rocketchip / Compile / compileIncremental 40s - - - - - - | => rocketchip / Compile / compileIncremental 40s - - - - - - | => rocketchip / Compile / compileIncremental 40s - - - - - - | => rocketchip / Compile / compileIncremental 40s - - - - - - | => rocketchip / Compile / compileIncremental 40s - - - - - - | => rocketchip / Compile / compileIncremental 40s - - - - - - | => rocketchip / Compile / compileIncremental 40s - - - - - - | => rocketchip / Compile / compileIncremental 40s - - - - - - | => rocketchip / Compile / compileIncremental 40s - - - - - - | => rocketchip / Compile / compileIncremental 41s - - - - - - | => rocketchip / Compile / compileIncremental 41s - - - - - - | => rocketchip / Compile / compileIncremental 41s - - - - - - | => rocketchip / Compile / compileIncremental 41s - - - - - - | => rocketchip / Compile / compileIncremental 41s - - - - - - | => rocketchip / Compile / compileIncremental 41s - - - - - - | => rocketchip / Compile / compileIncremental 41s - - - - - - | => rocketchip / Compile / compileIncremental 41s - - - - - - | => rocketchip / Compile / compileIncremental 41s - - - - - - | => rocketchip / Compile / compileIncremental 41s - - - - - - | => rocketchip / Compile / compileIncremental 42s - - - - - - | => rocketchip / Compile / compileIncremental 42s - - - - - - | => rocketchip / Compile / compileIncremental 42s - - - - - - | => rocketchip / Compile / compileIncremental 42s - - - - - - | => rocketchip / Compile / compileIncremental 42s - - - - - - | => rocketchip / Compile / compileIncremental 42s - - - - - - | => rocketchip / Compile / compileIncremental 42s - - - - - - | => rocketchip / Compile / compileIncremental 42s - - - - - - | => rocketchip / Compile / compileIncremental 42s - - - - - - | => rocketchip / Compile / compileIncremental 42s - - - - - - | => rocketchip / Compile / compileIncremental 43s - - - - - - | => rocketchip / Compile / compileIncremental 43s - - - - - - | => rocketchip / Compile / compileIncremental 43s - - - - - - | => rocketchip / Compile / compileIncremental 43s - - - - - - | => rocketchip / Compile / compileIncremental 43s - - - - - - | => rocketchip / Compile / compileIncremental 43s - - - - - - | => rocketchip / Compile / compileIncremental 43s - - - - - - | => rocketchip / Compile / compileIncremental 43s - - - - - - | => rocketchip / Compile / compileIncremental 43s - - - - - - | => rocketchip / Compile / compileIncremental 43s - - - - - - | => rocketchip / Compile / compileIncremental 44s - - - - - - | => rocketchip / Compile / compileIncremental 44s - - - - - - | => rocketchip / Compile / compileIncremental 44s - - - - - - | => rocketchip / Compile / compileIncremental 44s - - - - - - | => rocketchip / Compile / compileIncremental 44s - - - - - - | => rocketchip / Compile / compileIncremental 44s - - - - - - | => rocketchip / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/src/main/scala/subsystem/InterruptBus.scala:16:36: class IntXing in package interrupts is deprecated (since rocket-chip 1.2): IntXing does not ensure interrupt source is glitch free. Use IntSyncSource and IntSyncSink - - - - - - | => rocketchip / Compile / compileIncremental 44s -[warn]  val asyncXing = LazyModule(new IntXing(sync)) - - - - - - | => rocketchip / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => rocketchip / Compile / compileIncremental 44s - - - - - - | => rocketchip / Compile / compileIncremental 44s - - - - - - | => rocketchip / Compile / compileIncremental 44s - - - - - - | => rocketchip / Compile / compileIncremental 44s - - - - - - | => rocketchip / Compile / compileIncremental 45s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/src/main/scala/tilelink/Monitor.scala:726:11: method legalizeADSourceOld in class TLMonitor is deprecated: Use legalizeADSource instead if possible - - - - - - | => rocketchip / Compile / compileIncremental 45s -[warn]  legalizeADSourceOld(bundle, edge) - - - - - - | => rocketchip / Compile / compileIncremental 45s -[warn]  ^ - - - - - - | => rocketchip / Compile / compileIncremental 45s - - - - - - | => rocketchip / Compile / compileIncremental 45s - - - - - - | => rocketchip / Compile / compileIncremental 45s - - - - - - | => rocketchip / Compile / compileIncremental 45s -[warn] /home/riscvuser/chipyard/generators/rocket-chip/src/main/scala/util/GeneratorUtils.scala:16:36: method newInstance in class Class is deprecated: see corresponding Javadoc for more information. - - - - - - | => rocketchip / Compile / compileIncremental 45s -[warn]  Class.forName(currentName).newInstance.asInstanceOf[Config] - - - - - - | => rocketchip / Compile / compileIncremental 45s -[warn]  ^ - - - - - - | => rocketchip / Compile / compileIncremental 45s - - - - - - | => rocketchip / Compile / compileIncremental 45s - - - - - - | => rocketchip / Compile / compileIncremental 45s - - - - - - | => rocketchip / Compile / compileIncremental 45s - - - - - - | => rocketchip / Compile / compileIncremental 45s - - - - - - | => rocketchip / Compile / compileIncremental 45s - - - - - - | => rocketchip / Compile / compileIncremental 45s - - - - - - | => rocketchip / Compile / compileIncremental 46s - - - - - - | => rocketchip / Compile / compileIncremental 46s - - - - - - | => rocketchip / Compile / compileIncremental 46s - - - - - - | => rocketchip / Compile / compileIncremental 46s - - - - - - | => rocketchip / Compile / compileIncremental 46s - - - - - - | => rocketchip / Compile / compileIncremental 46s - - - - - - | => rocketchip / Compile / compileIncremental 46s - - - - - - | => rocketchip / Compile / compileIncremental 46s - - - - - - | => rocketchip / Compile / compileIncremental 46s - - - - - - | => rocketchip / Compile / compileIncremental 46s - - - - - - | => rocketchip / Compile / compileIncremental 47s - - - - - - | => rocketchip / Compile / compileIncremental 47s - - - - - - | => rocketchip / Compile / compileIncremental 47s - - - - - - | => rocketchip / Compile / compileIncremental 47s - - - - - - | => rocketchip / Compile / compileIncremental 47s - - - - - - | => rocketchip / Compile / compileIncremental 47s - - - - - - | => rocketchip / Compile / compileIncremental 47s - - - - - - | => rocketchip / Compile / compileIncremental 47s - - - - - - | => rocketchip / Compile / compileIncremental 47s - - - - - - | => rocketchip / Compile / compileIncremental 48s - - - - - - | => rocketchip / Compile / compileIncremental 48s - - - - - - | => rocketchip / Compile / compileIncremental 48s - - - - - - | => rocketchip / Compile / compileIncremental 48s - - - - - - | => rocketchip / Compile / compileIncremental 48s - - - - - - | => rocketchip / Compile / compileIncremental 48s - - - - - - | => rocketchip / Compile / compileIncremental 48s - - - - - - | => rocketchip / Compile / compileIncremental 48s - - - - - - | => rocketchip / Compile / compileIncremental 48s - - - - - - | => rocketchip / Compile / compileIncremental 48s - - - - - - | => rocketchip / Compile / compileIncremental 49s - - - - - - | => rocketchip / Compile / compileIncremental 49s - - - - - - | => rocketchip / Compile / compileIncremental 49s - - - - - - | => rocketchip / Compile / compileIncremental 49s - - - - - - | => rocketchip / Compile / compileIncremental 49s - - - - - - | => rocketchip / Compile / compileIncremental 49s - - - - - - | => rocketchip / Compile / compileIncremental 49s - - - - - - | => rocketchip / Compile / compileIncremental 49s - - - - - - | => rocketchip / Compile / compileIncremental 49s - - - - - - | => rocketchip / Compile / compileIncremental 49s - - - - - - | => rocketchip / Compile / compileIncremental 50s - - - - - - | => rocketchip / Compile / compileIncremental 50s - - - - - - | => rocketchip / Compile / compileIncremental 50s - - - - - - | => rocketchip / Compile / compileIncremental 50s - - - - - - | => rocketchip / Compile / compileIncremental 50s - - - - - - | => rocketchip / Compile / compileIncremental 50s - - - - - - | => rocketchip / Compile / compileIncremental 50s - - - - - - | => rocketchip / Compile / compileIncremental 50s - - - - - - | => rocketchip / Compile / compileIncremental 50s - - - - - - | => rocketchip / Compile / compileIncremental 50s - - - - - - | => rocketchip / Compile / compileIncremental 51s - - - - - - | => rocketchip / Compile / compileIncremental 51s - - - - - - | => rocketchip / Compile / compileIncremental 51s - - - - - - | => rocketchip / Compile / compileIncremental 51s - - - - - - | => rocketchip / Compile / compileIncremental 51s - - - - - - | => rocketchip / Compile / compileIncremental 51s - - - - - - | => rocketchip / Compile / compileIncremental 51s - - - - - - | => rocketchip / Compile / compileIncremental 51s - - - - - - | => rocketchip / Compile / compileIncremental 51s - - - - - - | => rocketchip / Compile / compileIncremental 51s - - - - - - | => rocketchip / Compile / compileIncremental 52s - - - - - - | => rocketchip / Compile / compileIncremental 52s - - - - - - | => rocketchip / Compile / compileIncremental 52s - - - - - - | => rocketchip / Compile / compileIncremental 52s - - - - - - | => rocketchip / Compile / compileIncremental 52s - - - - - - | => rocketchip / Compile / compileIncremental 52s - - - - - - | => rocketchip / Compile / compileIncremental 52s - - - - - - | => rocketchip / Compile / compileIncremental 52s - - - - - - | => rocketchip / Compile / compileIncremental 52s - - - - - - | => rocketchip / Compile / compileIncremental 52s - - - - - - | => rocketchip / Compile / compileIncremental 53s - - - - - - | => rocketchip / Compile / compileIncremental 53s - - - - - - | => rocketchip / Compile / compileIncremental 53s - - - - - - | => rocketchip / Compile / compileIncremental 53s - - - - - - | => rocketchip / Compile / compileIncremental 53s - - - - - - | => rocketchip / Compile / compileIncremental 53s - - - - - - | => rocketchip / Compile / compileIncremental 53s - - - - - - | => rocketchip / Compile / compileIncremental 53s - - - - - - | => rocketchip / Compile / compileIncremental 53s - - - - - - | => rocketchip / Compile / compileIncremental 53s - - - - - - | => rocketchip / Compile / compileIncremental 54s - - - - - - | => rocketchip / Compile / compileIncremental 54s - - - - - - | => rocketchip / Compile / compileIncremental 54s - - - - - - | => rocketchip / Compile / compileIncremental 54s - - - - - - | => rocketchip / Compile / compileIncremental 54s - - - - - - | => rocketchip / Compile / compileIncremental 54s - - - - - - | => rocketchip / Compile / compileIncremental 54s - - - - - - | => rocketchip / Compile / compileIncremental 54s - - - - - - | => rocketchip / Compile / compileIncremental 54s - - - - - - | => rocketchip / Compile / compileIncremental 54s - - - - - - | => rocketchip / Compile / compileIncremental 55s - - - - - - | => rocketchip / Compile / compileIncremental 55s - - - - - - | => rocketchip / Compile / compileIncremental 55s - - - - - - | => rocketchip / Compile / compileIncremental 55s - - - - - - | => rocketchip / Compile / compileIncremental 55s - - - - - - | => rocketchip / Compile / compileIncremental 55s - - - - - - | => rocketchip / Compile / compileIncremental 55s - - - - - - | => rocketchip / Compile / compileIncremental 55s - - - - - - | => rocketchip / Compile / compileIncremental 55s - - - - - - | => rocketchip / Compile / compileIncremental 55s - - - - - - | => rocketchip / Compile / compileIncremental 56s - - - - - - | => rocketchip / Compile / compileIncremental 56s - - - - - - | => rocketchip / Compile / compileIncremental 56s - - - - - - | => rocketchip / Compile / compileIncremental 56s - - - - - - | => rocketchip / Compile / compileIncremental 56s - - - - - - | => rocketchip / Compile / compileIncremental 56s - - - - - - | => rocketchip / Compile / compileIncremental 56s - - - - - - | => rocketchip / Compile / compileIncremental 56s - - - - - - | => rocketchip / Compile / compileIncremental 56s - - - - - - | => rocketchip / Compile / compileIncremental 56s - - - - - - | => rocketchip / Compile / compileIncremental 57s - - - - - - | => rocketchip / Compile / compileIncremental 57s - - - - - - | => rocketchip / Compile / compileIncremental 57s - - - - - - | => rocketchip / Compile / compileIncremental 57s - - - - - - | => rocketchip / Compile / compileIncremental 57s - - - - - - | => rocketchip / Compile / compileIncremental 57s - - - - - - | => rocketchip / Compile / compileIncremental 57s - - - - - - | => rocketchip / Compile / compileIncremental 57s - - - - - - | => rocketchip / Compile / compileIncremental 57s - - - - - - | => rocketchip / Compile / compileIncremental 57s - - - - - - | => rocketchip / Compile / compileIncremental 58s - - - - - - | => rocketchip / Compile / compileIncremental 58s - - - - - - | => rocketchip / Compile / compileIncremental 58s - - - - - - | => rocketchip / Compile / compileIncremental 58s - - - - - - | => rocketchip / Compile / compileIncremental 58s - - - - - - | => rocketchip / Compile / compileIncremental 58s - - - - - - | => rocketchip / Compile / compileIncremental 58s - - - - - - | => rocketchip / Compile / compileIncremental 58s - - - - - - | => rocketchip / Compile / compileIncremental 58s - - - - - - | => rocketchip / Compile / compileIncremental 58s - - - - - - | => rocketchip / Compile / compileIncremental 59s - - - - - - | => rocketchip / Compile / compileIncremental 59s - - - - - - | => rocketchip / Compile / compileIncremental 59s - - - - - - | => rocketchip / Compile / compileIncremental 59s - - - - - - | => rocketchip / Compile / compileIncremental 59s - - - - - - | => rocketchip / Compile / compileIncremental 59s - - - - - - | => rocketchip / Compile / compileIncremental 59s - - - - - - | => rocketchip / Compile / compileIncremental 59s - - - - - - | => rocketchip / Compile / compileIncremental 59s - - - - - - | => rocketchip / Compile / compileIncremental 59s - - - - - - | => rocketchip / Compile / compileIncremental 60s - - - - - - | => rocketchip / Compile / compileIncremental 60s - - - - - - | => rocketchip / Compile / compileIncremental 60s - - - - - - | => rocketchip / Compile / compileIncremental 60s - - - - - - | => rocketchip / Compile / compileIncremental 60s - - - - - - | => rocketchip / Compile / compileIncremental 60s - - - - - - | => rocketchip / Compile / compileIncremental 60s - - - - - - | => rocketchip / Compile / compileIncremental 60s - - - - - - | => rocketchip / Compile / compileIncremental 60s - - - - - - | => rocketchip / Compile / compileIncremental 60s - - - - - - | => rocketchip / Compile / compileIncremental 61s - - - - - - | => rocketchip / Compile / compileIncremental 61s - - - - - - | => rocketchip / Compile / compileIncremental 61s - - - - - - | => rocketchip / Compile / compileIncremental 61s - - - - - - | => rocketchip / Compile / compileIncremental 61s - - - - - - | => rocketchip / Compile / compileIncremental 61s - - - - - - | => rocketchip / Compile / compileIncremental 61s - - - - - - | => rocketchip / Compile / compileIncremental 61s - - - - - - | => rocketchip / Compile / compileIncremental 61s - - - - - - | => rocketchip / Compile / compileIncremental 61s - - - - - - | => rocketchip / Compile / compileIncremental 62s - - - - - - | => rocketchip / Compile / compileIncremental 62s - - - - - - | => rocketchip / Compile / compileIncremental 62s - - - - - - | => rocketchip / Compile / compileIncremental 62s - - - - - - | => rocketchip / Compile / compileIncremental 62s - - - - - - | => rocketchip / Compile / compileIncremental 62s - - - - - - | => rocketchip / Compile / compileIncremental 62s - - - - - - | => rocketchip / Compile / compileIncremental 62s - - - - - - | => rocketchip / Compile / compileIncremental 62s - - - - - - | => rocketchip / Compile / compileIncremental 62s - - - - - - | => rocketchip / Compile / compileIncremental 63s - - - - - - | => rocketchip / Compile / compileIncremental 63s - - - - - - | => rocketchip / Compile / compileIncremental 63s - - - - - - | => rocketchip / Compile / compileIncremental 63s - - - - - - | => rocketchip / Compile / compileIncremental 63s - - - - - - | => rocketchip / Compile / compileIncremental 63s - - - - - - | => rocketchip / Compile / compileIncremental 63s - - - - - - | => rocketchip / Compile / compileIncremental 63s - - - - - - | => rocketchip / Compile / compileIncremental 63s - - - - - - | => rocketchip / Compile / compileIncremental 64s - - - - - - | => rocketchip / Compile / compileIncremental 64s - - - - - - | => rocketchip / Compile / compileIncremental 64s - - - - - - | => rocketchip / Compile / compileIncremental 64s - - - - - - | => rocketchip / Compile / compileIncremental 64s - - - - - - | => rocketchip / Compile / compileIncremental 64s - - - - - - | => rocketchip / Compile / compileIncremental 64s - - - - - - | => rocketchip / Compile / compileIncremental 64s - - - - - - | => rocketchip / Compile / compileIncremental 64s - - - - - - | => rocketchip / Compile / compileIncremental 64s - - - - - - | => rocketchip / Compile / compileIncremental 65s - - - - - - | => rocketchip / Compile / compileIncremental 65s - - - - - - | => rocketchip / Compile / compileIncremental 65s - - - - - - | => rocketchip / Compile / compileIncremental 65s - - - - - - | => rocketchip / Compile / compileIncremental 65s - - - - - - | => rocketchip / Compile / compileIncremental 65s - - - - - - | => rocketchip / Compile / compileIncremental 65s - - - - - - | => rocketchip / Compile / compileIncremental 65s - - - - - - | => rocketchip / Compile / compileIncremental 65s - - - - - - | => rocketchip / Compile / compileIncremental 65s - - - - - - | => rocketchip / Compile / compileIncremental 66s - - - - - - | => rocketchip / Compile / compileIncremental 66s - - - - - - | => rocketchip / Compile / compileIncremental 66s - - - - - - | => rocketchip / Compile / compileIncremental 66s - - - - - - | => rocketchip / Compile / compileIncremental 66s - - - - - - | => rocketchip / Compile / compileIncremental 66s - - - - - - | => rocketchip / Compile / compileIncremental 66s - - - - - - | => rocketchip / Compile / compileIncremental 66s - - - - - - | => rocketchip / Compile / compileIncremental 66s - - - - - - | => rocketchip / Compile / compileIncremental 66s - - - - - - | => rocketchip / Compile / compileIncremental 67s - - - - - - | => rocketchip / Compile / compileIncremental 67s - - - - - - | => rocketchip / Compile / compileIncremental 67s - - - - - - | => rocketchip / Compile / compileIncremental 67s - - - - - - | => rocketchip / Compile / compileIncremental 67s - - - - - - | => rocketchip / Compile / compileIncremental 67s - - - - - - | => rocketchip / Compile / compileIncremental 67s - - - - - - | => rocketchip / Compile / compileIncremental 67s - - - - - - | => rocketchip / Compile / compileIncremental 67s - - - - - - | => rocketchip / Compile / compileIncremental 67s - - - - - - | => rocketchip / Compile / compileIncremental 68s - - - - - - | => rocketchip / Compile / compileIncremental 68s - - - - - - | => rocketchip / Compile / compileIncremental 68s - - - - - - | => rocketchip / Compile / compileIncremental 68s - - - - - - | => rocketchip / Compile / compileIncremental 68s - - - - - - | => rocketchip / Compile / compileIncremental 68s - - - - - - | => rocketchip / Compile / compileIncremental 68s - - - - - - | => rocketchip / Compile / compileIncremental 68s - - - - - - | => rocketchip / Compile / compileIncremental 68s - - - - - - | => rocketchip / Compile / compileIncremental 68s - - - - - - | => rocketchip / Compile / compileIncremental 69s - - - - - - | => rocketchip / Compile / compileIncremental 69s - - - - - - | => rocketchip / Compile / compileIncremental 69s -[warn] there were 2471 feature warnings; re-run with -feature for details - - - - - - | => rocketchip / Compile / compileIncremental 69s -[warn] four warnings found - - - - - - | => rocketchip / Compile / compileIncremental 69s - - - - - - | => rocketchip / Compile / compileIncremental 69s - - - - - - | => rocketchip / Compile / compileIncremental 69s - - - - - - | => rocketchip / Compile / compileIncremental 69s - - - - - - | => rocketchip / Compile / compileIncremental 69s - - - - - - | => rocketchip / Compile / compileIncremental 69s - - - - - - | => rocketchip / Compile / compileIncremental 69s - - - - - - | => rocketchip / Compile / compileIncremental 69s - - - - - - | => rocketchip / Compile / compile 0s - - - - - - | => rocketchip / Compile / compile 0s - - - - - - | => rocketchip / Compile / compile 0s - - - - - - | => rocketchip / Compile / compile 0s - - - - - - | => rocketchip / Compile / compile 0s - - - - - - | => rocketchip / Compile / compile 0s - - - - - - | => rocketchip / Compile / compile 0s - - - - - - | => rocketchip / Compile / compile 0s - - - - - - | => rocketchip / Compile / compile 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - | => rocketchip / Compile / compile 0s - - - - - - | => rocketchip / Compile / packageBin 0s - - - - - - | => rocketchip / Compile / packageBin 0s - - - - - - | => rocketchip / Compile / packageBin 0s - - - - - - | => rocketchip / Compile / packageBin 0s - - - - - - | => rocketchip / Compile / packageBin 0s - - - - - - | => rocketchip / Compile / packageBin 0s - - - - - - | => rocketchip / Compile / packageBin 0s - - - - - - | => rocketchip / Compile / packageBin 0s - - - - - - | => rocketchip / Compile / packageBin 0s -[info] Compiling 78 Scala sources to /home/riscvuser/chipyard/generators/sifive-blocks/target/scala-2.12/classes ... - - - - - - | => rocketchip / Compile / packageBin 0s - - - - - - | => sifive_blocks / Compile / compileIncremental 0s - - - - - - | => sifive_blocks / Compile / compileIncremental 0s - - - - - - | => sifive_blocks / Compile / compileIncremental 0s - - - - - - | => sifive_blocks / Compile / compileIncremental 0s - - - - - - | => sifive_blocks / Compile / compileIncremental 0s - - - - - - | => sifive_blocks / Compile / compileIncremental 0s - - - - - - | => sifive_blocks / Compile / compileIncremental 0s - - - - - - | => sifive_blocks / Compile / compileIncremental 0s - - - - - - | => sifive_blocks / Compile / compileIncremental 0s - - - - - - | => sifive_blocks / Compile / compileIncremental 0s - - - - - - | => sifive_blocks / Compile / compileIncremental 1s - - - - - - | => sifive_blocks / Compile / compileIncremental 1s - - - - - - | => sifive_blocks / Compile / compileIncremental 1s - - - - - - | => sifive_blocks / Compile / compileIncremental 1s - - - - - - | => sifive_blocks / Compile / compileIncremental 1s - - - - - - | => sifive_blocks / Compile / compileIncremental 1s - - - - - - | => sifive_blocks / Compile / compileIncremental 1s - - - - - - | => sifive_blocks / Compile / compileIncremental 1s - - - - - - | => sifive_blocks / Compile / compileIncremental 1s - - - - - - | => sifive_blocks / Compile / compileIncremental 1s - - - - - - | => sifive_blocks / Compile / compileIncremental 2s - - - - - - | => sifive_blocks / Compile / compileIncremental 2s - - - - - - | => sifive_blocks / Compile / compileIncremental 2s - - - - - - | => sifive_blocks / Compile / compileIncremental 2s - - - - - - | => sifive_blocks / Compile / compileIncremental 2s - - - - - - | => sifive_blocks / Compile / compileIncremental 2s - - - - - - | => sifive_blocks / Compile / compileIncremental 2s - - - - - - | => sifive_blocks / Compile / compileIncremental 2s - - - - - - | => sifive_blocks / Compile / compileIncremental 2s - - - - - - | => sifive_blocks / Compile / compileIncremental 2s - - - - - - | => sifive_blocks / Compile / compileIncremental 3s - - - - - - | => sifive_blocks / Compile / compileIncremental 3s - - - - - - | => sifive_blocks / Compile / compileIncremental 3s - - - - - - | => sifive_blocks / Compile / compileIncremental 3s - - - - - - | => sifive_blocks / Compile / compileIncremental 3s - - - - - - | => sifive_blocks / Compile / compileIncremental 3s - - - - - - | => sifive_blocks / Compile / compileIncremental 3s - - - - - - | => sifive_blocks / Compile / compileIncremental 3s - - - - - - | => sifive_blocks / Compile / compileIncremental 3s - - - - - - | => sifive_blocks / Compile / compileIncremental 3s - - - - - - | => sifive_blocks / Compile / compileIncremental 4s - - - - - - | => sifive_blocks / Compile / compileIncremental 4s - - - - - - | => sifive_blocks / Compile / compileIncremental 4s - - - - - - | => sifive_blocks / Compile / compileIncremental 4s - - - - - - | => sifive_blocks / Compile / compileIncremental 4s - - - - - - | => sifive_blocks / Compile / compileIncremental 4s - - - - - - | => sifive_blocks / Compile / compileIncremental 4s - - - - - - | => sifive_blocks / Compile / compileIncremental 4s - - - - - - | => sifive_blocks / Compile / compileIncremental 4s - - - - - - | => sifive_blocks / Compile / compileIncremental 4s - - - - - - | => sifive_blocks / Compile / compileIncremental 5s - - - - - - | => sifive_blocks / Compile / compileIncremental 5s - - - - - - | => sifive_blocks / Compile / compileIncremental 5s - - - - - - | => sifive_blocks / Compile / compileIncremental 5s - - - - - - | => sifive_blocks / Compile / compileIncremental 5s - - - - - - | => sifive_blocks / Compile / compileIncremental 5s - - - - - - | => sifive_blocks / Compile / compileIncremental 5s - - - - - - | => sifive_blocks / Compile / compileIncremental 5s - - - - - - | => sifive_blocks / Compile / compileIncremental 5s - - - - - - | => sifive_blocks / Compile / compileIncremental 5s - - - - - - | => sifive_blocks / Compile / compileIncremental 6s - - - - - - | => sifive_blocks / Compile / compileIncremental 6s - - - - - - | => sifive_blocks / Compile / compileIncremental 6s - - - - - - | => sifive_blocks / Compile / compileIncremental 6s - - - - - - | => sifive_blocks / Compile / compileIncremental 6s - - - - - - | => sifive_blocks / Compile / compileIncremental 6s - - - - - - | => sifive_blocks / Compile / compileIncremental 6s - - - - - - | => sifive_blocks / Compile / compileIncremental 6s - - - - - - | => sifive_blocks / Compile / compileIncremental 6s - - - - - - | => sifive_blocks / Compile / compileIncremental 7s - - - - - - | => sifive_blocks / Compile / compileIncremental 7s - - - - - - | => sifive_blocks / Compile / compileIncremental 7s - - - - - - | => sifive_blocks / Compile / compileIncremental 7s - - - - - - | => sifive_blocks / Compile / compileIncremental 7s - - - - - - | => sifive_blocks / Compile / compileIncremental 7s - - - - - - | => sifive_blocks / Compile / compileIncremental 7s - - - - - - | => sifive_blocks / Compile / compileIncremental 7s - - - - - - | => sifive_blocks / Compile / compileIncremental 7s - - - - - - | => sifive_blocks / Compile / compileIncremental 7s - - - - - - | => sifive_blocks / Compile / compileIncremental 8s - - - - - - | => sifive_blocks / Compile / compileIncremental 8s - - - - - - | => sifive_blocks / Compile / compileIncremental 8s - - - - - - | => sifive_blocks / Compile / compileIncremental 8s -[warn] there were 758 feature warnings; re-run with -feature for details - - - - - - | => sifive_blocks / Compile / compileIncremental 8s -[warn] one warning found - - - - - - | => sifive_blocks / Compile / compileIncremental 8s - - - - - - | => sifive_blocks / Compile / compileIncremental 8s - - - - - - | => sifive_blocks / Compile / compile 0s - - - - - - | => sifive_blocks / Compile / compile 0s - - - - - - | => sifive_blocks / Compile / packageBin 0s -[info] Compiling 19 Scala sources to /home/riscvuser/chipyard/generators/testchipip/target/scala-2.12/classes ... - - - - - - | => sifive_blocks / Compile / packageBin 0s - - - - - - | => testchipip / Compile / compileIncremental 0s - - - - - - | => testchipip / Compile / compileIncremental 0s - - - - - - | => testchipip / Compile / compileIncremental 0s - - - - - - | => testchipip / Compile / compileIncremental 0s - - - - - - | => testchipip / Compile / compileIncremental 0s - - - - - - | => testchipip / Compile / compileIncremental 0s - - - - - - | => testchipip / Compile / compileIncremental 0s - - - - - - | => testchipip / Compile / compileIncremental 0s - - - - - - | => testchipip / Compile / compileIncremental 0s - - - - - - | => testchipip / Compile / compileIncremental 0s - - - - - - | => testchipip / Compile / compileIncremental 1s - - - - - - | => testchipip / Compile / compileIncremental 1s - - - - - - | => testchipip / Compile / compileIncremental 1s - - - - - - | => testchipip / Compile / compileIncremental 1s - - - - - - | => testchipip / Compile / compileIncremental 1s - - - - - - | => testchipip / Compile / compileIncremental 1s - - - - - - | => testchipip / Compile / compileIncremental 1s - - - - - - | => testchipip / Compile / compileIncremental 1s - - - - - - | => testchipip / Compile / compileIncremental 1s - - - - - - | => testchipip / Compile / compileIncremental 1s - - - - - - | => testchipip / Compile / compileIncremental 2s - - - - - - | => testchipip / Compile / compileIncremental 2s - - - - - - | => testchipip / Compile / compileIncremental 2s - - - - - - | => testchipip / Compile / compileIncremental 2s - - - - - - | => testchipip / Compile / compileIncremental 2s - - - - - - | => testchipip / Compile / compileIncremental 2s - - - - - - | => testchipip / Compile / compileIncremental 2s - - - - - - | => testchipip / Compile / compileIncremental 2s - - - - - - | => testchipip / Compile / compileIncremental 2s - - - - - - | => testchipip / Compile / compileIncremental 2s - - - - - - | => testchipip / Compile / compileIncremental 3s - - - - - - | => testchipip / Compile / compileIncremental 3s - - - - - - | => testchipip / Compile / compileIncremental 3s - - - - - - | => testchipip / Compile / compileIncremental 3s - - - - - - | => testchipip / Compile / compileIncremental 3s - - - - - - | => testchipip / Compile / compileIncremental 3s - - - - - - | => testchipip / Compile / compileIncremental 3s - - - - - - | => testchipip / Compile / compileIncremental 3s - - - - - - | => testchipip / Compile / compileIncremental 3s - - - - - - | => testchipip / Compile / compileIncremental 3s - - - - - - | => testchipip / Compile / compileIncremental 4s - - - - - - | => testchipip / Compile / compileIncremental 4s - - - - - - | => testchipip / Compile / compileIncremental 4s - - - - - - | => testchipip / Compile / compileIncremental 4s - - - - - - | => testchipip / Compile / compileIncremental 4s - - - - - - | => testchipip / Compile / compileIncremental 4s - - - - - - | => testchipip / Compile / compileIncremental 4s - - - - - - | => testchipip / Compile / compileIncremental 4s - - - - - - | => testchipip / Compile / compileIncremental 4s - - - - - - | => testchipip / Compile / compileIncremental 4s - - - - - - | => testchipip / Compile / compileIncremental 5s - - - - - - | => testchipip / Compile / compileIncremental 5s - - - - - - | => testchipip / Compile / compileIncremental 5s - - - - - - | => testchipip / Compile / compileIncremental 5s - - - - - - | => testchipip / Compile / compileIncremental 5s - - - - - - | => testchipip / Compile / compileIncremental 5s - - - - - - | => testchipip / Compile / compileIncremental 5s - - - - - - | => testchipip / Compile / compileIncremental 5s - - - - - - | => testchipip / Compile / compileIncremental 5s - - - - - - | => testchipip / Compile / compileIncremental 5s - - - - - - | => testchipip / Compile / compileIncremental 6s - - - - - - | => testchipip / Compile / compileIncremental 6s - - - - - - | => testchipip / Compile / compileIncremental 6s - - - - - - | => testchipip / Compile / compileIncremental 6s - - - - - - | => testchipip / Compile / compileIncremental 6s - - - - - - | => testchipip / Compile / compileIncremental 6s - - - - - - | => testchipip / Compile / compileIncremental 6s - - - - - - | => testchipip / Compile / compileIncremental 6s - - - - - - | => testchipip / Compile / compileIncremental 6s - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/BlockDevice.scala:420:23: value IntParam in package core is deprecated (since 3.2): Use the version in chisel3.experimental._ - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn]  Map("TAG_BITS" -> IntParam(log2Up(config.get.nTrackers))) - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/BlockDevice.scala:432:3: method setResource in trait HasBlackBoxResource is deprecated (since 3.2): Use addResource instead - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn]  setResource("/testchipip/vsrc/SimBlockDevice.v") - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/BlockDevice.scala:433:3: method setResource in trait HasBlackBoxResource is deprecated (since 3.2): Use addResource instead - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn]  setResource("/testchipip/csrc/SimBlockDevice.cc") - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/BlockDevice.scala:434:3: method setResource in trait HasBlackBoxResource is deprecated (since 3.2): Use addResource instead - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn]  setResource("/testchipip/csrc/blkdev.cc") - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/BlockDevice.scala:435:3: method setResource in trait HasBlackBoxResource is deprecated (since 3.2): Use addResource instead - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn]  setResource("/testchipip/csrc/blkdev.h") - - - - - - | => testchipip / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 6s - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtil.scala:103:132: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  syncs.zipWithIndex.foreach { case (s, i) => s.io.d := (io.sel === i.U) && !(syncs.zipWithIndex.filter(_._2 != i).map(_._1.io.q.toBool).reduce(_||_)) } - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:28:17: value withClockAndReset in package experimental is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  val state = withClockAndReset(clocks(0), syncReset) { RegInit(sReset) } - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:30:5: value withClock in package experimental is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  withClock(mux.io.clockOut) { - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:34:5: value withClockAndReset in package experimental is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  withClockAndReset(clocks(0), syncReset) { - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:73:19: value withClock in package experimental is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  val divider = withClock(myClock) { Module(new ClockDivider(log2Ceil(divs.max))) } - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:77:17: value withClockAndReset in package experimental is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  val state = withClockAndReset(myClock, syncReset) { RegInit(sReset) } - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:79:5: value withClockAndReset in package experimental is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  withClockAndReset(myClock, syncReset) { - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:107:22: value IntParam in package core is deprecated (since 3.2): Use the version in chisel3.experimental._ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  "minperiodps" -> IntParam(minperiodps), - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:108:22: value IntParam in package core is deprecated (since 3.2): Use the version in chisel3.experimental._ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  "maxperiodps" -> IntParam(maxperiodps.getOrElse(BigInt(0))) - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/ClockUtilTests.scala:118:72: value IntParam in package core is deprecated (since 3.2): Use the version in chisel3.experimental._ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] class ClockGenerator(periodps: Int) extends BlackBox(Map("periodps" -> IntParam(periodps))) { - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/NoDebug.scala:17:14: type Reset in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  val reset: Reset - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Ring.scala:126:47: method copy in class TLMasterParameters is deprecated: Use v1copy instead of copy - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  port.clients map { client => client.copy( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Ring.scala:123:14: method copy in class TLMasterPortParameters is deprecated: Use v1copy instead of copy - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  seq(0).copy( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Ring.scala:140:50: method copy in class TLSlaveParameters is deprecated: Use v1copy instead of copy - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  port.managers map { manager => manager.copy( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Ring.scala:133:14: method copy in class TLSlavePortParameters is deprecated: Use v1copy instead of copy - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  seq(0).copy( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Serdes.scala:490:7: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  TLManagerPortParameters( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Serdes.scala:532:7: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  TLClientPortParameters(Seq(client)))) - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Serdes.scala:580:9: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  Seq(TLClientPortParameters(Seq(clientParams)))) - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Serdes.scala:583:9: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  Seq(TLManagerPortParameters( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Switcher.scala:107:5: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  TLManagerPortParameters( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Switcher.scala:108:11: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  Seq(TLManagerParameters( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Switcher.scala:122:7: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  TLClientPortParameters(Seq(TLClientParameters( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Switcher.scala:122:34: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  TLClientPortParameters(Seq(TLClientParameters( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Unittests.scala:138:18: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  params = Seq(TLManagerParameters( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Unittests.scala:147:18: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  params = Seq(TLClientParameters( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Unittests.scala:194:20: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  clientParams = TLClientParameters( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Unittests.scala:197:21: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  managerParams = TLManagerParameters( - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Util.scala:132:20: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  makeClientNode(TLClientParameters(name, sourceId)) - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Util.scala:136:22: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  TLClientNode(Seq(TLClientPortParameters(Seq(params)))) - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn] /home/riscvuser/chipyard/generators/testchipip/src/main/scala/Util.scala:140:23: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  TLManagerNode(Seq(TLManagerPortParameters(Seq(params), beatBytes))) - - - - - - | => testchipip / Compile / compileIncremental 7s -[warn]  ^ - - - - - - | => testchipip / Compile / compileIncremental 7s - - - - - - | => testchipip / Compile / compileIncremental 7s - - - - - - | => testchipip / Compile / compileIncremental 7s - - - - - - | => testchipip / Compile / compileIncremental 7s - - - - - - | => testchipip / Compile / compileIncremental 7s - - - - - - | => testchipip / Compile / compileIncremental 7s - - - - - - | => testchipip / Compile / compileIncremental 7s - - - - - - | => testchipip / Compile / compileIncremental 7s - - - - - - | => testchipip / Compile / compileIncremental 8s - - - - - - | => testchipip / Compile / compileIncremental 8s - - - - - - | => testchipip / Compile / compileIncremental 8s - - - - - - | => testchipip / Compile / compileIncremental 8s - - - - - - | => testchipip / Compile / compileIncremental 8s - - - - - - | => testchipip / Compile / compileIncremental 8s - - - - - - | => testchipip / Compile / compileIncremental 8s - - - - - - | => testchipip / Compile / compileIncremental 8s - - - - - - | => testchipip / Compile / compileIncremental 8s - - - - - - | => testchipip / Compile / compileIncremental 9s - - - - - - | => testchipip / Compile / compileIncremental 9s - - - - - - | => testchipip / Compile / compileIncremental 9s - - - - - - | => testchipip / Compile / compileIncremental 9s - - - - - - | => testchipip / Compile / compileIncremental 9s - - - - - - | => testchipip / Compile / compileIncremental 9s - - - - - - | => testchipip / Compile / compileIncremental 9s - - - - - - | => testchipip / Compile / compileIncremental 9s - - - - - - | => testchipip / Compile / compileIncremental 10s - - - - - - | => testchipip / Compile / compileIncremental 10s - - - - - - | => testchipip / Compile / compileIncremental 10s - - - - - - | => testchipip / Compile / compileIncremental 10s - - - - - - | => testchipip / Compile / compileIncremental 10s - - - - - - | => testchipip / Compile / compileIncremental 10s - - - - - - | => testchipip / Compile / compileIncremental 10s - - - - - - | => testchipip / Compile / compileIncremental 10s - - - - - - | => testchipip / Compile / compileIncremental 10s - - - - - - | => testchipip / Compile / compileIncremental 10s - - - - - - | => testchipip / Compile / compileIncremental 11s - - - - - - | => testchipip / Compile / compileIncremental 11s - - - - - - | => testchipip / Compile / compileIncremental 11s - - - - - - | => testchipip / Compile / compileIncremental 11s - - - - - - | => testchipip / Compile / compileIncremental 11s - - - - - - | => testchipip / Compile / compileIncremental 11s - - - - - - | => testchipip / Compile / compileIncremental 11s - - - - - - | => testchipip / Compile / compileIncremental 11s - - - - - - | => testchipip / Compile / compileIncremental 11s - - - - - - | => testchipip / Compile / compileIncremental 11s -[warn] there were 403 feature warnings; re-run with -feature for details - - - - - - | => testchipip / Compile / compileIncremental 11s -[warn] 36 warnings found - - - - - - | => testchipip / Compile / compileIncremental 11s - - - - - - | => testchipip / Compile / compile 0s - - - - - - | => testchipip / Compile / packageBin 0s -[info] Compiling 1 Scala source to /home/riscvuser/chipyard/generators/utilities/target/scala-2.12/classes ... - - - - - - | => testchipip / Compile / packageBin 0s - - - - - - | => utilities / Compile / compileIncremental 0s - - - - - - | => utilities / Compile / compileIncremental 0s - - - - - - | => utilities / Compile / compileIncremental 0s - - - - - - | => utilities / Compile / compileIncremental 0s - - - - - - | => utilities / Compile / bgRunMain 0s - - - - - - | => utilities / Compile / bgRunMain 0s - - - - - - | => utilities / Compile / bgRunMain 0s - - - - - - | => utilities / Compile / bgRunMain 0s - - - - - - | => utilities / Compile / bgRunMain 0s -[info] running utilities.GenerateSimFiles -td /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -sim verilator - - - - - - | => utilities / Compile / runMain 0s - - - - - - | => utilities / Compile / runMain 0s - - - - - - -[success] Total time: 182 s (03:02), completed Dec 23, 2020, 3:44:40 AM -mkdir -p /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -cd /home/riscvuser/chipyard && java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar "project chipyard" "runMain chipyard.Generator \ - --target-dir /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig \ - --name chipyard.TestHarness.RocketConfig \ - --top-module chipyard.TestHarness \ - --legacy-configs chipyard.RocketConfig" -OpenJDK 64-Bit Server VM warning: Ignoring option MaxPermSize; support was removed in 8.0 -[info] Loading settings for project chipyard-build from plugins.sbt ... -[info] Loading project definition from /home/riscvuser/chipyard/project - - - - - - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - -[info] Loading settings for project chipyardRoot from build.sbt ... -[info] Loading settings for project barstoolsMacros from build.sbt ... -[info] Loading settings for project mdf from build.sbt ... -[info] Loading settings for project gemmini from build.sbt ... -[info] Loading settings for project ariane from build.sbt ... -[info] Loading settings for project boom from build.sbt ... -[info] Loading settings for project hwacha from build.sbt ... -[info] Loading settings for project icenet from build.sbt ... -[info] Loading settings for project testchipip from build.sbt ... -[info] Loading settings for project rocketConfig from build.sbt ... -[info] Loading settings for project hardfloat from build.sbt ... -[info] Loading settings for project chisel_testers from build.sbt ... -[info] Loading settings for project treadle from build.sbt ... -[info] Loading settings for project firrtl_interpreter from build.sbt ... -[info] Loading settings for project chisel from build.sbt ... -[info] Loading settings for project sim-build from plugins.sbt ... -[info] Loading project definition from /home/riscvuser/chipyard/sims/firesim/sim/project - - - - - - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / Compile / compileIncremental 0s - - - - - - -[info] Loading settings for project firesim from build.sbt ... -[info] Loading settings for project midas from build.sbt ... -[info] Loading settings for project targetutils from build.sbt ... -[info] Resolving key references (32007 settings) ... -[info] Set current project to chipyardRoot (in build file:/home/riscvuser/chipyard/) - - - - - - - - - - - | => Global / checkBuildSources 0s - - - - - - | => Global / checkBuildSources 0s - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -[info] Set current project to chipyard (in build file:/home/riscvuser/chipyard/) - - - - - - - - - - - - - - - - - - - - - | => gemmini / dependencyPositions 0s - | => sifive_cache / dependencyPositions 0s - | => tracegen / dependencyPositions 0s - | => nvdla / dependencyPositions 0s - | => icenet / dependencyPositions 0s - | => boom / dependencyPositions 0s - | => utilities / dependencyPositions 0s - | => testchipip / dependencyPositions 0s - | => sha3 / dependencyPositions 0s - | => hwacha / dependencyPositions 0s - | => dsptools / dependencyPositions 0s - | => sifive_blocks / dependencyPositions 0s - | => rocketConfig / dependencyPositions 0s - | => rocketchip / dependencyPositions 0s - | => rocketMacros / dependencyPositions 0s - | => chisel_testers / dependencyPositions 0s - | => firrtl_interpreter / dependencyPositions 0s - | => rocket-dsptools / dependencyPositions 0s - | => targetutils / dependencyPositions 0s - | => treadle / dependencyPositions 0s - | => macros / dependencyPositions 0s - | => chisel / dependencyPositions 0s - | => core / dependencyPositions 0s - | => hardfloat / dependencyPositions 0s - - - - - - - - - - - - - - - - - - - - - - - - - | => sha3 / csrConfiguration 0s - | => utilities / csrConfiguration 0s - | => sifive_blocks / csrConfiguration 0s - | => dsptools / csrConfiguration 0s - | => chipyard / Compile / unmanagedSources / inputFileStamps 0s - - - - - - - - - - - - - - - - - - - - - - - - - - - | => core / projectDescriptors 0s - | => firrtl_interpreter / update 0s - | => treadle / update 0s - - - - - - - - - - - - - - - - - - - - - - - - - - - | => core / projectDescriptors 0s - | => firrtl_interpreter / update 0s - | => treadle / update 0s - - - - - - - - - - - - - - - - - - - - - - - - | => dsptools / projectDescriptors 0s - | => hardfloat / update 0s - | => rocketchip / projectDescriptors 0s - | => iocell / update 0s - | => firrtl_interpreter / update 0s - | => treadle / update 0s - - - - - - - - - - - - - - - - - - - - - | => ariane / update 0s - | => hwacha / update 0s - | => sifive_cache / update 0s - | => nvdla / update 0s - | => sifive_blocks / update 0s - | => chipyard / projectDescriptors 0s - | => iocell / update 0s - | => firrtl_interpreter / update 0s - | => treadle / update 0s - - - - - - - - - - - - - - - - - - - - - - | => testchipip / update 0s - | => ariane / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => sifive_cache / update 0s - | => iocell / update 0s - | => firrtl_interpreter / update 0s - | => treadle / update 0s -[info] Updating  - - - - - - - - - - - - - - - - - - - - - | => testchipip / update 0s - | => ariane / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => sifive_cache / update 0s - | => iocell / update 0s - | => firrtl_interpreter / update 0s - | => treadle / update 0s -https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.pom - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - - - - - - - - | => icenet / update 0s - | => boom / update 0s - | => ariane / update 0s - | => sifive_cache / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => iocell / update 0s - | => firrtl_interpreter / update 0s - | => treadle / update 0s - - - - - - - - - - - - - - - - - - - - | => icenet / update 0s - | => boom / update 0s - | => ariane / update 0s - | => sifive_cache / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => iocell / update 0s - | => firrtl_interpreter / update 0s - | => treadle / update 0s -https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.pom - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 86.1% [######## ] 1.3 KiB (4.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 86.0% [######## ] 1.3 KiB (4.5 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 76.0% [####### ] 1.3 KiB (4.5 KiB / s) -https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.pom - 5.8% [ ] 1.3 KiB (4.5 KiB / s) -https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.pom - 100.0% [##########] 23.1 KiB (72.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 100.0% [##########] 1.6 KiB (4.9 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 100.0% [##########] 1.8 KiB (5.5 KiB / s) -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 100.0% [##########] 1.6 KiB (4.9 KiB / s) - - - - - - - - - - - - - - - - - - - - | => icenet / update 0s - | => boom / update 0s - | => ariane / update 0s - | => sifive_cache / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => iocell / update 0s - | => firrtl_interpreter / update 0s - | => treadle / update 0s - - - - - - - - - - - - - - - - - - - - | => icenet / update 0s - | => boom / update 0s - | => ariane / update 0s - | => sifive_cache / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => iocell / update 0s - | => firrtl_interpreter / update 0s - | => treadle / update 0s - - - - - - - - - - - - - - - - - - - - | => icenet / update 0s - | => boom / update 0s - | => ariane / update 0s - | => sifive_cache / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => iocell / update 0s - | => firrtl_interpreter / update 0s - | => treadle / update 0s - - - - - - - - - - - - - - - - - - - - | => icenet / update 0s - | => boom / update 0s - | => ariane / update 0s - | => sifive_cache / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => iocell / update 0s - | => firrtl_interpreter / update 1s - | => treadle / update 1s -[info] Resolved dependencies - - - - - - - - - - - - - - - - - - - | => icenet / update 0s - | => boom / update 0s - | => ariane / update 0s - | => sifive_cache / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => iocell / update 0s - | => firrtl_interpreter / update 1s - | => treadle / update 1s -[info] Updating  - - - - - - - - - - - - - - - - - - | => icenet / update 0s - | => boom / update 0s - | => ariane / update 0s - | => sifive_cache / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => iocell / update 0s - | => firrtl_interpreter / update 1s - | => treadle / update 1s -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.6/2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.6/2? - 100.0% [##########] 2.0 KiB (59.7 KiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 0.0% [ ] 0 B (0 B / s) -[info] Resolved dependencies - - - - - - - - - - - - - - - - - | => icenet / update 0s - | => boom / update 0s - | => ariane / update 0s - | => sifive_cache / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => iocell / update 0s - | => firrtl_interpreter / update 1s - | => treadle / update 1s - - - - - - - - - - - - - - - - - | => icenet / update 0s - | => boom / update 0s - | => ariane / update 0s - | => sifive_cache / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => iocell / update 0s - | => firrtl_interpreter / update 1s - | => treadle / update 1s - - - - - - - - - - - - - - - - - | => icenet / update 0s - | => boom / update 0s - | => ariane / update 0s - | => sifive_cache / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => iocell / update 1s - | => firrtl_interpreter / update 1s - | => treadle / update 1s - - - - - - - - - - - - - - - - - | => icenet / update 0s - | => boom / update 0s - | => ariane / update 1s - | => sifive_cache / update 1s - | => hwacha / update 1s - | => nvdla / update 1s - | => iocell / update 1s - | => firrtl_interpreter / update 1s - | => treadle / update 1s - - - - - - - - - - - - - - - - - | => icenet / update 1s - | => boom / update 1s - | => ariane / update 1s - | => sifive_cache / update 1s - | => hwacha / update 1s - | => nvdla / update 1s - | => iocell / update 1s - | => firrtl_interpreter / update 1s - | => treadle / update 1s - - - - - - - - - - - - - - - - - | => icenet / update 1s - | => boom / update 1s - | => ariane / update 1s - | => sifive_cache / update 1s - | => hwacha / update 1s - | => nvdla / update 1s - | => iocell / update 1s - | => firrtl_interpreter / update 1s - | => treadle / update 1s - - - - - - - - - - - - - - - - - | => icenet / update 1s - | => boom / update 1s - | => ariane / update 1s - | => sifive_cache / update 1s - | => hwacha / update 1s - | => nvdla / update 1s - | => iocell / update 1s - | => firrtl_interpreter / update 1s - | => treadle / update 1s - - - - - - - - - - - - - - - - - | => icenet / update 1s - | => boom / update 1s - | => ariane / update 1s - | => sifive_cache / update 1s - | => hwacha / update 1s - | => nvdla / update 1s - | => iocell / update 1s - | => firrtl_interpreter / update 1s - | => treadle / update 1s - - - - - - - - - - - - - - - - - | => icenet / update 1s - | => boom / update 1s - | => ariane / update 1s - | => sifive_cache / update 1s - | => hwacha / update 1s - | => nvdla / update 1s - | => iocell / update 1s - | => firrtl_interpreter / update 1s - | => treadle / update 1s - - - - - - - - - - - - - - - - - | => icenet / update 1s - | => boom / update 1s - | => ariane / update 1s - | => sifive_cache / update 1s - | => hwacha / update 1s - | => nvdla / update 1s - | => iocell / update 1s - | => firrtl_interpreter / update 2s - | => treadle / update 2s - - - - - - - - - - - - - - - - - | => icenet / update 1s - | => boom / update 1s - | => ariane / update 1s - | => sifive_cache / update 1s - | => hwacha / update 1s - | => nvdla / update 1s - | => iocell / update 1s - | => firrtl_interpreter / update 2s - | => treadle / update 2s - - - - - - - - - - - - - - - - - | => icenet / update 1s - | => boom / update 1s - | => ariane / update 1s - | => sifive_cache / update 1s - | => hwacha / update 1s - | => nvdla / update 1s - | => iocell / update 1s - | => firrtl_interpreter / update 2s - | => treadle / update 2s -[info] Updating  - - - - - - - - - - - - - - - - | => icenet / update 1s - | => boom / update 1s - | => ariane / update 1s - | => sifive_cache / update 1s - | => hwacha / update 1s - | => nvdla / update 1s - | => iocell / update 1s - | => firrtl_interpreter / update 2s - | => treadle / update 2s - - - - - - - - - - - - - - - - | => icenet / update 1s - | => boom / update 1s - | => ariane / update 1s - | => sifive_cache / update 1s -https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? - 100.0% [##########] 1.8 KiB (127.4 KiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) - | => hwacha / update 1s - | => nvdla / update 1s - | => iocell / update 2s - | => firrtl_interpreter / update 2s - | => treadle / update 2s -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 100.0% [##########] 3.6 KiB (108.1 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8? - 100.0% [##########] 2.1 KiB (101.4 KiB / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 100.0% [##########] 2.2 KiB (104.1 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - - - - | => icenet / update 1s - | => boom / update 1s - | => ariane / update 2s - | => sifive_cache / update 2s - | => hwacha / update 2s - | => nvdla / update 2s - | => iocell / update 2s - | => firrtl_interpreter / update 2s - | => treadle / update 2s -https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/? - 100.0% [##########] 1.6 KiB (61.7 KiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? - 100.0% [##########] 1.6 KiB (62.0 KiB / s) -[info] Resolved dependencies - - - - - - - - - - - - - - - | => icenet / update 1s - | => boom / update 1s - | => ariane / update 2s - | => sifive_cache / update 2s - | => hwacha / update 2s - | => nvdla / update 2s - | => iocell / update 2s - | => firrtl_interpreter / update 2s - | => treadle / update 2s - - - - - - - - - - - - - - - | => icenet / update 2s - | => boom / update 2s - | => ariane / update 2s - | => sifive_cache / update 2s - | => hwacha / update 2s - | => nvdla / update 2s - | => iocell / update 2s - | => firrtl_interpreter / update 2s - | => treadle / update 2s - - - - - - - - - - - - - - - | => icenet / update 2s - | => boom / update 2s - | => ariane / update 2s - | => sifive_cache / update 2s - | => hwacha / update 2s - | => nvdla / update 2s - | => iocell / update 2s - | => firrtl_interpreter / update 2s - | => treadle / update 2s - - - - - - - - - - - - - - - | => icenet / update 2s - | => boom / update 2s - | => ariane / update 2s - | => sifive_cache / update 2s - | => hwacha / update 2s - | => nvdla / update 2s - | => iocell / update 2s - | => firrtl_interpreter / update 2s - | => treadle / update 2s - - - - - - - - - - - - - - - | => icenet / update 2s - | => boom / update 2s - | => ariane / update 2s - | => sifive_cache / update 2s - | => hwacha / update 2s - | => nvdla / update 2s - | => iocell / update 2s - | => firrtl_interpreter / update 2s - | => treadle / update 2s - - - - - - - - - - - - - - - | => icenet / update 2s - | => boom / update 2s - | => ariane / update 2s - | => sifive_cache / update 2s - | => hwacha / update 2s - | => nvdla / update 2s - | => iocell / update 2s - | => firrtl_interpreter / update 2s - | => treadle / update 2s - - - - - - - - - - - - - - - - | => icenet / update 2s - | => boom / update 2s - | => ariane / update 2s - | => sifive_cache / update 2s - | => nvdla / update 2s - | => iocell / update 2s - | => firrtl_interpreter / update 3s - | => treadle / update 3s - - - - - - - - - - - - - - - - | => icenet / update 2s - | => boom / update 2s - | => ariane / update 2s - | => sifive_cache / update 2s - | => nvdla / update 2s - | => iocell / update 2s - | => firrtl_interpreter / update 3s - | => treadle / update 3s - - - - - - - - - - - - - - - - - | => icenet / update 2s - | => boom / update 2s - | => sifive_cache / update 2s - | => nvdla / update 2s - | => iocell / update 2s - | => firrtl_interpreter / update 3s - | => treadle / update 3s - - - - - - - - - - - - - - - - - | => icenet / update 2s - | => boom / update 2s - | => sifive_cache / update 2s - | => nvdla / update 2s - | => iocell / update 3s - | => firrtl_interpreter / update 3s - | => treadle / update 3s - - - - - - - - - - - - - - - - - - | => icenet / update 2s - | => boom / update 2s - | => nvdla / update 3s - | => iocell / update 3s - | => firrtl_interpreter / update 3s - | => treadle / update 3s - - - - - - - - - - - - - - - - - - | => icenet / update 3s - | => boom / update 3s - | => nvdla / update 3s - | => iocell / update 3s - | => firrtl_interpreter / update 3s - | => treadle / update 3s -[info] Fetching artifacts of  - - - - - - - - - - - - - - - - - | => icenet / update 3s - | => boom / update 3s - | => nvdla / update 3s - | => iocell / update 3s - | => firrtl_interpreter / update 3s - | => treadle / update 3s -https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.jar - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - - - - - | => tracegen / update 0s - | => icenet / update 3s - | => nvdla / update 3s - | => iocell / update 3s - | => firrtl_interpreter / update 3s - | => treadle / update 3s -https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.jar - 39.5% [### ] 121.4 KiB (4.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 4.2% [ ] 148.5 KiB (5.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 0.1% [ ] 2.7 KiB (111.6 KiB / s) -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.jar - 75.6% [####### ] 232.5 KiB (4.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 8.7% [ ] 305.3 KiB (6.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 2.3% [ ] 116.6 KiB (2.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 1.5% [ ] 151.3 KiB (3.5 MiB / s) -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 0.8% [ ] 9.4 KiB (208.3 KiB / s) -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.6/2? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/junit/junit/4.12/junit-4.12.jar - 100.0% [##########] 307.6 KiB (4.5 MiB / s) -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.6/2? - 25.9% [## ] 37.5 KiB (1.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 18.7% [# ] 657.3 KiB (9.6 MiB / s) -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 17.1% [# ] 196.8 KiB (3.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 6.1% [ ] 312.0 KiB (4.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 4.2% [ ] 432.0 KiB (6.8 MiB / s) -https://repo1.maven.org/maven2/org/scalamacros/paradise_2.12.6/2? - 100.0% [##########] 144.9 KiB (3.1 MiB / s) -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 56.1% [##### ] 645.6 KiB (7.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 44.5% [#### ] 1.5 MiB (17.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 16.5% [# ] 851.0 KiB (9.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 11.8% [# ] 1.2 MiB (14.5 MiB / s) -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 92.2% [######### ] 1.0 MiB (10.0 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 67.7% [###### ] 2.3 MiB (21.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 24.6% [## ] 1.2 MiB (11.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 18.1% [# ] 1.8 MiB (17.8 MiB / s) - - - - - - - - - - - - - - - - - | => tracegen / update 0s - | => icenet / update 3s - | => nvdla / update 3s - | => iocell / update 3s - | => firrtl_interpreter / update 3s - | => treadle / update 3s -https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.? - 100.0% [##########] 1.1 MiB (9.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 93.1% [######### ] 3.2 MiB (25.2 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 34.0% [### ] 1.7 MiB (13.8 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 26.1% [## ] 2.6 MiB (21.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12? - 100.0% [##########] 3.4 MiB (23.6 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 48.9% [#### ] 2.5 MiB (17.1 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 37.9% [### ] 3.8 MiB (26.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 66.3% [###### ] 3.3 MiB (20.4 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 51.8% [##### ] 5.1 MiB (31.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 82.5% [######## ] 4.1 MiB (22.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 66.1% [###### ] 6.6 MiB (36.3 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 100.0% [##########] 5.0 MiB (24.7 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 79.8% [####### ] 7.9 MiB (39.4 MiB / s) - - - - - - - - - - - - - - - - - | => tracegen / update 0s - | => icenet / update 3s - | => nvdla / update 3s - | => iocell / update 3s - | => firrtl_interpreter / update 3s - | => treadle / update 3s -https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12? - 100.0% [##########] 5.0 MiB (22.5 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 100.0% [##########] 9.9 MiB (44.9 MiB / s) -https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.1? - 100.0% [##########] 9.9 MiB (41.4 MiB / s) -[info] Fetched artifacts of  - - - - - - - - - - - - - - - - | => tracegen / update 0s - | => icenet / update 3s - | => nvdla / update 3s - | => iocell / update 3s - | => firrtl_interpreter / update 3s - | => treadle / update 3s - - - - - - - - - - - - - - - - | => tracegen / update 0s - | => icenet / update 3s - | => nvdla / update 3s - | => iocell / update 3s - | => firrtl_interpreter / update 3s - | => treadle / update 3s - - - - - - - - - - - - - - - - - | => tracegen / update 0s - | => icenet / update 3s - | => nvdla / update 3s - | => iocell / update 3s - | => treadle / update 4s - - - - - - - - - - - - - - - - - | => tracegen / update 0s - | => icenet / update 3s - | => nvdla / update 3s - | => iocell / update 3s - | => treadle / update 4s - - - - - - - - - - - - - - - - - - | => tracegen / update 0s - | => nvdla / update 3s - | => iocell / update 4s - | => treadle / update 4s - - - - - - - - - - - - - - - - - - - - | => tracegen / update 0s - | => treadle / update 4s -[info] Fetching artifacts of  - - - - - - - - - - - - - - - - - - - | => tracegen / update 0s - | => treadle / update 4s -https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/? - 100.0% [##########] 81.6 KiB (5.0 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6? - 100.0% [##########] 91.1 KiB (3.2 MiB / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 69.4% [###### ] 1.0 MiB (37.3 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8? - 41.0% [#### ] 196.8 KiB (10.1 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 6.7% [ ] 447.9 KiB (18.2 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8? - 100.0% [##########] 479.5 KiB (12.3 MiB / s) -https://repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6? - 100.0% [##########] 340.8 KiB (12.8 MiB / s) -https://repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.1.? - 100.0% [##########] 1.5 MiB (31.4 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 16.9% [# ] 1.1 MiB (25.1 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 35.1% [### ] 2.3 MiB (36.4 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 59.8% [##### ] 3.9 MiB (47.0 MiB / s) - - - - - - - - - - - - - - - - - - - | => tracegen / update 0s - | => treadle / update 4s -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 87.1% [######## ] 5.7 MiB (55.2 MiB / s) -https://repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.1.? - 100.0% [##########] 6.5 MiB (53.0 MiB / s) -[info] Fetched artifacts of  - - - - - - - - - - - - - - - - - - | => tracegen / update 0s - | => treadle / update 4s - - - - - - - - - - - - - - - - - - | => tracegen / update 0s - | => treadle / update 4s - - - - - - - - - - - - - - - - - - | => chisel_testers / update 0s - | => tracegen / update 1s - - - - - - - - - - - - - - - - - - | => chisel_testers / update 0s - | => tracegen / update 1s - - - - - - - - - - - - - - - - - - - | => chisel_testers / update 0s - - - - - - - - - - - - - - - - - - - | => chisel_testers / update 0s - - - - - - - - - - - - - - - - - | => gemmini / update 0s - | => dsptools / update 0s - | => sha3 / update 0s - - - - - - - - - - - - - - - - - | => gemmini / update 0s - | => dsptools / update 0s - | => sha3 / update 0s - - - - - - - - - - - - - - - - - | => gemmini / update 0s - | => dsptools / update 0s - | => sha3 / update 0s - - - - - - - - - - - - - - - - - | => gemmini / update 0s - | => dsptools / update 0s - | => sha3 / update 0s - - - - - - - - - - - - - - - - - | => gemmini / update 0s - | => dsptools / update 0s - | => sha3 / update 0s - - - - - - - - - - - - - - - - - - | => gemmini / update 0s - | => dsptools / update 0s - - - - - - - - - - - - - - - - - - | => gemmini / update 0s - | => dsptools / update 0s - - - - - - - - - - - - - - - - - - - | => rocket-dsptools / update 0s - - - - - - - - - - - - - - - - - - - | => rocket-dsptools / update 0s - - - - - - - - - - - - - - - - - - - | => rocket-dsptools / update 0s - - - - - - - - - - - - - - - - - - - | => chipyard / update 0s - - - - - - - - - - - - - - - - - - - | => chipyard / update 0s - - - - - - - - - - - - - - - - - - - | => chipyard / update 0s - - - - - - - - - - - - - - - - - - | => core / Compile / unmanagedSources / allInputPathsAndAttributes 0s - | => rocketchip / Compile / unmanagedSources / allInputPathsAndAttributes 0s - - - - - - | => icenet / scalaCompilerBridgeScope / csrConfiguration 0s - | => icenet / scalaCompilerBridgeScope / csrConfiguration 0s - | => iocell / Compile / unmanagedClasspath 0s - | => testchipip / Compile / unmanagedClasspath 0s - | => iocell / scalaCompilerBridgeScope / csrConfiguration 0s - | => iocell / scalaCompilerBridgeScope / csrConfiguration 0s - | => testchipip / scalaCompilerBridgeScope / csrConfiguration 0s - | => testchipip / scalaCompilerBridgeScope / csrConfiguration 0s - | => gemmini / Compile / unmanagedClasspath 0s - | => treadle / scalaCompilerBridgeScope / csrConfiguration 0s - | => treadle / scalaCompilerBridgeScope / csrConfiguration 0s - | => firrtl_interpreter / scalaCompilerBridgeScope / csrConfiguration 0s - | => dsptools / Compile / scalacOptions 0s - | => rocket-dsptools / Compile / scalacOptions 0s - | => ariane / Compile / scalacOptions 0s - | => hwacha / Compile / scalacOptions 0s - | => hardfloat / Compile / scalacOptions 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - | => ariane / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - - - | => macros / Compile / previousCompile 0s - | => rocketConfig / Compile / previousCompile 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - | => rocketMacros / Compile / previousCompile 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - | => ariane / Compile / unmanagedResources / inputFileStamps 0s -[info] Compiling 59 Scala sources to /home/riscvuser/chipyard/tools/treadle/target/scala-2.12/classes ... - - - - - - - - - - - - - - - - - | => macros / Compile / previousCompile 0s - | => rocketConfig / Compile / previousCompile 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - | => rocketMacros / Compile / previousCompile 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - | => ariane / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - - | => ariane / Compile / copyResources 0s - | => macros / Compile / previousCompile 0s - | => rocketConfig / Compile / previousCompile 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - | => rocketMacros / Compile / previousCompile 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s -[info] Compiling 23 Scala sources to /home/riscvuser/chipyard/tools/firrtl-interpreter/target/scala-2.12/classes ... - - - - - - - - - - - - - - - - | => ariane / Compile / copyResources 0s - | => macros / Compile / previousCompile 0s - | => rocketConfig / Compile / previousCompile 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - | => rocketMacros / Compile / previousCompile 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - | => rocketMacros / Compile / compileIncremental 0s - | => ariane / Compile / copyResources 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s -[info] Non-compiled module 'compiler-bridge_2.12' for Scala 2.12.6. Compiling... - - - - - - - - - - - - - - - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - | => rocketMacros / Compile / compileIncremental 0s - | => ariane / Compile / copyResources 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - - | => core / Compile / previousCompile 0s - | => ariane / Compile / copyResources 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - - | => core / Compile / previousCompile 0s - | => ariane / Compile / copyResources 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - - | => chisel / Compile / previousCompile 0s - | => ariane / Compile / copyResources 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - - - - - - - - - - - | => chisel / Compile / previousCompile 0s - | => ariane / Compile / copyResources 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - | => chisel / Compile / packageBin 0s - | => iocell / Compile / compileIncremental 0s - | => targetutils / Compile / previousCompile 0s - | => ariane / Compile / copyResources 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s -[info] Compiling 2 Scala sources to /home/riscvuser/chipyard/tools/barstools/iocell/target/scala-2.12/classes ... - - - - - - - - - - - - - | => chisel / Compile / packageBin 0s - | => iocell / Compile / compileIncremental 0s - | => targetutils / Compile / previousCompile 0s - | => ariane / Compile / copyResources 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - | => hardfloat / Compile / compileIncremental 0s - | => nvdla / Compile / copyResources 0s - | => iocell / Compile / compileIncremental 0s - | => ariane / Compile / copyResources 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => nvdla / Compile / copyResources 0s - | => iocell / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => nvdla / Compile / copyResources 0s - | => iocell / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => treadle / Compile / compileIncremental 0s - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => nvdla / Compile / copyResources 0s - | => iocell / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => nvdla / Compile / copyResources 0s - | => iocell / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => nvdla / Compile / copyResources 0s - | => iocell / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => nvdla / Compile / copyResources 0s - | => iocell / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => nvdla / Compile / copyResources 0s - | => iocell / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => nvdla / Compile / copyResources 0s - | => iocell / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => nvdla / Compile / copyResources 0s - | => iocell / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s - - - - - - - - - - - - - | => rocketchip / Compile / mainClass 0s - | => rocketchip / Compile / packageBin / mappings 0s - | => nvdla / Compile / copyResources 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s - - - - - - - - - - - - - - - | => rocketchip / Compile / packageBin 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s -[info] Compiling 53 Scala sources to /home/riscvuser/chipyard/generators/hwacha/target/scala-2.12/classes ... - - - - - - - - - - - - - - | => rocketchip / Compile / packageBin 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s -[info] Compiling 3 Scala sources to /home/riscvuser/chipyard/generators/ariane/target/scala-2.12/classes ... - - - - - - - - - - - - - | => rocketchip / Compile / packageBin 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s -[info] Compiling 23 Scala sources to /home/riscvuser/chipyard/generators/sifive-cache/target/scala-2.12/classes ... - - - - - - - - - - - - | => rocketchip / Compile / packageBin 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s - - - - - - - - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => sifive_blocks / Compile / previousCompile 0s - | => hwacha / Compile / compileIncremental 0s - | => sifive_cache / Compile / compileIncremental 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s -[info] Compiling 4 Scala sources to /home/riscvuser/chipyard/generators/nvdla/target/scala-2.12/classes ... - - - - - - - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => sifive_blocks / Compile / previousCompile 0s - | => hwacha / Compile / compileIncremental 0s - | => sifive_cache / Compile / compileIncremental 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 1s - | => treadle / Compile / compileIncremental 1s - - - - - - - | => sifive_blocks / Compile / compileIncremental 0s - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => hwacha / Compile / compileIncremental 0s - | => sifive_cache / Compile / compileIncremental 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 2s - | => treadle / Compile / compileIncremental 2s - - - - - - - | => testchipip / Compile / previousCompile 0s - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => hwacha / Compile / compileIncremental 0s - | => sifive_cache / Compile / compileIncremental 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 2s - | => treadle / Compile / compileIncremental 2s - - - - - - - | => testchipip / Compile / packageBin 0s - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => hwacha / Compile / compileIncremental 0s - | => sifive_cache / Compile / compileIncremental 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 2s - | => treadle / Compile / compileIncremental 2s -[info] Compiling 55 Scala sources to /home/riscvuser/chipyard/generators/boom/target/scala-2.12/classes ... - - - - - - | => testchipip / Compile / packageBin 0s - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => hwacha / Compile / compileIncremental 0s - | => sifive_cache / Compile / compileIncremental 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 2s - | => treadle / Compile / compileIncremental 2s -[info] Compiling 15 Scala sources to /home/riscvuser/chipyard/generators/icenet/target/scala-2.12/classes ... - - - - - - | => testchipip / Compile / packageBin 0s - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => hwacha / Compile / compileIncremental 0s - | => sifive_cache / Compile / compileIncremental 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 2s - | => treadle / Compile / compileIncremental 2s - - - - - - | => icenet / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 0s - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => hwacha / Compile / compileIncremental 0s - | => sifive_cache / Compile / compileIncremental 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 2s - | => treadle / Compile / compileIncremental 2s - - - - - - | => icenet / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 0s - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => hwacha / Compile / compileIncremental 0s - | => sifive_cache / Compile / compileIncremental 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 2s - | => treadle / Compile / compileIncremental 2s - - - - - - | => icenet / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 0s - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => hwacha / Compile / compileIncremental 0s - | => sifive_cache / Compile / compileIncremental 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 2s - | => treadle / Compile / compileIncremental 2s - - - - - - | => icenet / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 0s - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => hwacha / Compile / compileIncremental 0s - | => sifive_cache / Compile / compileIncremental 0s - | => iocell / Compile / compileIncremental 1s - | => firrtl_interpreter / Compile / compileIncremental 2s - | => treadle / Compile / compileIncremental 2s - - - - - - | => icenet / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 0s - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => hwacha / Compile / compileIncremental 0s - | => sifive_cache / Compile / compileIncremental 0s - | => iocell / Compile / compileIncremental 2s - | => firrtl_interpreter / Compile / compileIncremental 2s - | => treadle / Compile / compileIncremental 2s - - - - - - | => icenet / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 0s - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => hwacha / Compile / compileIncremental 0s - | => sifive_cache / Compile / compileIncremental 0s - | => iocell / Compile / compileIncremental 2s - | => firrtl_interpreter / Compile / compileIncremental 2s - | => treadle / Compile / compileIncremental 2s - - - - - - | => icenet / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 0s - | => nvdla / Compile / compileIncremental 1s - | => ariane / Compile / compileIncremental 1s - | => hwacha / Compile / compileIncremental 1s - | => sifive_cache / Compile / compileIncremental 1s - | => iocell / Compile / compileIncremental 2s - | => firrtl_interpreter / Compile / compileIncremental 2s - | => treadle / Compile / compileIncremental 2s - - - - - - | => icenet / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 0s - | => nvdla / Compile / compileIncremental 1s - | => ariane / Compile / compileIncremental 1s - | => hwacha / Compile / compileIncremental 1s - | => sifive_cache / Compile / compileIncremental 1s - | => iocell / Compile / compileIncremental 2s - | => firrtl_interpreter / Compile / compileIncremental 3s - | => treadle / Compile / compileIncremental 3s - - - - - - | => icenet / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 0s - | => nvdla / Compile / compileIncremental 1s - | => ariane / Compile / compileIncremental 1s - | => hwacha / Compile / compileIncremental 1s - | => sifive_cache / Compile / compileIncremental 1s - | => iocell / Compile / compileIncremental 2s - | => firrtl_interpreter / Compile / compileIncremental 3s - | => treadle / Compile / compileIncremental 3s - - - - - - | => icenet / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 0s - | => nvdla / Compile / compileIncremental 1s - | => ariane / Compile / compileIncremental 1s - | => hwacha / Compile / compileIncremental 1s - | => sifive_cache / Compile / compileIncremental 1s - | => iocell / Compile / compileIncremental 2s - | => firrtl_interpreter / Compile / compileIncremental 3s - | => treadle / Compile / compileIncremental 3s - - - - - - | => icenet / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 1s - | => nvdla / Compile / compileIncremental 1s - | => ariane / Compile / compileIncremental 1s - | => hwacha / Compile / compileIncremental 1s - | => sifive_cache / Compile / compileIncremental 1s - | => iocell / Compile / compileIncremental 2s - | => firrtl_interpreter / Compile / compileIncremental 3s - | => treadle / Compile / compileIncremental 3s - - - - - - | => icenet / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 1s - | => nvdla / Compile / compileIncremental 1s - | => ariane / Compile / compileIncremental 1s - | => hwacha / Compile / compileIncremental 1s - | => sifive_cache / Compile / compileIncremental 1s - | => iocell / Compile / compileIncremental 2s - | => firrtl_interpreter / Compile / compileIncremental 3s - | => treadle / Compile / compileIncremental 3s - - - - - - | => icenet / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 1s - | => nvdla / Compile / compileIncremental 1s - | => ariane / Compile / compileIncremental 1s - | => hwacha / Compile / compileIncremental 1s - | => sifive_cache / Compile / compileIncremental 1s - | => iocell / Compile / compileIncremental 2s - | => firrtl_interpreter / Compile / compileIncremental 3s - | => treadle / Compile / compileIncremental 3s - - - - - - | => icenet / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 1s - | => nvdla / Compile / compileIncremental 1s - | => ariane / Compile / compileIncremental 1s - | => hwacha / Compile / compileIncremental 1s - | => sifive_cache / Compile / compileIncremental 1s - | => iocell / Compile / compileIncremental 2s - | => firrtl_interpreter / Compile / compileIncremental 3s - | => treadle / Compile / compileIncremental 3s - - - - - - | => icenet / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 1s - | => nvdla / Compile / compileIncremental 1s - | => ariane / Compile / compileIncremental 1s - | => hwacha / Compile / compileIncremental 1s - | => sifive_cache / Compile / compileIncremental 1s - | => iocell / Compile / compileIncremental 3s - | => firrtl_interpreter / Compile / compileIncremental 3s - | => treadle / Compile / compileIncremental 3s - - - - - - | => icenet / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 1s - | => nvdla / Compile / compileIncremental 1s - | => ariane / Compile / compileIncremental 1s - | => hwacha / Compile / compileIncremental 1s - | => sifive_cache / Compile / compileIncremental 1s - | => iocell / Compile / compileIncremental 3s - | => firrtl_interpreter / Compile / compileIncremental 3s - | => treadle / Compile / compileIncremental 3s - - - - - - | => icenet / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 1s - | => nvdla / Compile / compileIncremental 2s - | => ariane / Compile / compileIncremental 2s - | => hwacha / Compile / compileIncremental 2s - | => sifive_cache / Compile / compileIncremental 2s - | => iocell / Compile / compileIncremental 3s - | => firrtl_interpreter / Compile / compileIncremental 3s - | => treadle / Compile / compileIncremental 3s - - - - - - | => icenet / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 1s - | => nvdla / Compile / compileIncremental 2s - | => ariane / Compile / compileIncremental 2s - | => hwacha / Compile / compileIncremental 2s - | => sifive_cache / Compile / compileIncremental 2s - | => iocell / Compile / compileIncremental 3s - | => firrtl_interpreter / Compile / compileIncremental 4s - | => treadle / Compile / compileIncremental 4s - - - - - - | => icenet / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 1s - | => nvdla / Compile / compileIncremental 2s - | => ariane / Compile / compileIncremental 2s - | => hwacha / Compile / compileIncremental 2s - | => sifive_cache / Compile / compileIncremental 2s - | => iocell / Compile / compileIncremental 3s - | => firrtl_interpreter / Compile / compileIncremental 4s - | => treadle / Compile / compileIncremental 4s - - - - - - | => icenet / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 2s - | => nvdla / Compile / compileIncremental 2s - | => ariane / Compile / compileIncremental 2s - | => hwacha / Compile / compileIncremental 2s - | => sifive_cache / Compile / compileIncremental 2s - | => iocell / Compile / compileIncremental 3s - | => firrtl_interpreter / Compile / compileIncremental 4s - | => treadle / Compile / compileIncremental 4s - - - - - - | => icenet / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 2s - | => nvdla / Compile / compileIncremental 2s - | => ariane / Compile / compileIncremental 2s - | => hwacha / Compile / compileIncremental 2s - | => sifive_cache / Compile / compileIncremental 2s - | => iocell / Compile / compileIncremental 3s - | => firrtl_interpreter / Compile / compileIncremental 4s - | => treadle / Compile / compileIncremental 4s - - - - - - | => icenet / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 2s - | => nvdla / Compile / compileIncremental 2s - | => ariane / Compile / compileIncremental 2s - | => hwacha / Compile / compileIncremental 2s - | => sifive_cache / Compile / compileIncremental 2s - | => iocell / Compile / compileIncremental 3s - | => firrtl_interpreter / Compile / compileIncremental 4s - | => treadle / Compile / compileIncremental 4s - - - - - - | => icenet / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 2s - | => nvdla / Compile / compileIncremental 2s - | => ariane / Compile / compileIncremental 2s - | => hwacha / Compile / compileIncremental 2s - | => sifive_cache / Compile / compileIncremental 2s - | => iocell / Compile / compileIncremental 3s - | => firrtl_interpreter / Compile / compileIncremental 4s - | => treadle / Compile / compileIncremental 4s - - - - - - | => icenet / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 2s - | => nvdla / Compile / compileIncremental 2s - | => ariane / Compile / compileIncremental 2s - | => hwacha / Compile / compileIncremental 2s - | => sifive_cache / Compile / compileIncremental 2s - | => iocell / Compile / compileIncremental 3s - | => firrtl_interpreter / Compile / compileIncremental 4s - | => treadle / Compile / compileIncremental 4s - - - - - - | => icenet / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 2s - | => nvdla / Compile / compileIncremental 2s - | => ariane / Compile / compileIncremental 2s - | => hwacha / Compile / compileIncremental 2s - | => sifive_cache / Compile / compileIncremental 2s - | => iocell / Compile / compileIncremental 4s - | => firrtl_interpreter / Compile / compileIncremental 4s - | => treadle / Compile / compileIncremental 4s - - - - - - | => icenet / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 2s - | => nvdla / Compile / compileIncremental 2s - | => ariane / Compile / compileIncremental 2s - | => hwacha / Compile / compileIncremental 2s - | => sifive_cache / Compile / compileIncremental 2s - | => iocell / Compile / compileIncremental 4s - | => firrtl_interpreter / Compile / compileIncremental 4s - | => treadle / Compile / compileIncremental 4s - - - - - - | => icenet / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 2s - | => nvdla / Compile / compileIncremental 3s - | => ariane / Compile / compileIncremental 3s - | => hwacha / Compile / compileIncremental 3s - | => sifive_cache / Compile / compileIncremental 3s - | => iocell / Compile / compileIncremental 4s - | => firrtl_interpreter / Compile / compileIncremental 4s - | => treadle / Compile / compileIncremental 4s - - - - - - | => icenet / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 2s - | => nvdla / Compile / compileIncremental 3s - | => ariane / Compile / compileIncremental 3s - | => hwacha / Compile / compileIncremental 3s - | => sifive_cache / Compile / compileIncremental 3s - | => iocell / Compile / compileIncremental 4s - | => firrtl_interpreter / Compile / compileIncremental 5s - | => treadle / Compile / compileIncremental 5s - - - - - - | => icenet / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 2s - | => nvdla / Compile / compileIncremental 3s - | => ariane / Compile / compileIncremental 3s - | => hwacha / Compile / compileIncremental 3s - | => sifive_cache / Compile / compileIncremental 3s - | => iocell / Compile / compileIncremental 4s - | => firrtl_interpreter / Compile / compileIncremental 5s - | => treadle / Compile / compileIncremental 5s - - - - - - | => icenet / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 3s - | => nvdla / Compile / compileIncremental 3s - | => ariane / Compile / compileIncremental 3s - | => hwacha / Compile / compileIncremental 3s - | => sifive_cache / Compile / compileIncremental 3s - | => iocell / Compile / compileIncremental 4s - | => firrtl_interpreter / Compile / compileIncremental 5s - | => treadle / Compile / compileIncremental 5s - - - - - - | => icenet / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 3s - | => nvdla / Compile / compileIncremental 3s - | => ariane / Compile / compileIncremental 3s - | => hwacha / Compile / compileIncremental 3s - | => sifive_cache / Compile / compileIncremental 3s - | => iocell / Compile / compileIncremental 4s - | => firrtl_interpreter / Compile / compileIncremental 5s - | => treadle / Compile / compileIncremental 5s - - - - - - | => icenet / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 3s - | => nvdla / Compile / compileIncremental 3s - | => ariane / Compile / compileIncremental 3s - | => hwacha / Compile / compileIncremental 3s - | => sifive_cache / Compile / compileIncremental 3s - | => iocell / Compile / compileIncremental 4s - | => firrtl_interpreter / Compile / compileIncremental 5s - | => treadle / Compile / compileIncremental 5s - - - - - - | => icenet / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 3s - | => nvdla / Compile / compileIncremental 3s - | => ariane / Compile / compileIncremental 3s - | => hwacha / Compile / compileIncremental 3s - | => sifive_cache / Compile / compileIncremental 3s - | => iocell / Compile / compileIncremental 4s - | => firrtl_interpreter / Compile / compileIncremental 5s - | => treadle / Compile / compileIncremental 5s - - - - - - | => icenet / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 3s - | => nvdla / Compile / compileIncremental 3s - | => ariane / Compile / compileIncremental 3s - | => hwacha / Compile / compileIncremental 3s - | => sifive_cache / Compile / compileIncremental 3s - | => iocell / Compile / compileIncremental 4s - | => firrtl_interpreter / Compile / compileIncremental 5s - | => treadle / Compile / compileIncremental 5s - - - - - - | => icenet / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 3s - | => nvdla / Compile / compileIncremental 3s - | => ariane / Compile / compileIncremental 3s - | => hwacha / Compile / compileIncremental 3s - | => sifive_cache / Compile / compileIncremental 3s - | => iocell / Compile / compileIncremental 5s - | => firrtl_interpreter / Compile / compileIncremental 5s - | => treadle / Compile / compileIncremental 5s - - - - - - | => icenet / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 3s - | => nvdla / Compile / compileIncremental 3s - | => ariane / Compile / compileIncremental 3s - | => hwacha / Compile / compileIncremental 4s - | => sifive_cache / Compile / compileIncremental 4s - | => iocell / Compile / compileIncremental 5s - | => firrtl_interpreter / Compile / compileIncremental 5s - | => treadle / Compile / compileIncremental 5s - - - - - - | => icenet / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 3s - | => nvdla / Compile / compileIncremental 4s - | => ariane / Compile / compileIncremental 4s - | => hwacha / Compile / compileIncremental 4s - | => sifive_cache / Compile / compileIncremental 4s - | => iocell / Compile / compileIncremental 5s - | => firrtl_interpreter / Compile / compileIncremental 5s - | => treadle / Compile / compileIncremental 5s - - - - - - | => icenet / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 3s - | => nvdla / Compile / compileIncremental 4s - | => ariane / Compile / compileIncremental 4s - | => hwacha / Compile / compileIncremental 4s - | => sifive_cache / Compile / compileIncremental 4s - | => iocell / Compile / compileIncremental 5s - | => firrtl_interpreter / Compile / compileIncremental 6s - | => treadle / Compile / compileIncremental 6s - - - - - - | => icenet / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 3s - | => nvdla / Compile / compileIncremental 4s - | => ariane / Compile / compileIncremental 4s - | => hwacha / Compile / compileIncremental 4s - | => sifive_cache / Compile / compileIncremental 4s - | => iocell / Compile / compileIncremental 5s - | => firrtl_interpreter / Compile / compileIncremental 6s - | => treadle / Compile / compileIncremental 6s - - - - - - | => icenet / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 4s - | => nvdla / Compile / compileIncremental 4s - | => ariane / Compile / compileIncremental 4s - | => hwacha / Compile / compileIncremental 4s - | => sifive_cache / Compile / compileIncremental 4s - | => iocell / Compile / compileIncremental 5s - | => firrtl_interpreter / Compile / compileIncremental 6s - | => treadle / Compile / compileIncremental 6s - - - - - - | => icenet / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 4s - | => nvdla / Compile / compileIncremental 4s - | => ariane / Compile / compileIncremental 4s - | => hwacha / Compile / compileIncremental 4s - | => sifive_cache / Compile / compileIncremental 4s - | => iocell / Compile / compileIncremental 5s - | => firrtl_interpreter / Compile / compileIncremental 6s - | => treadle / Compile / compileIncremental 6s - - - - - - | => icenet / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 4s - | => nvdla / Compile / compileIncremental 4s - | => ariane / Compile / compileIncremental 4s - | => hwacha / Compile / compileIncremental 4s - | => sifive_cache / Compile / compileIncremental 4s - | => iocell / Compile / compileIncremental 5s - | => firrtl_interpreter / Compile / compileIncremental 6s - | => treadle / Compile / compileIncremental 6s - - - - - - | => icenet / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 4s - | => nvdla / Compile / compileIncremental 4s - | => ariane / Compile / compileIncremental 4s - | => hwacha / Compile / compileIncremental 4s - | => sifive_cache / Compile / compileIncremental 4s - | => iocell / Compile / compileIncremental 5s - | => firrtl_interpreter / Compile / compileIncremental 6s - | => treadle / Compile / compileIncremental 6s - - - - - - | => icenet / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 4s - | => nvdla / Compile / compileIncremental 4s - | => ariane / Compile / compileIncremental 4s - | => hwacha / Compile / compileIncremental 4s - | => sifive_cache / Compile / compileIncremental 4s - | => iocell / Compile / compileIncremental 6s - | => firrtl_interpreter / Compile / compileIncremental 6s - | => treadle / Compile / compileIncremental 6s - - - - - - | => icenet / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 4s - | => nvdla / Compile / compileIncremental 4s - | => ariane / Compile / compileIncremental 4s - | => hwacha / Compile / compileIncremental 4s - | => sifive_cache / Compile / compileIncremental 4s - | => iocell / Compile / compileIncremental 6s - | => firrtl_interpreter / Compile / compileIncremental 6s - | => treadle / Compile / compileIncremental 6s - - - - - - | => icenet / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 4s - | => nvdla / Compile / compileIncremental 4s - | => ariane / Compile / compileIncremental 5s - | => hwacha / Compile / compileIncremental 5s - | => sifive_cache / Compile / compileIncremental 5s - | => iocell / Compile / compileIncremental 6s - | => firrtl_interpreter / Compile / compileIncremental 6s - | => treadle / Compile / compileIncremental 6s - - - - - - | => icenet / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 4s - | => nvdla / Compile / compileIncremental 5s - | => ariane / Compile / compileIncremental 5s - | => hwacha / Compile / compileIncremental 5s - | => sifive_cache / Compile / compileIncremental 5s - | => iocell / Compile / compileIncremental 6s - | => firrtl_interpreter / Compile / compileIncremental 6s - | => treadle / Compile / compileIncremental 6s - - - - - - | => icenet / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 4s - | => nvdla / Compile / compileIncremental 5s - | => ariane / Compile / compileIncremental 5s - | => hwacha / Compile / compileIncremental 5s - | => sifive_cache / Compile / compileIncremental 5s - | => iocell / Compile / compileIncremental 6s - | => firrtl_interpreter / Compile / compileIncremental 7s - | => treadle / Compile / compileIncremental 7s - - - - - - | => icenet / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 4s - | => nvdla / Compile / compileIncremental 5s - | => ariane / Compile / compileIncremental 5s - | => hwacha / Compile / compileIncremental 5s - | => sifive_cache / Compile / compileIncremental 5s - | => iocell / Compile / compileIncremental 6s - | => firrtl_interpreter / Compile / compileIncremental 7s - | => treadle / Compile / compileIncremental 7s - - - - - - | => icenet / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 5s - | => nvdla / Compile / compileIncremental 5s - | => ariane / Compile / compileIncremental 5s - | => hwacha / Compile / compileIncremental 5s - | => sifive_cache / Compile / compileIncremental 5s - | => iocell / Compile / compileIncremental 6s - | => firrtl_interpreter / Compile / compileIncremental 7s - | => treadle / Compile / compileIncremental 7s - - - - - - | => icenet / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 5s - | => nvdla / Compile / compileIncremental 5s - | => ariane / Compile / compileIncremental 5s - | => hwacha / Compile / compileIncremental 5s - | => sifive_cache / Compile / compileIncremental 5s - | => iocell / Compile / compileIncremental 6s - | => firrtl_interpreter / Compile / compileIncremental 7s - | => treadle / Compile / compileIncremental 7s - - - - - - | => icenet / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 5s - | => nvdla / Compile / compileIncremental 5s - | => ariane / Compile / compileIncremental 5s - | => hwacha / Compile / compileIncremental 5s - | => sifive_cache / Compile / compileIncremental 5s - | => iocell / Compile / compileIncremental 6s - | => firrtl_interpreter / Compile / compileIncremental 7s - | => treadle / Compile / compileIncremental 7s - - - - - - | => icenet / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 5s - | => nvdla / Compile / compileIncremental 5s - | => ariane / Compile / compileIncremental 5s - | => hwacha / Compile / compileIncremental 5s - | => sifive_cache / Compile / compileIncremental 5s - | => iocell / Compile / compileIncremental 6s - | => firrtl_interpreter / Compile / compileIncremental 7s - | => treadle / Compile / compileIncremental 7s - - - - - - | => icenet / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 5s - | => nvdla / Compile / compileIncremental 5s - | => ariane / Compile / compileIncremental 5s - | => hwacha / Compile / compileIncremental 5s - | => sifive_cache / Compile / compileIncremental 5s - | => iocell / Compile / compileIncremental 7s - | => firrtl_interpreter / Compile / compileIncremental 7s - | => treadle / Compile / compileIncremental 7s - - - - - - | => icenet / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 5s - | => nvdla / Compile / compileIncremental 5s - | => ariane / Compile / compileIncremental 5s - | => hwacha / Compile / compileIncremental 5s - | => sifive_cache / Compile / compileIncremental 5s - | => iocell / Compile / compileIncremental 7s - | => firrtl_interpreter / Compile / compileIncremental 7s - | => treadle / Compile / compileIncremental 7s - - - - - - | => icenet / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 5s - | => nvdla / Compile / compileIncremental 6s - | => ariane / Compile / compileIncremental 6s - | => hwacha / Compile / compileIncremental 6s - | => sifive_cache / Compile / compileIncremental 6s - | => iocell / Compile / compileIncremental 7s - | => firrtl_interpreter / Compile / compileIncremental 7s - | => treadle / Compile / compileIncremental 7s - - - - - - | => icenet / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 5s - | => nvdla / Compile / compileIncremental 6s - | => ariane / Compile / compileIncremental 6s - | => hwacha / Compile / compileIncremental 6s - | => sifive_cache / Compile / compileIncremental 6s - | => iocell / Compile / compileIncremental 7s - | => firrtl_interpreter / Compile / compileIncremental 7s - | => treadle / Compile / compileIncremental 7s - - - - - - | => icenet / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 5s - | => nvdla / Compile / compileIncremental 6s - | => ariane / Compile / compileIncremental 6s - | => hwacha / Compile / compileIncremental 6s - | => sifive_cache / Compile / compileIncremental 6s - | => iocell / Compile / compileIncremental 7s - | => firrtl_interpreter / Compile / compileIncremental 8s - | => treadle / Compile / compileIncremental 8s - - - - - - | => icenet / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 5s - | => nvdla / Compile / compileIncremental 6s - | => ariane / Compile / compileIncremental 6s - | => hwacha / Compile / compileIncremental 6s - | => sifive_cache / Compile / compileIncremental 6s - | => iocell / Compile / compileIncremental 7s - | => firrtl_interpreter / Compile / compileIncremental 8s - | => treadle / Compile / compileIncremental 8s - - - - - - | => icenet / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 6s - | => nvdla / Compile / compileIncremental 6s - | => ariane / Compile / compileIncremental 6s - | => hwacha / Compile / compileIncremental 6s - | => sifive_cache / Compile / compileIncremental 6s - | => iocell / Compile / compileIncremental 7s - | => firrtl_interpreter / Compile / compileIncremental 8s - | => treadle / Compile / compileIncremental 8s - - - - - - | => icenet / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 6s - | => nvdla / Compile / compileIncremental 6s - | => ariane / Compile / compileIncremental 6s - | => hwacha / Compile / compileIncremental 6s - | => sifive_cache / Compile / compileIncremental 6s - | => iocell / Compile / compileIncremental 7s - | => firrtl_interpreter / Compile / compileIncremental 8s - | => treadle / Compile / compileIncremental 8s - - - - - - | => icenet / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 6s - | => nvdla / Compile / compileIncremental 6s - | => ariane / Compile / compileIncremental 6s - | => hwacha / Compile / compileIncremental 6s - | => sifive_cache / Compile / compileIncremental 6s - | => iocell / Compile / compileIncremental 7s - | => firrtl_interpreter / Compile / compileIncremental 8s - | => treadle / Compile / compileIncremental 8s - - - - - - | => icenet / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 6s - | => nvdla / Compile / compileIncremental 6s - | => ariane / Compile / compileIncremental 6s - | => hwacha / Compile / compileIncremental 6s - | => sifive_cache / Compile / compileIncremental 6s - | => iocell / Compile / compileIncremental 7s - | => firrtl_interpreter / Compile / compileIncremental 8s - | => treadle / Compile / compileIncremental 8s - - - - - - | => icenet / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 6s - | => nvdla / Compile / compileIncremental 6s - | => ariane / Compile / compileIncremental 6s - | => hwacha / Compile / compileIncremental 6s - | => sifive_cache / Compile / compileIncremental 6s - | => iocell / Compile / compileIncremental 8s - | => firrtl_interpreter / Compile / compileIncremental 8s - | => treadle / Compile / compileIncremental 8s - - - - - - | => icenet / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 6s - | => nvdla / Compile / compileIncremental 6s - | => ariane / Compile / compileIncremental 6s - | => hwacha / Compile / compileIncremental 6s - | => sifive_cache / Compile / compileIncremental 6s - | => iocell / Compile / compileIncremental 8s - | => firrtl_interpreter / Compile / compileIncremental 8s - | => treadle / Compile / compileIncremental 8s - - - - - - | => icenet / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 6s - | => nvdla / Compile / compileIncremental 7s - | => ariane / Compile / compileIncremental 7s - | => hwacha / Compile / compileIncremental 7s - | => sifive_cache / Compile / compileIncremental 7s - | => iocell / Compile / compileIncremental 8s - | => firrtl_interpreter / Compile / compileIncremental 8s - | => treadle / Compile / compileIncremental 8s - - - - - - | => icenet / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 6s - | => nvdla / Compile / compileIncremental 7s - | => ariane / Compile / compileIncremental 7s - | => hwacha / Compile / compileIncremental 7s - | => sifive_cache / Compile / compileIncremental 7s - | => iocell / Compile / compileIncremental 8s - | => firrtl_interpreter / Compile / compileIncremental 9s - | => treadle / Compile / compileIncremental 9s - - - - - - | => icenet / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 6s - | => nvdla / Compile / compileIncremental 7s - | => ariane / Compile / compileIncremental 7s - | => hwacha / Compile / compileIncremental 7s - | => sifive_cache / Compile / compileIncremental 7s - | => iocell / Compile / compileIncremental 8s - | => firrtl_interpreter / Compile / compileIncremental 9s - | => treadle / Compile / compileIncremental 9s - - - - - - | => icenet / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 6s - | => nvdla / Compile / compileIncremental 7s - | => ariane / Compile / compileIncremental 7s - | => hwacha / Compile / compileIncremental 7s - | => sifive_cache / Compile / compileIncremental 7s - | => iocell / Compile / compileIncremental 8s - | => firrtl_interpreter / Compile / compileIncremental 9s - | => treadle / Compile / compileIncremental 9s - - - - - - | => icenet / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 7s - | => nvdla / Compile / compileIncremental 7s - | => ariane / Compile / compileIncremental 7s - | => hwacha / Compile / compileIncremental 7s - | => sifive_cache / Compile / compileIncremental 7s - | => iocell / Compile / compileIncremental 8s - | => firrtl_interpreter / Compile / compileIncremental 9s - | => treadle / Compile / compileIncremental 9s - - - - - - | => icenet / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 7s - | => nvdla / Compile / compileIncremental 7s - | => ariane / Compile / compileIncremental 7s - | => hwacha / Compile / compileIncremental 7s - | => sifive_cache / Compile / compileIncremental 7s - | => iocell / Compile / compileIncremental 8s - | => firrtl_interpreter / Compile / compileIncremental 9s - | => treadle / Compile / compileIncremental 9s - - - - - - | => icenet / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 7s - | => nvdla / Compile / compileIncremental 7s - | => ariane / Compile / compileIncremental 7s - | => hwacha / Compile / compileIncremental 7s - | => sifive_cache / Compile / compileIncremental 7s - | => iocell / Compile / compileIncremental 8s - | => firrtl_interpreter / Compile / compileIncremental 9s - | => treadle / Compile / compileIncremental 9s - - - - - - | => icenet / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 7s - | => nvdla / Compile / compileIncremental 7s - | => ariane / Compile / compileIncremental 7s - | => hwacha / Compile / compileIncremental 7s - | => sifive_cache / Compile / compileIncremental 7s - | => iocell / Compile / compileIncremental 8s - | => firrtl_interpreter / Compile / compileIncremental 9s - | => treadle / Compile / compileIncremental 9s - - - - - - | => icenet / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 7s - | => nvdla / Compile / compileIncremental 7s - | => ariane / Compile / compileIncremental 7s - | => hwacha / Compile / compileIncremental 7s - | => sifive_cache / Compile / compileIncremental 7s - | => iocell / Compile / compileIncremental 9s - | => firrtl_interpreter / Compile / compileIncremental 9s - | => treadle / Compile / compileIncremental 9s - - - - - - | => icenet / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 7s - | => nvdla / Compile / compileIncremental 7s - | => ariane / Compile / compileIncremental 7s - | => hwacha / Compile / compileIncremental 7s - | => sifive_cache / Compile / compileIncremental 7s - | => iocell / Compile / compileIncremental 9s - | => firrtl_interpreter / Compile / compileIncremental 9s - | => treadle / Compile / compileIncremental 9s - - - - - - | => icenet / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 7s - | => nvdla / Compile / compileIncremental 8s - | => ariane / Compile / compileIncremental 8s - | => hwacha / Compile / compileIncremental 8s - | => sifive_cache / Compile / compileIncremental 8s - | => iocell / Compile / compileIncremental 9s - | => firrtl_interpreter / Compile / compileIncremental 9s - | => treadle / Compile / compileIncremental 9s - - - - - - | => icenet / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 7s - | => nvdla / Compile / compileIncremental 8s - | => ariane / Compile / compileIncremental 8s - | => hwacha / Compile / compileIncremental 8s - | => sifive_cache / Compile / compileIncremental 8s - | => iocell / Compile / compileIncremental 9s - | => firrtl_interpreter / Compile / compileIncremental 10s - | => treadle / Compile / compileIncremental 10s - - - - - - | => icenet / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 7s - | => nvdla / Compile / compileIncremental 8s - | => ariane / Compile / compileIncremental 8s - | => hwacha / Compile / compileIncremental 8s - | => sifive_cache / Compile / compileIncremental 8s - | => iocell / Compile / compileIncremental 9s - | => firrtl_interpreter / Compile / compileIncremental 10s - | => treadle / Compile / compileIncremental 10s - - - - - - | => icenet / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 7s - | => nvdla / Compile / compileIncremental 8s - | => ariane / Compile / compileIncremental 8s - | => hwacha / Compile / compileIncremental 8s - | => sifive_cache / Compile / compileIncremental 8s - | => iocell / Compile / compileIncremental 9s - | => firrtl_interpreter / Compile / compileIncremental 10s - | => treadle / Compile / compileIncremental 10s - - - - - - | => icenet / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 8s - | => nvdla / Compile / compileIncremental 8s - | => ariane / Compile / compileIncremental 8s - | => hwacha / Compile / compileIncremental 8s - | => sifive_cache / Compile / compileIncremental 8s - | => iocell / Compile / compileIncremental 9s - | => firrtl_interpreter / Compile / compileIncremental 10s - | => treadle / Compile / compileIncremental 10s - - - - - - | => icenet / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 8s - | => nvdla / Compile / compileIncremental 8s - | => ariane / Compile / compileIncremental 8s - | => hwacha / Compile / compileIncremental 8s - | => sifive_cache / Compile / compileIncremental 8s - | => iocell / Compile / compileIncremental 9s - | => firrtl_interpreter / Compile / compileIncremental 10s - | => treadle / Compile / compileIncremental 10s - - - - - - | => icenet / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 8s - | => nvdla / Compile / compileIncremental 8s - | => ariane / Compile / compileIncremental 8s - | => hwacha / Compile / compileIncremental 8s - | => sifive_cache / Compile / compileIncremental 8s - | => iocell / Compile / compileIncremental 9s - | => firrtl_interpreter / Compile / compileIncremental 10s - | => treadle / Compile / compileIncremental 10s - - - - - - | => icenet / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 8s - | => nvdla / Compile / compileIncremental 8s - | => ariane / Compile / compileIncremental 8s - | => hwacha / Compile / compileIncremental 8s - | => sifive_cache / Compile / compileIncremental 8s - | => iocell / Compile / compileIncremental 9s - | => firrtl_interpreter / Compile / compileIncremental 10s - | => treadle / Compile / compileIncremental 10s - - - - - - | => icenet / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 8s - | => nvdla / Compile / compileIncremental 8s - | => ariane / Compile / compileIncremental 8s - | => hwacha / Compile / compileIncremental 8s - | => sifive_cache / Compile / compileIncremental 8s - | => iocell / Compile / compileIncremental 10s - | => firrtl_interpreter / Compile / compileIncremental 10s - | => treadle / Compile / compileIncremental 10s - - - - - - | => icenet / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 8s - | => nvdla / Compile / compileIncremental 8s - | => ariane / Compile / compileIncremental 8s - | => hwacha / Compile / compileIncremental 8s - | => sifive_cache / Compile / compileIncremental 8s - | => iocell / Compile / compileIncremental 10s - | => firrtl_interpreter / Compile / compileIncremental 10s - | => treadle / Compile / compileIncremental 10s -[info]  Compilation completed in 10.612s. - - - - - - | => icenet / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 8s - | => nvdla / Compile / compileIncremental 8s - | => ariane / Compile / compileIncremental 8s - | => hwacha / Compile / compileIncremental 8s - | => sifive_cache / Compile / compileIncremental 8s - | => iocell / Compile / compileIncremental 10s - | => firrtl_interpreter / Compile / compileIncremental 10s - | => treadle / Compile / compileIncremental 10s - - - - - - | => icenet / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 8s - | => nvdla / Compile / compileIncremental 9s - | => ariane / Compile / compileIncremental 9s - | => hwacha / Compile / compileIncremental 9s - | => sifive_cache / Compile / compileIncremental 9s - | => iocell / Compile / compileIncremental 10s - | => firrtl_interpreter / Compile / compileIncremental 10s - | => treadle / Compile / compileIncremental 10s - - - - - - | => icenet / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 8s - | => nvdla / Compile / compileIncremental 9s - | => ariane / Compile / compileIncremental 9s - | => hwacha / Compile / compileIncremental 9s - | => sifive_cache / Compile / compileIncremental 9s - | => iocell / Compile / compileIncremental 10s - | => firrtl_interpreter / Compile / compileIncremental 11s - | => treadle / Compile / compileIncremental 11s - - - - - - | => icenet / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 8s - | => nvdla / Compile / compileIncremental 9s - | => ariane / Compile / compileIncremental 9s - | => hwacha / Compile / compileIncremental 9s - | => sifive_cache / Compile / compileIncremental 9s - | => iocell / Compile / compileIncremental 10s - | => firrtl_interpreter / Compile / compileIncremental 11s - | => treadle / Compile / compileIncremental 11s - - - - - - | => icenet / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 8s - | => nvdla / Compile / compileIncremental 9s - | => ariane / Compile / compileIncremental 9s - | => hwacha / Compile / compileIncremental 9s - | => sifive_cache / Compile / compileIncremental 9s - | => iocell / Compile / compileIncremental 10s - | => firrtl_interpreter / Compile / compileIncremental 11s - | => treadle / Compile / compileIncremental 11s - - - - - - | => icenet / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 9s - | => nvdla / Compile / compileIncremental 9s - | => ariane / Compile / compileIncremental 9s - | => hwacha / Compile / compileIncremental 9s - | => sifive_cache / Compile / compileIncremental 9s - | => iocell / Compile / compileIncremental 10s - | => firrtl_interpreter / Compile / compileIncremental 11s - | => treadle / Compile / compileIncremental 11s - - - - - - | => icenet / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 9s - | => nvdla / Compile / compileIncremental 9s - | => ariane / Compile / compileIncremental 9s - | => hwacha / Compile / compileIncremental 9s - | => sifive_cache / Compile / compileIncremental 9s - | => iocell / Compile / compileIncremental 10s - | => firrtl_interpreter / Compile / compileIncremental 11s - | => treadle / Compile / compileIncremental 11s - - - - - - | => icenet / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 9s - | => nvdla / Compile / compileIncremental 9s - | => ariane / Compile / compileIncremental 9s - | => hwacha / Compile / compileIncremental 9s - | => sifive_cache / Compile / compileIncremental 9s - | => iocell / Compile / compileIncremental 10s - | => firrtl_interpreter / Compile / compileIncremental 11s - | => treadle / Compile / compileIncremental 11s - - - - - - | => icenet / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 9s - | => nvdla / Compile / compileIncremental 9s - | => ariane / Compile / compileIncremental 9s - | => hwacha / Compile / compileIncremental 9s - | => sifive_cache / Compile / compileIncremental 9s - | => iocell / Compile / compileIncremental 10s - | => firrtl_interpreter / Compile / compileIncremental 11s - | => treadle / Compile / compileIncremental 11s - - - - - - | => icenet / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 9s - | => nvdla / Compile / compileIncremental 9s - | => ariane / Compile / compileIncremental 9s - | => hwacha / Compile / compileIncremental 9s - | => sifive_cache / Compile / compileIncremental 9s - | => iocell / Compile / compileIncremental 11s - | => firrtl_interpreter / Compile / compileIncremental 11s - | => treadle / Compile / compileIncremental 11s - - - - - - | => icenet / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 9s - | => nvdla / Compile / compileIncremental 9s - | => ariane / Compile / compileIncremental 9s - | => hwacha / Compile / compileIncremental 9s - | => sifive_cache / Compile / compileIncremental 9s - | => iocell / Compile / compileIncremental 11s - | => firrtl_interpreter / Compile / compileIncremental 11s - | => treadle / Compile / compileIncremental 11s - - - - - - | => icenet / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 9s - | => nvdla / Compile / compileIncremental 10s - | => ariane / Compile / compileIncremental 10s - | => hwacha / Compile / compileIncremental 10s - | => sifive_cache / Compile / compileIncremental 10s - | => iocell / Compile / compileIncremental 11s - | => firrtl_interpreter / Compile / compileIncremental 11s - | => treadle / Compile / compileIncremental 11s - - - - - - | => icenet / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 9s - | => nvdla / Compile / compileIncremental 10s - | => ariane / Compile / compileIncremental 10s - | => hwacha / Compile / compileIncremental 10s - | => sifive_cache / Compile / compileIncremental 10s - | => iocell / Compile / compileIncremental 11s - | => firrtl_interpreter / Compile / compileIncremental 12s - | => treadle / Compile / compileIncremental 12s - - - - - - | => icenet / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 9s - | => nvdla / Compile / compileIncremental 10s - | => ariane / Compile / compileIncremental 10s - | => hwacha / Compile / compileIncremental 10s - | => sifive_cache / Compile / compileIncremental 10s - | => iocell / Compile / compileIncremental 11s - | => firrtl_interpreter / Compile / compileIncremental 12s - | => treadle / Compile / compileIncremental 12s - - - - - - | => icenet / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 10s - | => nvdla / Compile / compileIncremental 10s - | => ariane / Compile / compileIncremental 10s - | => hwacha / Compile / compileIncremental 10s - | => sifive_cache / Compile / compileIncremental 10s - | => iocell / Compile / compileIncremental 11s - | => firrtl_interpreter / Compile / compileIncremental 12s - | => treadle / Compile / compileIncremental 12s - - - - - - | => icenet / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 10s - | => nvdla / Compile / compileIncremental 10s - | => ariane / Compile / compileIncremental 10s - | => hwacha / Compile / compileIncremental 10s - | => sifive_cache / Compile / compileIncremental 10s - | => iocell / Compile / compileIncremental 11s - | => firrtl_interpreter / Compile / compileIncremental 12s - | => treadle / Compile / compileIncremental 12s - - - - - - | => icenet / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 10s - | => nvdla / Compile / compileIncremental 10s - | => ariane / Compile / compileIncremental 10s - | => hwacha / Compile / compileIncremental 10s - | => sifive_cache / Compile / compileIncremental 10s - | => iocell / Compile / compileIncremental 11s - | => firrtl_interpreter / Compile / compileIncremental 12s - | => treadle / Compile / compileIncremental 12s - - - - - - | => icenet / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 10s - | => nvdla / Compile / compileIncremental 10s - | => ariane / Compile / compileIncremental 10s - | => hwacha / Compile / compileIncremental 10s - | => sifive_cache / Compile / compileIncremental 10s - | => iocell / Compile / compileIncremental 11s - | => firrtl_interpreter / Compile / compileIncremental 12s - | => treadle / Compile / compileIncremental 12s - - - - - - | => icenet / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 10s - | => nvdla / Compile / compileIncremental 10s - | => ariane / Compile / compileIncremental 10s - | => hwacha / Compile / compileIncremental 10s - | => sifive_cache / Compile / compileIncremental 10s - | => iocell / Compile / compileIncremental 12s - | => firrtl_interpreter / Compile / compileIncremental 12s - | => treadle / Compile / compileIncremental 12s - - - - - - | => icenet / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 10s - | => nvdla / Compile / compileIncremental 10s - | => ariane / Compile / compileIncremental 10s - | => hwacha / Compile / compileIncremental 10s - | => sifive_cache / Compile / compileIncremental 10s - | => iocell / Compile / compileIncremental 12s - | => firrtl_interpreter / Compile / compileIncremental 12s - | => treadle / Compile / compileIncremental 12s - - - - - - | => icenet / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 10s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 12s - | => firrtl_interpreter / Compile / compileIncremental 12s - | => treadle / Compile / compileIncremental 12s - - - - - - | => icenet / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 10s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 12s - | => firrtl_interpreter / Compile / compileIncremental 12s - | => treadle / Compile / compileIncremental 12s - - - - - - | => icenet / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 10s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 12s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Concrete.scala:270:4: @deprecated now takes two arguments; see the scaladoc. - - - - - - | => icenet / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 10s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 12s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s -[warn]  @deprecated(s"Use toBinaryString instead") - - - - - - | => icenet / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 10s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 12s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 10s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 12s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s - - - - - - | => icenet / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 10s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 12s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s - - - - - - | => icenet / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 11s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 12s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s - - - - - - | => icenet / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 11s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 12s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s - - - - - - | => icenet / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 11s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 12s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s - - - - - - | => icenet / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 11s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 12s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s - - - - - - | => icenet / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 11s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/ExternalModule.scala:41:4: @deprecated now takes two arguments; see the scaladoc. - - - - - - | => icenet / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 11s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s -[warn]  @deprecated("Do not use. This was formerly used to add BlackBox name to io, just use un-prefixed input names") - - - - - - | => icenet / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 11s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 11s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s - - - - - - | => icenet / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 11s - | => nvdla / Compile / compileIncremental 11s - | => ariane / Compile / compileIncremental 11s - | => hwacha / Compile / compileIncremental 11s - | => sifive_cache / Compile / compileIncremental 11s - | => iocell / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s - - - - - - | => icenet / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 11s - | => nvdla / Compile / compileIncremental 12s - | => ariane / Compile / compileIncremental 12s - | => hwacha / Compile / compileIncremental 12s - | => sifive_cache / Compile / compileIncremental 12s - | => iocell / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 13s - | => treadle / Compile / compileIncremental 13s - - - - - - | => icenet / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 11s - | => nvdla / Compile / compileIncremental 12s - | => ariane / Compile / compileIncremental 12s - | => hwacha / Compile / compileIncremental 12s - | => sifive_cache / Compile / compileIncremental 12s - | => iocell / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 14s - | => treadle / Compile / compileIncremental 14s - - - - - - | => icenet / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 11s - | => nvdla / Compile / compileIncremental 12s - | => ariane / Compile / compileIncremental 12s - | => hwacha / Compile / compileIncremental 12s - | => sifive_cache / Compile / compileIncremental 12s - | => iocell / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 14s - | => treadle / Compile / compileIncremental 14s - - - - - - | => icenet / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 11s - | => nvdla / Compile / compileIncremental 12s - | => ariane / Compile / compileIncremental 12s - | => hwacha / Compile / compileIncremental 12s - | => sifive_cache / Compile / compileIncremental 12s - | => iocell / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 14s - | => treadle / Compile / compileIncremental 14s - - - - - - | => icenet / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 12s - | => nvdla / Compile / compileIncremental 12s - | => ariane / Compile / compileIncremental 12s - | => hwacha / Compile / compileIncremental 12s - | => sifive_cache / Compile / compileIncremental 12s - | => iocell / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 14s - | => treadle / Compile / compileIncremental 14s - - - - - - | => icenet / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 12s - | => nvdla / Compile / compileIncremental 12s - | => ariane / Compile / compileIncremental 12s - | => hwacha / Compile / compileIncremental 12s - | => sifive_cache / Compile / compileIncremental 12s - | => iocell / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 14s - | => treadle / Compile / compileIncremental 14s - - - - - - | => icenet / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 12s - | => nvdla / Compile / compileIncremental 12s - | => ariane / Compile / compileIncremental 12s - | => hwacha / Compile / compileIncremental 12s - | => sifive_cache / Compile / compileIncremental 12s - | => iocell / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 14s - | => treadle / Compile / compileIncremental 14s - - - - - - | => icenet / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 12s - | => nvdla / Compile / compileIncremental 12s - | => ariane / Compile / compileIncremental 12s - | => hwacha / Compile / compileIncremental 12s - | => sifive_cache / Compile / compileIncremental 12s - | => iocell / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 14s - | => treadle / Compile / compileIncremental 14s - - - - - - | => icenet / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 12s - | => nvdla / Compile / compileIncremental 12s - | => ariane / Compile / compileIncremental 12s - | => hwacha / Compile / compileIncremental 12s - | => sifive_cache / Compile / compileIncremental 12s - | => iocell / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 14s - | => treadle / Compile / compileIncremental 14s - - - - - - | => icenet / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 12s - | => nvdla / Compile / compileIncremental 12s - | => ariane / Compile / compileIncremental 12s - | => hwacha / Compile / compileIncremental 12s - | => sifive_cache / Compile / compileIncremental 12s - | => iocell / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 14s - | => treadle / Compile / compileIncremental 14s - - - - - - | => icenet / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 12s - | => nvdla / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => iocell / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 14s - | => treadle / Compile / compileIncremental 14s - - - - - - | => icenet / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 12s - | => nvdla / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => iocell / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s - - - - - - | => icenet / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 12s - | => nvdla / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => iocell / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s -[warn] there was one feature warning; re-run with -feature for details - - - - - - | => icenet / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 12s - | => nvdla / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => iocell / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s -[warn] one warning found - - - - - - | => icenet / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 12s - | => nvdla / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => iocell / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s - - - - - - | => icenet / Compile / compileIncremental 13s - | => boom / Compile / compileIncremental 13s - | => nvdla / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => iocell / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s - - - - - - | => iocell / Compile / compile 0s - | => icenet / Compile / compileIncremental 13s - | => boom / Compile / compileIncremental 13s - | => nvdla / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s - - - - - - | => iocell / Compile / compile 0s - | => icenet / Compile / compileIncremental 13s - | => boom / Compile / compileIncremental 13s - | => nvdla / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s -[warn] there were 62 feature warnings; re-run with -feature for details - - - - - - | => iocell / Compile / compile 0s - | => icenet / Compile / compileIncremental 13s - | => boom / Compile / compileIncremental 13s - | => nvdla / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s -[warn] one warning found - - - - - - | => iocell / Compile / compile 0s - | => icenet / Compile / compileIncremental 13s - | => boom / Compile / compileIncremental 13s - | => nvdla / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s - - - - - - - | => icenet / Compile / compileIncremental 13s - | => boom / Compile / compileIncremental 13s - | => nvdla / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s - - - - - - - | => nvdla / Compile / compile 0s - | => icenet / Compile / compileIncremental 13s - | => boom / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s - - - - - - - | => nvdla / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 13s - | => boom / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s - - - - - - - | => nvdla / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 13s - | => boom / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 13s - | => hwacha / Compile / compileIncremental 13s - | => sifive_cache / Compile / compileIncremental 13s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s - - - - - - - | => nvdla / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 13s - | => boom / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 14s - | => hwacha / Compile / compileIncremental 14s - | => sifive_cache / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 15s - | => treadle / Compile / compileIncremental 15s - - - - - - - | => nvdla / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 13s - | => boom / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 14s - | => hwacha / Compile / compileIncremental 14s - | => sifive_cache / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 16s - | => treadle / Compile / compileIncremental 16s - - - - - - - | => nvdla / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 13s - | => boom / Compile / compileIncremental 13s - | => ariane / Compile / compileIncremental 14s - | => hwacha / Compile / compileIncremental 14s - | => sifive_cache / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 16s - | => treadle / Compile / compileIncremental 16s - - - - - - - | => nvdla / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 14s - | => boom / Compile / compileIncremental 14s - | => ariane / Compile / compileIncremental 14s - | => hwacha / Compile / compileIncremental 14s - | => sifive_cache / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 16s - | => treadle / Compile / compileIncremental 16s - - - - - - - | => nvdla / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 14s - | => boom / Compile / compileIncremental 14s - | => ariane / Compile / compileIncremental 14s - | => hwacha / Compile / compileIncremental 14s - | => sifive_cache / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 16s - | => treadle / Compile / compileIncremental 16s - - - - - - - | => nvdla / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 14s - | => boom / Compile / compileIncremental 14s - | => ariane / Compile / compileIncremental 14s - | => hwacha / Compile / compileIncremental 14s - | => sifive_cache / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 16s - | => treadle / Compile / compileIncremental 16s - - - - - - - | => nvdla / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 14s - | => boom / Compile / compileIncremental 14s - | => ariane / Compile / compileIncremental 14s - | => hwacha / Compile / compileIncremental 14s - | => sifive_cache / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 16s - | => treadle / Compile / compileIncremental 16s - - - - - - - | => nvdla / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 14s - | => boom / Compile / compileIncremental 14s - | => ariane / Compile / compileIncremental 14s - | => hwacha / Compile / compileIncremental 14s - | => sifive_cache / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 16s - | => treadle / Compile / compileIncremental 16s - - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 14s - | => boom / Compile / compileIncremental 14s - | => ariane / Compile / compileIncremental 14s - | => hwacha / Compile / compileIncremental 14s - | => sifive_cache / Compile / compileIncremental 14s - | => firrtl_interpreter / Compile / compileIncremental 16s - | => treadle / Compile / compileIncremental 16s - - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 14s - | => boom / Compile / compileIncremental 14s - | => ariane / Compile / compileIncremental 14s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 16s - | => treadle / Compile / compileIncremental 16s - - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 14s - | => boom / Compile / compileIncremental 14s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 16s - | => treadle / Compile / compileIncremental 16s - - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 14s - | => boom / Compile / compileIncremental 14s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s - - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 14s - | => boom / Compile / compileIncremental 14s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s - - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s - - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s - - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Concrete.scala:17:5: match may not be exhaustive. - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn] It would fail on the following inputs: (Concrete(), _), (ConcreteSInt(_, _, _), _), (ConcreteUInt(_, _, _), _), (_, Concrete()), (_, ConcreteSInt(_, _, _)), (_, ConcreteUInt(_, _, _)), (_, _) - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn]  (this, that) match { - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn]  ^ - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Concrete.scala:29:5: match may not be exhaustive. - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn] It would fail on the following inputs: (Concrete(), _), (ConcreteSInt(_, _, _), _), (ConcreteUInt(_, _, _), _), (_, Concrete()), (_, ConcreteSInt(_, _, _)), (_, ConcreteUInt(_, _, _)), (_, _) - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn]  (this, that) match { - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn]  ^ - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Concrete.scala:43:5: match may not be exhaustive. - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn] It would fail on the following inputs: (Concrete(), _), (ConcreteSInt(_, _, _), _), (ConcreteUInt(_, _, _), _), (_, Concrete()), (_, ConcreteSInt(_, _, _)), (_, ConcreteUInt(_, _, _)), (_, _) - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn]  (this, that) match { - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn]  ^ - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Concrete.scala:51:5: match may not be exhaustive. - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn] It would fail on the following inputs: (Concrete(), _), (ConcreteSInt(_, _, _), _), (ConcreteUInt(_, _, _), _), (_, Concrete()), (_, ConcreteSInt(_, _, _)), (_, ConcreteUInt(_, _, _)), (_, _) - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn]  (this, that) match { - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn]  ^ - - - - - - | => nvdla / Compile / packageBin 1s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s - - - - - - | => nvdla / Compile / packageBin 2s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 15s - | => hwacha / Compile / compileIncremental 15s - | => sifive_cache / Compile / compileIncremental 15s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s - - - - - - | => nvdla / Compile / packageBin 2s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Concrete.scala:67:5: match may not be exhaustive. - - - - - - | => nvdla / Compile / packageBin 2s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn] It would fail on the following inputs: (Concrete(), _), (ConcreteSInt(_, _, _), _), (ConcreteUInt(_, _, _), _), (_, Concrete()), (_, ConcreteSInt(_, _, _)), (_, ConcreteUInt(_, _, _)), (_, _) - - - - - - | => nvdla / Compile / packageBin 2s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn]  (this, that) match { - - - - - - | => nvdla / Compile / packageBin 2s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s -[warn]  ^ - - - - - - | => nvdla / Compile / packageBin 2s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s - - - - - - | => nvdla / Compile / packageBin 2s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 17s - | => treadle / Compile / compileIncremental 17s - - - - - - | => nvdla / Compile / packageBin 2s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 18s - | => treadle / Compile / compileIncremental 18s - - - - - - | => nvdla / Compile / packageBin 2s - | => icenet / Compile / compileIncremental 15s - | => boom / Compile / compileIncremental 15s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 18s - | => treadle / Compile / compileIncremental 18s - - - - - - | => nvdla / Compile / packageBin 2s - | => icenet / Compile / compileIncremental 16s - | => boom / Compile / compileIncremental 16s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 18s - | => treadle / Compile / compileIncremental 18s - - - - - - | => nvdla / Compile / packageBin 2s - | => icenet / Compile / compileIncremental 16s - | => boom / Compile / compileIncremental 16s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 18s - | => treadle / Compile / compileIncremental 18s - - - - - - | => nvdla / Compile / packageBin 2s - | => icenet / Compile / compileIncremental 16s - | => boom / Compile / compileIncremental 16s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 18s - | => treadle / Compile / compileIncremental 18s - - - - - - | => nvdla / Compile / packageBin 2s - | => icenet / Compile / compileIncremental 16s - | => boom / Compile / compileIncremental 16s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 18s - | => treadle / Compile / compileIncremental 18s - - - - - - | => nvdla / Compile / packageBin 3s - | => icenet / Compile / compileIncremental 16s - | => boom / Compile / compileIncremental 16s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 18s - | => treadle / Compile / compileIncremental 18s - - - - - - | => nvdla / Compile / packageBin 3s - | => icenet / Compile / compileIncremental 16s - | => boom / Compile / compileIncremental 16s - | => ariane / Compile / compileIncremental 16s - | => hwacha / Compile / compileIncremental 16s - | => sifive_cache / Compile / compileIncremental 16s - | => firrtl_interpreter / Compile / compileIncremental 18s - | => treadle / Compile / compileIncremental 18s - - - - - - | => nvdla / Compile / packageBin 3s - | => icenet / Compile / compileIncremental 16s - | => boom / Compile / compileIncremental 16s - | => ariane / Compile / compileIncremental 17s - | => hwacha / Compile / compileIncremental 17s - | => sifive_cache / Compile / compileIncremental 17s - | => firrtl_interpreter / Compile / compileIncremental 18s - | => treadle / Compile / compileIncremental 18s - - - - - - | => nvdla / Compile / packageBin 3s - | => icenet / Compile / compileIncremental 16s - | => boom / Compile / compileIncremental 16s - | => ariane / Compile / compileIncremental 17s - | => hwacha / Compile / compileIncremental 17s - | => sifive_cache / Compile / compileIncremental 17s - | => firrtl_interpreter / Compile / compileIncremental 19s - | => treadle / Compile / compileIncremental 19s - - - - - - | => nvdla / Compile / packageBin 3s - | => icenet / Compile / compileIncremental 16s - | => boom / Compile / compileIncremental 16s - | => ariane / Compile / compileIncremental 17s - | => hwacha / Compile / compileIncremental 17s - | => sifive_cache / Compile / compileIncremental 17s - | => firrtl_interpreter / Compile / compileIncremental 19s - | => treadle / Compile / compileIncremental 19s - - - - - - | => nvdla / Compile / packageBin 3s - | => icenet / Compile / compileIncremental 16s - | => boom / Compile / compileIncremental 16s - | => ariane / Compile / compileIncremental 17s - | => hwacha / Compile / compileIncremental 17s - | => sifive_cache / Compile / compileIncremental 17s - | => firrtl_interpreter / Compile / compileIncremental 19s - | => treadle / Compile / compileIncremental 19s - - - - - - | => nvdla / Compile / packageBin 3s - | => icenet / Compile / compileIncremental 17s - | => boom / Compile / compileIncremental 17s - | => ariane / Compile / compileIncremental 17s - | => hwacha / Compile / compileIncremental 17s - | => sifive_cache / Compile / compileIncremental 17s - | => firrtl_interpreter / Compile / compileIncremental 19s - | => treadle / Compile / compileIncremental 19s - - - - - - | => nvdla / Compile / packageBin 3s - | => icenet / Compile / compileIncremental 17s - | => boom / Compile / compileIncremental 17s - | => ariane / Compile / compileIncremental 17s - | => hwacha / Compile / compileIncremental 17s - | => sifive_cache / Compile / compileIncremental 17s - | => firrtl_interpreter / Compile / compileIncremental 19s - | => treadle / Compile / compileIncremental 19s - - - - - - | => nvdla / Compile / packageBin 3s - | => icenet / Compile / compileIncremental 17s - | => boom / Compile / compileIncremental 17s - | => ariane / Compile / compileIncremental 17s - | => hwacha / Compile / compileIncremental 17s - | => sifive_cache / Compile / compileIncremental 17s - | => firrtl_interpreter / Compile / compileIncremental 19s - | => treadle / Compile / compileIncremental 19s - - - - - - | => nvdla / Compile / packageBin 3s - | => icenet / Compile / compileIncremental 17s - | => boom / Compile / compileIncremental 17s - | => ariane / Compile / compileIncremental 17s - | => hwacha / Compile / compileIncremental 17s - | => sifive_cache / Compile / compileIncremental 17s - | => firrtl_interpreter / Compile / compileIncremental 19s - | => treadle / Compile / compileIncremental 19s - - - - - - - | => icenet / Compile / compileIncremental 17s - | => boom / Compile / compileIncremental 17s - | => ariane / Compile / compileIncremental 17s - | => hwacha / Compile / compileIncremental 17s - | => sifive_cache / Compile / compileIncremental 17s - | => firrtl_interpreter / Compile / compileIncremental 19s - | => treadle / Compile / compileIncremental 19s - - - - - - - | => icenet / Compile / compileIncremental 17s - | => boom / Compile / compileIncremental 17s - | => ariane / Compile / compileIncremental 17s - | => hwacha / Compile / compileIncremental 17s - | => sifive_cache / Compile / compileIncremental 17s - | => firrtl_interpreter / Compile / compileIncremental 19s - | => treadle / Compile / compileIncremental 19s - - - - - - - | => icenet / Compile / compileIncremental 17s - | => boom / Compile / compileIncremental 17s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 19s - | => treadle / Compile / compileIncremental 19s - - - - - - - | => icenet / Compile / compileIncremental 17s - | => boom / Compile / compileIncremental 17s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s - - - - - - - | => icenet / Compile / compileIncremental 17s - | => boom / Compile / compileIncremental 17s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s - - - - - - - | => icenet / Compile / compileIncremental 17s - | => boom / Compile / compileIncremental 17s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s - - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/Directory.scala:113:20: method apply in object LFSR16 is deprecated (since 3.2): Use chisel3.util.random.LFSR(16) for a 16-bit LFSR - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  val victimLFSR = LFSR16(params.dirReg(ren))(InclusiveCacheParameters.lfsrBits-1, 0) - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/InclusiveCache.scala:77:24: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  clientFn = { _ => TLClientPortParameters(Seq(TLClientParameters( - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/InclusiveCache.scala:77:51: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  clientFn = { _ => TLClientPortParameters(Seq(TLClientParameters( - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/InclusiveCache.scala:82:24: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  managerFn = { m => TLManagerPortParameters( - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/generators/sifive-cache/design/craft/inclusivecache/src/InclusiveCache.scala:83:42: method copy in class TLSlaveParameters is deprecated: Use v1copy instead of copy - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  managers = m.managers.map { m => m.copy( - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:18:18: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  extends firrtl.ComposableOptions { - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:20:41: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  def vcdOutputFileName(optionsManager: ExecutionOptionsManager): String = { - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:31:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  self: ExecutionOptionsManager => - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:121:41: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] class InterpreterOptionsManager extends ExecutionOptionsManager("interpreter") with HasInterpreterSuite - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:123:35: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] trait HasInterpreterSuite extends ExecutionOptionsManager with HasFirrtlOptions with HasInterpreterOptions { - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:123:64: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] trait HasInterpreterSuite extends ExecutionOptionsManager with HasFirrtlOptions with HasInterpreterOptions { - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/Driver.scala:124:10: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  self : ExecutionOptionsManager => - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/InterpretiveTester.scala:24:29: class CommonOptions in package firrtl is deprecated (since 1.2): Use a FirrtlOptionsView, LoggerOptionsView, or construct your own view of an AnnotationSeq - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  val commonOptions: firrtl.CommonOptions = optionsManager.commonOptions - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/ReplConfig.scala:14:18: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  extends firrtl.ComposableOptions - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/ReplConfig.scala:17:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  self: ExecutionOptionsManager => - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/ToLoFirrtl.scala:10:29: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  optionsManager: ExecutionOptionsManager with HasFirrtlOptions with HasInterpreterOptions): Circuit = { - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/ToLoFirrtl.scala:11:24: class LowFirrtlCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[LowFirrtlEmitter]) - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  val compiler = new LowFirrtlCompiler - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/ToLoFirrtl.scala:14:72: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  val compileResult = compiler.compileAndEmit(firrtl.CircuitState(c, ChirrtlForm, annotations)) - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/VcdReplayTester.scala:215:18: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  extends firrtl.ComposableOptions - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/VcdReplayTester.scala:218:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  self: ExecutionOptionsManager => - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 18s - | => hwacha / Compile / compileIncremental 18s - | => sifive_cache / Compile / compileIncremental 18s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/vcd/VCDConfig.scala:14:18: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  extends firrtl.ComposableOptions { - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/vcd/VCDConfig.scala:18:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  self: ExecutionOptionsManager => - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] /home/riscvuser/chipyard/tools/firrtl-interpreter/src/main/scala/firrtl_interpreter/vcd/VCDConfig.scala:55:33: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn] class VCDOptionsManager extends ExecutionOptionsManager("vcd") with HasVCDConfig - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 20s - | => treadle / Compile / compileIncremental 20s - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 21s - | => treadle / Compile / compileIncremental 21s - - - - - - | => icenet / Compile / compileIncremental 18s - | => boom / Compile / compileIncremental 18s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 21s - | => treadle / Compile / compileIncremental 21s - - - - - - | => icenet / Compile / compileIncremental 19s - | => boom / Compile / compileIncremental 19s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 21s - | => treadle / Compile / compileIncremental 21s - - - - - - | => icenet / Compile / compileIncremental 19s - | => boom / Compile / compileIncremental 19s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 21s - | => treadle / Compile / compileIncremental 21s -[warn] there were 61 feature warnings; re-run with -feature for details - - - - - - | => icenet / Compile / compileIncremental 19s - | => boom / Compile / compileIncremental 19s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 21s - | => treadle / Compile / compileIncremental 21s - - - - - - | => icenet / Compile / compileIncremental 19s - | => boom / Compile / compileIncremental 19s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 21s - | => treadle / Compile / compileIncremental 21s -[warn] one warning found - - - - - - | => icenet / Compile / compileIncremental 19s - | => boom / Compile / compileIncremental 19s - | => ariane / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 21s - | => treadle / Compile / compileIncremental 21s - - - - - - | => ariane / Compile / compile 0s - | => icenet / Compile / compileIncremental 19s - | => boom / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 21s - | => treadle / Compile / compileIncremental 21s - - - - - - | => ariane / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 19s - | => boom / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 21s - | => treadle / Compile / compileIncremental 21s - - - - - - | => ariane / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 19s - | => boom / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 21s - | => treadle / Compile / compileIncremental 21s - - - - - - | => ariane / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 19s - | => boom / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 19s - | => sifive_cache / Compile / compileIncremental 19s - | => firrtl_interpreter / Compile / compileIncremental 21s - | => treadle / Compile / compileIncremental 21s - - - - - - | => ariane / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 19s - | => boom / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 20s - | => sifive_cache / Compile / compileIncremental 20s - | => firrtl_interpreter / Compile / compileIncremental 21s - | => treadle / Compile / compileIncremental 21s - - - - - - | => ariane / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 19s - | => boom / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 20s - | => sifive_cache / Compile / compileIncremental 20s - | => firrtl_interpreter / Compile / compileIncremental 22s - | => treadle / Compile / compileIncremental 22s - - - - - - | => ariane / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 19s - | => boom / Compile / compileIncremental 19s - | => hwacha / Compile / compileIncremental 20s - | => sifive_cache / Compile / compileIncremental 20s - | => firrtl_interpreter / Compile / compileIncremental 22s - | => treadle / Compile / compileIncremental 22s - - - - - - | => ariane / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 20s - | => boom / Compile / compileIncremental 20s - | => hwacha / Compile / compileIncremental 20s - | => sifive_cache / Compile / compileIncremental 20s - | => firrtl_interpreter / Compile / compileIncremental 22s - | => treadle / Compile / compileIncremental 22s - - - - - - | => ariane / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 20s - | => boom / Compile / compileIncremental 20s - | => hwacha / Compile / compileIncremental 20s - | => sifive_cache / Compile / compileIncremental 20s - | => firrtl_interpreter / Compile / compileIncremental 22s - | => treadle / Compile / compileIncremental 22s - - - - - - | => ariane / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 20s - | => boom / Compile / compileIncremental 20s - | => hwacha / Compile / compileIncremental 20s - | => sifive_cache / Compile / compileIncremental 20s - | => firrtl_interpreter / Compile / compileIncremental 22s - | => treadle / Compile / compileIncremental 22s - - - - - - - | => icenet / Compile / compileIncremental 20s - | => boom / Compile / compileIncremental 20s - | => hwacha / Compile / compileIncremental 20s - | => sifive_cache / Compile / compileIncremental 20s - | => firrtl_interpreter / Compile / compileIncremental 22s - | => treadle / Compile / compileIncremental 22s - - - - - - - | => icenet / Compile / compileIncremental 20s - | => boom / Compile / compileIncremental 20s - | => hwacha / Compile / compileIncremental 20s - | => sifive_cache / Compile / compileIncremental 20s - | => firrtl_interpreter / Compile / compileIncremental 22s - | => treadle / Compile / compileIncremental 22s - - - - - - - | => icenet / Compile / compileIncremental 20s - | => boom / Compile / compileIncremental 20s - | => hwacha / Compile / compileIncremental 20s - | => sifive_cache / Compile / compileIncremental 20s - | => firrtl_interpreter / Compile / compileIncremental 22s - | => treadle / Compile / compileIncremental 22s - - - - - - - | => icenet / Compile / compileIncremental 20s - | => boom / Compile / compileIncremental 20s - | => hwacha / Compile / compileIncremental 21s - | => sifive_cache / Compile / compileIncremental 21s - | => firrtl_interpreter / Compile / compileIncremental 22s - | => treadle / Compile / compileIncremental 22s - - - - - - - | => icenet / Compile / compileIncremental 20s - | => boom / Compile / compileIncremental 20s - | => hwacha / Compile / compileIncremental 21s - | => sifive_cache / Compile / compileIncremental 21s - | => firrtl_interpreter / Compile / compileIncremental 22s - | => treadle / Compile / compileIncremental 22s - - - - - - - | => icenet / Compile / compileIncremental 20s - | => boom / Compile / compileIncremental 20s - | => hwacha / Compile / compileIncremental 21s - | => sifive_cache / Compile / compileIncremental 21s - | => firrtl_interpreter / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 23s - - - - - - - | => icenet / Compile / compileIncremental 20s - | => boom / Compile / compileIncremental 20s - | => hwacha / Compile / compileIncremental 21s - | => sifive_cache / Compile / compileIncremental 21s - | => firrtl_interpreter / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 23s - - - - - - - | => icenet / Compile / compileIncremental 21s - | => boom / Compile / compileIncremental 21s - | => hwacha / Compile / compileIncremental 21s - | => sifive_cache / Compile / compileIncremental 21s - | => firrtl_interpreter / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 23s - - - - - - - | => icenet / Compile / compileIncremental 21s - | => boom / Compile / compileIncremental 21s - | => hwacha / Compile / compileIncremental 21s - | => sifive_cache / Compile / compileIncremental 21s - | => firrtl_interpreter / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 23s - - - - - - - | => icenet / Compile / compileIncremental 21s - | => boom / Compile / compileIncremental 21s - | => hwacha / Compile / compileIncremental 21s - | => sifive_cache / Compile / compileIncremental 21s - | => firrtl_interpreter / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 23s - - - - - - - | => icenet / Compile / compileIncremental 21s - | => boom / Compile / compileIncremental 21s - | => hwacha / Compile / compileIncremental 21s - | => sifive_cache / Compile / compileIncremental 21s - | => firrtl_interpreter / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 23s - - - - - - - | => icenet / Compile / compileIncremental 21s - | => boom / Compile / compileIncremental 21s - | => hwacha / Compile / compileIncremental 21s - | => sifive_cache / Compile / compileIncremental 21s - | => firrtl_interpreter / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 23s - - - - - - - | => icenet / Compile / compileIncremental 21s - | => boom / Compile / compileIncremental 21s - | => hwacha / Compile / compileIncremental 21s - | => sifive_cache / Compile / compileIncremental 21s - | => firrtl_interpreter / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 23s - - - - - - - | => icenet / Compile / compileIncremental 21s - | => boom / Compile / compileIncremental 21s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 23s - - - - - - - | => icenet / Compile / compileIncremental 21s - | => boom / Compile / compileIncremental 21s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 23s - - - - - - - | => icenet / Compile / compileIncremental 21s - | => boom / Compile / compileIncremental 21s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s - - - - - - - | => icenet / Compile / compileIncremental 21s - | => boom / Compile / compileIncremental 21s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s - - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s - - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s - - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s - - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s - - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s - - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:43:18: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] ) extends firrtl.ComposableOptions { - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:45:41: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  def vcdOutputFileName(optionsManager: ExecutionOptionsManager): String = { - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:79:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  self: ExecutionOptionsManager => - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:219:18: method apply in object TreadleTester is deprecated (since since ): Use TreadleTester(annotationSeq) instead - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  val tester = TreadleTester(firrtlInput, optionsManager) - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:234:37: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] class TreadleOptionsManager extends ExecutionOptionsManager("engine") with HasTreadleSuite - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:236:31: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] trait HasTreadleSuite extends ExecutionOptionsManager with HasFirrtlOptions with HasTreadleOptions { - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:236:60: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] trait HasTreadleSuite extends ExecutionOptionsManager with HasFirrtlOptions with HasTreadleOptions { - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Driver.scala:237:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  self: ExecutionOptionsManager => - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Regression.scala:85:18: method apply in object TreadleTester is deprecated (since since ): Use TreadleTester(annotationSeq) instead - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  val tester = TreadleTester(gcdFirrtl, manager) - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/Regression.scala:180:43: method apply in object TreadleTester is deprecated (since since ): Use TreadleTester(annotationSeq) instead - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  val tester = timer("tester assembly")(TreadleTester(input, optionsManager)) - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleOptions.scala:267:40: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] case class TreadleFirrtlFormHint(form: CircuitForm) extends NoTargetAnnotation - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleOptions.scala:275:29: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  case "low" => LowForm - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleOptions.scala:276:29: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  case "high" => HighForm - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleOptions.scala:277:29: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  case "chirrtl" => ChirrtlForm - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleOptions.scala:278:29: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  case "unknown" => UnknownForm - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 22s - | => sifive_cache / Compile / compileIncremental 22s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleTester.scala:48:73: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  def this(input: String, optionsManager: HasTreadleSuite, circuitForm: CircuitForm = ChirrtlForm) = { - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/TreadleTester.scala:48:87: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  def this(input: String, optionsManager: HasTreadleSuite, circuitForm: CircuitForm = ChirrtlForm) = { - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => firrtl_interpreter / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 24s - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => firrtl_interpreter / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 25s - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => firrtl_interpreter / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 25s - - - - - - | => icenet / Compile / compileIncremental 22s - | => boom / Compile / compileIncremental 22s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => firrtl_interpreter / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 25s - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => firrtl_interpreter / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 25s -[warn] 25 warnings found - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => firrtl_interpreter / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 25s - - - - - - | => firrtl_interpreter / Compile / compile 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - | => firrtl_interpreter / Compile / compile 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/repl/ReplConfig.scala:74:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => firrtl_interpreter / Compile / compile 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  self: ExecutionOptionsManager => - - - - - - | => firrtl_interpreter / Compile / compile 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / compile 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/TreadleStage.scala:42:39: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  def checkFormTransform(circuitForm: CircuitForm, annotations: AnnotationSeq): AnnotationSeq = { - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/TreadleStage.scala:44:12: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  case ChirrtlForm => chirrtlPhases - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:45:43: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  val form = annotationSeq.collectFirst { case TreadleFirrtlFormHint(form) => form }.getOrElse(UnknownForm) - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:45:98: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  val form = annotationSeq.collectFirst { case TreadleFirrtlFormHint(form) => form }.getOrElse(UnknownForm) - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:65:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  def inputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:65:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  def inputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:66:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:66:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:81:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def inputForm: CircuitForm = ChirrtlForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:81:41: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def inputForm: CircuitForm = ChirrtlForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:83:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:83:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:86:23: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  if (state.form == ChirrtlForm || state.form == UnknownForm) { - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:86:52: object UnknownForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  if (state.form == ChirrtlForm || state.form == UnknownForm) { - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:88:34: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def inputForm: CircuitForm = ChirrtlForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:88:48: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def inputForm: CircuitForm = ChirrtlForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:89:34: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:89:48: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:91:51: method getLoweringTransforms in object CompilerUtils is deprecated (since FIRRTL 1.3): Use a TransformManager requesting which transforms you want to run. This will be removed in 1.4. - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def transforms: Seq[Transform] = getLoweringTransforms(ChirrtlForm, LowForm) - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:91:73: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def transforms: Seq[Transform] = getLoweringTransforms(ChirrtlForm, LowForm) - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:91:86: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def transforms: Seq[Transform] = getLoweringTransforms(ChirrtlForm, LowForm) - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:101:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def inputForm: CircuitForm = HighForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:101:41: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def inputForm: CircuitForm = HighForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:103:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:103:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:106:23: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  if (state.form == HighForm) { - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:108:34: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def inputForm: CircuitForm = HighForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:108:48: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def inputForm: CircuitForm = HighForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:109:34: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:109:48: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:112:49: method getLoweringTransforms in object CompilerUtils is deprecated (since FIRRTL 1.3): Use a TransformManager requesting which transforms you want to run. This will be removed in 1.4. - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  Seq(new ReplSeqMem, RemoveCHIRRTL) ++ getLoweringTransforms(HighForm, LowForm) - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:112:71: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  Seq(new ReplSeqMem, RemoveCHIRRTL) ++ getLoweringTransforms(HighForm, LowForm) - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:112:81: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  Seq(new ReplSeqMem, RemoveCHIRRTL) ++ getLoweringTransforms(HighForm, LowForm) - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/stage/phases/PrepareAst.scala:136:11: class LowFirrtlOptimization in package firrtl is deprecated (since FIRRTL 1.3): Use 'new TransformManager(Forms.LowFormOptimized, Forms.LowForm)'. This will be removed in 1.4. - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  new LowFirrtlOptimization, - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/AugmentPrintf.scala:67:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def inputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/AugmentPrintf.scala:67:41: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def inputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/AugmentPrintf.scala:69:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/AugmentPrintf.scala:69:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/RemoveTempWires.scala:27:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def inputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/RemoveTempWires.scala:27:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def inputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/RemoveTempWires.scala:28:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/RemoveTempWires.scala:28:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:30:27: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] object ToLoFirrtl extends Compiler { - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:33:5: method getLoweringTransforms in object CompilerUtils is deprecated (since FIRRTL 1.3): Use a TransformManager requesting which transforms you want to run. This will be removed in 1.4. - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  getLoweringTransforms(ChirrtlForm, LowForm) ++ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:33:27: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  getLoweringTransforms(ChirrtlForm, LowForm) ++ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:33:40: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  getLoweringTransforms(ChirrtlForm, LowForm) ++ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:34:15: class LowFirrtlOptimization in package firrtl is deprecated (since FIRRTL 1.3): Use 'new TransformManager(Forms.LowFormOptimized, Forms.LowForm)'. This will be removed in 1.4. - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  Seq(new LowFirrtlOptimization, new BlackBoxSourceHelper, new FixupOps) - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:38:29: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  optionsManager: ExecutionOptionsManager with HasFirrtlOptions with HasTreadleOptions): Circuit = { - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:41:63: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  val compileResult = compileAndEmit(firrtl.CircuitState(c, ChirrtlForm, annotations)) - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:51:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  def inputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:51:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  def inputForm: CircuitForm = LowForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:52:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  def outputForm: CircuitForm = HighForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/utils/ToLoFirrtl.scala:52:33: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  def outputForm: CircuitForm = HighForm - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => firrtl_interpreter / Compile / packageBin 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s - - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/generators/icenet/src/main/scala/TCAM.scala:27:50: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  val node = TLHelper.makeManagerNode(beatBytes, TLManagerParameters( - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/vcd/VCDConfig.scala:28:20: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  extends firrtl.ComposableOptions {} - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/vcd/VCDConfig.scala:31:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  self: ExecutionOptionsManager => - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] /home/riscvuser/chipyard/tools/treadle/src/main/scala/treadle/vcd/VCDConfig.scala:95:33: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn] class VCDOptionsManager extends ExecutionOptionsManager("vcd") with HasVCDConfig - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s -[warn]  ^ - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 23s - | => sifive_cache / Compile / compileIncremental 23s - | => treadle / Compile / compileIncremental 25s - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 24s - | => sifive_cache / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 25s - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 24s - | => sifive_cache / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 26s - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 24s - | => sifive_cache / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 26s -[warn] there were 650 feature warnings; re-run with -feature for details - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 24s - | => sifive_cache / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 26s -[warn] 6 warnings found - - - - - - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 24s - | => sifive_cache / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 26s - - - - - - | => sifive_cache / Compile / compile 0s - | => icenet / Compile / compileIncremental 23s - | => boom / Compile / compileIncremental 23s - | => hwacha / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 26s - - - - - - | => sifive_cache / Compile / compile 0s - | => icenet / Compile / compileIncremental 24s - | => boom / Compile / compileIncremental 24s - | => hwacha / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 26s - - - - - - - | => icenet / Compile / compileIncremental 24s - | => boom / Compile / compileIncremental 24s - | => hwacha / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 26s - - - - - - - | => icenet / Compile / compileIncremental 24s - | => boom / Compile / compileIncremental 24s - | => hwacha / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 26s - - - - - - - | => icenet / Compile / compileIncremental 24s - | => boom / Compile / compileIncremental 24s - | => hwacha / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 26s - - - - - - - | => icenet / Compile / compileIncremental 24s - | => boom / Compile / compileIncremental 24s - | => hwacha / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 26s - - - - - - - | => icenet / Compile / compileIncremental 24s - | => boom / Compile / compileIncremental 24s - | => hwacha / Compile / compileIncremental 24s - | => treadle / Compile / compileIncremental 26s - - - - - - - | => icenet / Compile / compileIncremental 24s - | => boom / Compile / compileIncremental 24s - | => hwacha / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 26s - - - - - - - | => icenet / Compile / compileIncremental 24s - | => boom / Compile / compileIncremental 24s - | => hwacha / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 27s - - - - - - - | => icenet / Compile / compileIncremental 24s - | => boom / Compile / compileIncremental 24s - | => hwacha / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 27s - - - - - - - | => icenet / Compile / compileIncremental 25s - | => boom / Compile / compileIncremental 25s - | => hwacha / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 27s - - - - - - - | => icenet / Compile / compileIncremental 25s - | => boom / Compile / compileIncremental 25s - | => hwacha / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 27s - - - - - - - | => icenet / Compile / compileIncremental 25s - | => boom / Compile / compileIncremental 25s - | => hwacha / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 27s - - - - - - - | => icenet / Compile / compileIncremental 25s - | => boom / Compile / compileIncremental 25s - | => hwacha / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 27s - - - - - - - | => icenet / Compile / compileIncremental 25s - | => boom / Compile / compileIncremental 25s - | => hwacha / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 27s - - - - - - - | => icenet / Compile / compileIncremental 25s - | => boom / Compile / compileIncremental 25s - | => hwacha / Compile / compileIncremental 25s - | => treadle / Compile / compileIncremental 27s - - - - - - - | => icenet / Compile / compileIncremental 25s - | => boom / Compile / compileIncremental 25s - | => hwacha / Compile / compileIncremental 26s - | => treadle / Compile / compileIncremental 27s - - - - - - - | => icenet / Compile / compileIncremental 25s - | => boom / Compile / compileIncremental 25s - | => hwacha / Compile / compileIncremental 26s - | => treadle / Compile / compileIncremental 27s - - - - - - - | => icenet / Compile / compileIncremental 25s - | => boom / Compile / compileIncremental 25s - | => hwacha / Compile / compileIncremental 26s - | => treadle / Compile / compileIncremental 28s - - - - - - - | => icenet / Compile / compileIncremental 25s - | => boom / Compile / compileIncremental 25s - | => hwacha / Compile / compileIncremental 26s - | => treadle / Compile / compileIncremental 28s - - - - - - - | => icenet / Compile / compileIncremental 26s - | => boom / Compile / compileIncremental 26s - | => hwacha / Compile / compileIncremental 26s - | => treadle / Compile / compileIncremental 28s - - - - - - - | => icenet / Compile / compileIncremental 26s - | => boom / Compile / compileIncremental 26s - | => hwacha / Compile / compileIncremental 26s - | => treadle / Compile / compileIncremental 28s - - - - - - - | => icenet / Compile / compileIncremental 26s - | => boom / Compile / compileIncremental 26s - | => hwacha / Compile / compileIncremental 26s - | => treadle / Compile / compileIncremental 28s - - - - - - - | => icenet / Compile / compileIncremental 26s - | => boom / Compile / compileIncremental 26s - | => hwacha / Compile / compileIncremental 26s - | => treadle / Compile / compileIncremental 28s - - - - - - - | => icenet / Compile / compileIncremental 26s - | => boom / Compile / compileIncremental 26s - | => hwacha / Compile / compileIncremental 26s - | => treadle / Compile / compileIncremental 28s - - - - - - - | => icenet / Compile / compileIncremental 26s - | => boom / Compile / compileIncremental 26s - | => hwacha / Compile / compileIncremental 26s - | => treadle / Compile / compileIncremental 28s - - - - - - - | => icenet / Compile / compileIncremental 26s - | => boom / Compile / compileIncremental 26s - | => hwacha / Compile / compileIncremental 27s - | => treadle / Compile / compileIncremental 28s - - - - - - - | => icenet / Compile / compileIncremental 26s - | => boom / Compile / compileIncremental 26s - | => hwacha / Compile / compileIncremental 27s - | => treadle / Compile / compileIncremental 28s - - - - - - - | => icenet / Compile / compileIncremental 26s - | => boom / Compile / compileIncremental 26s - | => hwacha / Compile / compileIncremental 27s - | => treadle / Compile / compileIncremental 29s - - - - - - - | => icenet / Compile / compileIncremental 26s - | => boom / Compile / compileIncremental 26s - | => hwacha / Compile / compileIncremental 27s - | => treadle / Compile / compileIncremental 29s - - - - - - - | => icenet / Compile / compileIncremental 27s - | => boom / Compile / compileIncremental 27s - | => hwacha / Compile / compileIncremental 27s - | => treadle / Compile / compileIncremental 29s - - - - - - - | => icenet / Compile / compileIncremental 27s - | => boom / Compile / compileIncremental 27s - | => hwacha / Compile / compileIncremental 27s - | => treadle / Compile / compileIncremental 29s - - - - - - - | => icenet / Compile / compileIncremental 27s - | => boom / Compile / compileIncremental 27s - | => hwacha / Compile / compileIncremental 27s - | => treadle / Compile / compileIncremental 29s - - - - - - - | => icenet / Compile / compileIncremental 27s - | => boom / Compile / compileIncremental 27s - | => hwacha / Compile / compileIncremental 27s - | => treadle / Compile / compileIncremental 29s - - - - - - - | => icenet / Compile / compileIncremental 27s - | => boom / Compile / compileIncremental 27s - | => hwacha / Compile / compileIncremental 27s - | => treadle / Compile / compileIncremental 29s - - - - - - - | => icenet / Compile / compileIncremental 27s - | => boom / Compile / compileIncremental 27s - | => hwacha / Compile / compileIncremental 27s - | => treadle / Compile / compileIncremental 29s - - - - - - - | => icenet / Compile / compileIncremental 27s - | => boom / Compile / compileIncremental 27s - | => hwacha / Compile / compileIncremental 28s - | => treadle / Compile / compileIncremental 29s - - - - - - - | => icenet / Compile / compileIncremental 27s - | => boom / Compile / compileIncremental 27s - | => hwacha / Compile / compileIncremental 28s - | => treadle / Compile / compileIncremental 30s - - - - - - - | => icenet / Compile / compileIncremental 27s - | => boom / Compile / compileIncremental 27s - | => hwacha / Compile / compileIncremental 28s - | => treadle / Compile / compileIncremental 30s - - - - - - - | => icenet / Compile / compileIncremental 27s - | => boom / Compile / compileIncremental 27s - | => hwacha / Compile / compileIncremental 28s - | => treadle / Compile / compileIncremental 30s - - - - - - - | => icenet / Compile / compileIncremental 28s - | => boom / Compile / compileIncremental 28s - | => hwacha / Compile / compileIncremental 28s - | => treadle / Compile / compileIncremental 30s - - - - - - - | => icenet / Compile / compileIncremental 28s - | => boom / Compile / compileIncremental 28s - | => hwacha / Compile / compileIncremental 28s - | => treadle / Compile / compileIncremental 30s - - - - - - - | => icenet / Compile / compileIncremental 28s - | => boom / Compile / compileIncremental 28s - | => hwacha / Compile / compileIncremental 28s - | => treadle / Compile / compileIncremental 30s - - - - - - - | => icenet / Compile / compileIncremental 28s - | => boom / Compile / compileIncremental 28s - | => hwacha / Compile / compileIncremental 28s - | => treadle / Compile / compileIncremental 30s - - - - - - - | => icenet / Compile / compileIncremental 28s - | => boom / Compile / compileIncremental 28s - | => hwacha / Compile / compileIncremental 28s - | => treadle / Compile / compileIncremental 30s - - - - - - - | => icenet / Compile / compileIncremental 28s - | => boom / Compile / compileIncremental 28s - | => hwacha / Compile / compileIncremental 28s - | => treadle / Compile / compileIncremental 30s - - - - - - - | => icenet / Compile / compileIncremental 28s - | => boom / Compile / compileIncremental 28s - | => hwacha / Compile / compileIncremental 29s - | => treadle / Compile / compileIncremental 30s - - - - - - - | => icenet / Compile / compileIncremental 28s - | => boom / Compile / compileIncremental 28s - | => hwacha / Compile / compileIncremental 29s - | => treadle / Compile / compileIncremental 31s - - - - - - - | => icenet / Compile / compileIncremental 28s - | => boom / Compile / compileIncremental 28s - | => hwacha / Compile / compileIncremental 29s - | => treadle / Compile / compileIncremental 31s - - - - - - - | => icenet / Compile / compileIncremental 28s - | => boom / Compile / compileIncremental 28s - | => hwacha / Compile / compileIncremental 29s - | => treadle / Compile / compileIncremental 31s - - - - - - - | => icenet / Compile / compileIncremental 29s - | => boom / Compile / compileIncremental 29s - | => hwacha / Compile / compileIncremental 29s - | => treadle / Compile / compileIncremental 31s - - - - - - - | => icenet / Compile / compileIncremental 29s - | => boom / Compile / compileIncremental 29s - | => hwacha / Compile / compileIncremental 29s - | => treadle / Compile / compileIncremental 31s - - - - - - - | => icenet / Compile / compileIncremental 29s - | => boom / Compile / compileIncremental 29s - | => hwacha / Compile / compileIncremental 29s - | => treadle / Compile / compileIncremental 31s - - - - - - - | => icenet / Compile / compileIncremental 29s - | => boom / Compile / compileIncremental 29s - | => hwacha / Compile / compileIncremental 29s - | => treadle / Compile / compileIncremental 31s - - - - - - - | => icenet / Compile / compileIncremental 29s - | => boom / Compile / compileIncremental 29s - | => hwacha / Compile / compileIncremental 29s - | => treadle / Compile / compileIncremental 31s - - - - - - - | => icenet / Compile / compileIncremental 29s - | => boom / Compile / compileIncremental 29s - | => hwacha / Compile / compileIncremental 29s - | => treadle / Compile / compileIncremental 31s -[warn] there were 642 feature warnings; re-run with -feature for details - - - - - - | => icenet / Compile / compileIncremental 29s - | => boom / Compile / compileIncremental 29s - | => hwacha / Compile / compileIncremental 29s - | => treadle / Compile / compileIncremental 31s -[warn] two warnings found - - - - - - | => icenet / Compile / compileIncremental 29s - | => boom / Compile / compileIncremental 29s - | => hwacha / Compile / compileIncremental 29s - | => treadle / Compile / compileIncremental 31s - - - - - - | => icenet / Compile / compileIncremental 29s - | => boom / Compile / compileIncremental 29s - | => hwacha / Compile / compileIncremental 30s - | => treadle / Compile / compileIncremental 31s - - - - - - | => icenet / Compile / compile 0s - | => boom / Compile / compileIncremental 29s - | => hwacha / Compile / compileIncremental 30s - | => treadle / Compile / compileIncremental 32s - - - - - - | => icenet / Compile / compile 0s - | => boom / Compile / compileIncremental 29s - | => hwacha / Compile / compileIncremental 30s - | => treadle / Compile / compileIncremental 32s - - - - - - | => icenet / Compile / packageBin 0s - | => boom / Compile / compileIncremental 29s - | => hwacha / Compile / compileIncremental 30s - | => treadle / Compile / compileIncremental 32s - - - - - - - | => boom / Compile / compileIncremental 30s - | => hwacha / Compile / compileIncremental 30s - | => treadle / Compile / compileIncremental 32s - - - - - - - | => boom / Compile / compileIncremental 30s - | => hwacha / Compile / compileIncremental 30s - | => treadle / Compile / compileIncremental 32s - - - - - - - | => boom / Compile / compileIncremental 30s - | => hwacha / Compile / compileIncremental 30s - | => treadle / Compile / compileIncremental 32s - - - - - - - | => boom / Compile / compileIncremental 30s - | => hwacha / Compile / compileIncremental 30s - | => treadle / Compile / compileIncremental 32s - - - - - - - | => boom / Compile / compileIncremental 30s - | => hwacha / Compile / compileIncremental 30s - | => treadle / Compile / compileIncremental 32s - - - - - - - | => boom / Compile / compileIncremental 30s - | => hwacha / Compile / compileIncremental 30s - | => treadle / Compile / compileIncremental 32s - - - - - - - | => boom / Compile / compileIncremental 30s - | => hwacha / Compile / compileIncremental 31s - | => treadle / Compile / compileIncremental 32s - - - - - - - | => boom / Compile / compileIncremental 30s - | => hwacha / Compile / compileIncremental 31s - | => treadle / Compile / compileIncremental 33s - - - - - - - | => boom / Compile / compileIncremental 30s - | => hwacha / Compile / compileIncremental 31s - | => treadle / Compile / compileIncremental 33s -[warn] 74 warnings found - - - - - - | => boom / Compile / compileIncremental 30s - | => hwacha / Compile / compileIncremental 31s - | => treadle / Compile / compileIncremental 33s - - - - - - | => boom / Compile / compileIncremental 31s - | => hwacha / Compile / compileIncremental 31s - | => treadle / Compile / compileIncremental 33s - - - - - - | => treadle / Compile / compile 0s - | => boom / Compile / compileIncremental 31s - | => hwacha / Compile / compileIncremental 31s - - - - - - | => treadle / Compile / compile 0s - | => boom / Compile / compileIncremental 31s - | => hwacha / Compile / compileIncremental 31s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - | => treadle / Compile / compile 0s - | => boom / Compile / compileIncremental 31s - | => hwacha / Compile / compileIncremental 31s - - - - - - | => treadle / Compile / packageBin 0s - | => boom / Compile / compileIncremental 31s - | => hwacha / Compile / compileIncremental 31s - - - - - - | => chisel_testers / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 31s - | => hwacha / Compile / compileIncremental 31s -[info] Compiling 24 Scala sources to /home/riscvuser/chipyard/tools/chisel-testers/target/scala-2.12/classes ... - - - - - - | => chisel_testers / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 31s - | => hwacha / Compile / compileIncremental 31s - - - - - - | => chisel_testers / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 31s - | => hwacha / Compile / compileIncremental 31s - - - - - - | => chisel_testers / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 31s - | => hwacha / Compile / compileIncremental 32s - - - - - - | => chisel_testers / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 31s - | => hwacha / Compile / compileIncremental 32s - - - - - - | => chisel_testers / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 31s - | => hwacha / Compile / compileIncremental 32s - - - - - - | => chisel_testers / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 31s - | => hwacha / Compile / compileIncremental 32s - - - - - - | => chisel_testers / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 32s - | => hwacha / Compile / compileIncremental 32s - - - - - - | => chisel_testers / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 32s - | => hwacha / Compile / compileIncremental 32s - - - - - - | => chisel_testers / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 32s - | => hwacha / Compile / compileIncremental 32s - - - - - - | => chisel_testers / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 32s - | => hwacha / Compile / compileIncremental 32s - - - - - - | => chisel_testers / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 32s - | => hwacha / Compile / compileIncremental 32s - - - - - - | => chisel_testers / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 32s - | => hwacha / Compile / compileIncremental 32s - - - - - - | => chisel_testers / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 32s - | => hwacha / Compile / compileIncremental 33s - - - - - - | => chisel_testers / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 32s - | => hwacha / Compile / compileIncremental 33s - - - - - - | => chisel_testers / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 32s - | => hwacha / Compile / compileIncremental 33s - - - - - - | => chisel_testers / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 32s - | => hwacha / Compile / compileIncremental 33s - - - - - - | => chisel_testers / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 33s - | => hwacha / Compile / compileIncremental 33s - - - - - - | => chisel_testers / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 33s - | => hwacha / Compile / compileIncremental 33s - - - - - - | => chisel_testers / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 33s - | => hwacha / Compile / compileIncremental 33s - - - - - - | => chisel_testers / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 33s - | => hwacha / Compile / compileIncremental 33s - - - - - - | => chisel_testers / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 33s - | => hwacha / Compile / compileIncremental 33s - - - - - - | => chisel_testers / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 33s - | => hwacha / Compile / compileIncremental 33s - - - - - - | => chisel_testers / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 33s - | => hwacha / Compile / compileIncremental 34s - - - - - - | => chisel_testers / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 33s - | => hwacha / Compile / compileIncremental 34s - - - - - - | => chisel_testers / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 33s - | => hwacha / Compile / compileIncremental 34s - - - - - - | => chisel_testers / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 33s - | => hwacha / Compile / compileIncremental 34s - - - - - - | => chisel_testers / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 34s - | => hwacha / Compile / compileIncremental 34s - - - - - - | => chisel_testers / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 34s - | => hwacha / Compile / compileIncremental 34s - - - - - - | => chisel_testers / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 34s - | => hwacha / Compile / compileIncremental 34s - - - - - - | => chisel_testers / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 34s - | => hwacha / Compile / compileIncremental 34s - - - - - - | => chisel_testers / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 34s - | => hwacha / Compile / compileIncremental 34s - - - - - - | => chisel_testers / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 34s - | => hwacha / Compile / compileIncremental 34s - - - - - - | => chisel_testers / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 34s - | => hwacha / Compile / compileIncremental 35s - - - - - - | => chisel_testers / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 34s - | => hwacha / Compile / compileIncremental 35s - - - - - - | => chisel_testers / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 34s - | => hwacha / Compile / compileIncremental 35s - - - - - - | => chisel_testers / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 34s - | => hwacha / Compile / compileIncremental 35s - - - - - - | => chisel_testers / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 35s - - - - - - | => chisel_testers / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 35s - - - - - - | => chisel_testers / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 35s - - - - - - | => chisel_testers / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 35s - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 35s - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:59:87: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  harness.write(VerilatorCppHarnessGenerator.codeGen(dut, CircuitState(chirrtl, ChirrtlForm), waveform)) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:81:24: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  assert(chisel3.Driver.verilogToCpp( - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:87:24: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  assert(chisel3.Driver.cppToExe(dutName, dir).! == 0) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:106:34: method elaborate in object Driver is deprecated (since 3.2.4): Use ChiselStage.elaborate or use a ChiselStage class. This will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val circuit = chisel3.Driver.elaborate(dutGen) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:106:27: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val circuit = chisel3.Driver.elaborate(dutGen) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:112:54: method emit in object Driver is deprecated (since 3.2.2): Use (new chisel3.stage.ChiselStage).emitChirrtl - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val chirrtl = firrtl.Parser.parse(chisel3.Driver.emit(circuit)) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:112:47: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val chirrtl = firrtl.Parser.parse(chisel3.Driver.emit(circuit)) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:118:80: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  (new firrtl.LowFirrtlEmitter).emit(firrtl.CircuitState(chirrtl, firrtl.ChirrtlForm), writer) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:123:41: class VerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[VerilogEmitter]) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val compileResult = (new firrtl.VerilogCompiler).compileAndEmit( - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselMain.scala:124:47: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  firrtl.CircuitState(chirrtl, firrtl.ChirrtlForm, annotations), - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselSpec.scala:22:54: method elaborate in object Driver is deprecated (since 3.2.4): Use ChiselStage.elaborate or use a ChiselStage class. This will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  def elaborate(t: => Module): Unit = chisel3.Driver.elaborate(() => t) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselSpec.scala:22:47: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  def elaborate(t: => Module): Unit = chisel3.Driver.elaborate(() => t) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/ChiselSpec.scala:29:63: trait PropertyChecks in package prop is deprecated: PropertyChecks has been moved from org.scalatest.prop to org.scalatestplus.scalacheck and renamed as ScalaCheckPropertyChecks. Please update your imports, as this deprecated type alias will be removed in a future version of ScalaTest. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] class ChiselPropSpec extends PropSpec with ChiselRunners with PropertyChecks { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Driver.scala:38:14: method makeScope in object Logger is deprecated (since 1.2): Use makeScope(opts: FirrtlOptions) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  Logger.makeScope(optionsManager) { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Driver.scala:140:12: method makeScope in object Logger is deprecated (since 1.2): Use makeScope(opts: FirrtlOptions) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  Logger.makeScope(optionsManager) { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Driver.scala:141:64: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val chiselResult: ChiselExecutionResult = chisel3.Driver.execute(optionsManager, dutGenerator) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Driver.scala:141:57: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val chiselResult: ChiselExecutionResult = chisel3.Driver.execute(optionsManager, dutGenerator) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Driver.scala:243:34: method elaborate in object Driver is deprecated (since 3.2.4): Use ChiselStage.elaborate or use a ChiselStage class. This will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val circuit = chisel3.Driver.elaborate(dutGen) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Driver.scala:243:27: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val circuit = chisel3.Driver.elaborate(dutGen) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/FirrtlTerpBackend.scala:133:49: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val annos = Driver.filterAnnotations(firrtl.Driver.getAnnotations(optionsManager)) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/FirrtlTerpBackend.scala:135:20: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/FirrtlTerpBackend.scala:135:13: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/FirrtlTerpBackend.scala:139:16: object FirrtlExecutionSuccess in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  case FirrtlExecutionSuccess(_, compiledFirrtl) => - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IOAccessor.scala:43:18: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  case ActualDirection.Input => dut_inputs += port - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IOAccessor.scala:44:18: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  case ActualDirection.Output => dut_outputs += port - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IOAccessor.scala:108:23: type ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  def show_dir(dir: ActualDirection) = dir match { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IOAccessor.scala:109:12: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  case ActualDirection.Input => "I" - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IOAccessor.scala:110:12: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  case ActualDirection.Output => "O" - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IVLBackend.scala:130:20: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IVLBackend.scala:130:13: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IVLBackend.scala:149:41: class VerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[VerilogEmitter]) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val compileResult = (new firrtl.VerilogCompiler).compileAndEmit( - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/IVLBackend.scala:150:33: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  CircuitState(chirrtl, ChirrtlForm, annotations), - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/PeekPokeTesterUtils.scala:37:90: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  getDataNames(dut, separator) partition { case (e, _) => DataMirror.directionOf(e) == ActualDirection.Input } - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/PeekPokeTesterUtils.scala:101:74: method fileListName in object BlackBoxSourceHelper is deprecated (since 1.2): Renamed to defaultFileListName, as the file list name may now be changed with an annotation - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val list_file = new File(dir, firrtl.transforms.BlackBoxSourceHelper.fileListName) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Pokeable.scala:13:40: type EnumType in package core is deprecated (since 3.2): Use the version in chisel3.experimental._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  implicit object EnumPokeable extends Pokeable[EnumType] - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Pokeable.scala:18:21: type Element in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  def unapply(elem: Element): Option[Element with IsRuntimePokeable] = elem match { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Pokeable.scala:18:31: type Element in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  def unapply(elem: Element): Option[Element with IsRuntimePokeable] = elem match { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/Pokeable.scala:19:58: type Element in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  case _: Bits | _: EnumType => Some(elem.asInstanceOf[Element with IsRuntimePokeable]) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/SteppedHWIOTester.scala:51:26: type ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  def dir(target: Data): ActualDirection = { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/SteppedHWIOTester.scala:53:26: value DataMirror in package core is deprecated (since 3.2): Use the version in chisel3.experimental._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  case e: Element => DataMirror.directionOf(e) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/SteppedHWIOTester.scala:54:17: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  case _ => ActualDirection.Unspecified - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/SteppedHWIOTester.scala:59:29: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  require(dir(io_port) == ActualDirection.Input, s"poke error: $io_port not an input") - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/SteppedHWIOTester.scala:68:29: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  require(dir(io_port) == ActualDirection.Output, s"expect error: $io_port not an output") - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TesterOptions.scala:33:11: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] ) extends ComposableOptions - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TesterOptions.scala:41:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  self: ExecutionOptionsManager => - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TesterOptions.scala:147:11: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  extends ExecutionOptionsManager("chisel-testers") - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TesterOptions.scala:151:10: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  with HasFirrtlOptions - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TreadleBackend.scala:17:67: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val treadleTester = new TreadleTester(firrtlIR, optionsManager, LowForm) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TreadleBackend.scala:130:49: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val annos = Driver.filterAnnotations(firrtl.Driver.getAnnotations(optionsManager)) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TreadleBackend.scala:138:20: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/TreadleBackend.scala:138:13: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VCSBackend.scala:136:20: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VCSBackend.scala:136:13: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VCSBackend.scala:155:41: class VerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[VerilogEmitter]) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val compileResult = (new firrtl.VerilogCompiler).compileAndEmit( - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VCSBackend.scala:156:33: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  CircuitState(chirrtl, ChirrtlForm, annotations), - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:220:20: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:220:13: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  chisel3.Driver.execute(optionsManager, dutGen) match { - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:229:42: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val externalAnnotations = firrtl.Driver.getAnnotations(optionsManager) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:245:41: class VerilogCompiler in package firrtl is deprecated (since FIRRTL 1.3): Use stage.{FirrtlStage, FirrtlMain} stage.transforms.Compiler(Dependency[VerilogEmitter]) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  val compileResult = (new firrtl.VerilogCompiler).compileAndEmit( - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:246:33: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  CircuitState(chirrtl, ChirrtlForm, annotations), - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:259:38: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  dut, CircuitState(chirrtl, ChirrtlForm, annotations), vcdFile.toString - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/tools/chisel-testers/src/main/scala/chisel3/iotesters/VerilatorBackend.scala:275:24: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  assert(chisel3.Driver.cppToExe(circuit.name, dir).! == 0) - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 35s - | => hwacha / Compile / compileIncremental 36s - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 36s - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 36s - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 36s - - - - - - | => chisel_testers / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 36s - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 36s - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 36s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/bank-rf.scala:75:83: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 36s -[warn]  pred_rf.write(waddr, Vec(((wdata & wmask) | (pred_rf(waddr).asUInt & ~wmask)).toBools)) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 36s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 36s - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/bank-rf.scala:122:44: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  val wmask = sram_warb.io.out.bits.mask.toBools - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/bank-rf.scala:171:32: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ff_warb.io.out.bits.mask.toBools) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/bank-rf.scala:189:62: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  (io.op.opl.global(i).bits.pred & s1_gpred.pred)(1,0).toBools) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/bank-rf.scala:199:61: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  (io.op.opl.local(i).bits.pred & s1_gpred.pred)(1,0).toBools) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:303:72: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  val deq_idivs_resp = (0 until nSlices).map { tagq.io.deq.bits.fusel.toBool && tagq.io.deq.bits.pred(_) } - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:304:72: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  val deq_fdivs_resp = (0 until nSlices).map { !tagq.io.deq.bits.fusel.toBool && tagq.io.deq.bits.pred(_) } - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:328:43: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  wraw.data := Mux(tagq.io.deq.bits.fusel.toBool, - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:340:62: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  io.idiv.ack.valid := fire_bwq(null, tagq.io.deq.bits.fusel.toBool) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:342:63: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  io.fdiv.ack.valid := fire_bwq(null, !tagq.io.deq.bits.fusel.toBool) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:350:64: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  icntr.io.inc.update := fire_bwq(null, tagq.io.deq.bits.fusel.toBool) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-fu.scala:356:65: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  fcntr.io.inc.update := fire_bwq(null, !tagq.io.deq.bits.fusel.toBool) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-mem.scala:256:29: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  val brqs_pred = pred.bits.toBools.grouped(nSlices).map( - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/dcc-mem.scala:588:39: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  val mask = rotate(Bool(), mask_base.toBools) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 36s - | => hwacha / Compile / compileIncremental 37s - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/rocc-unit.scala:373:50: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val vru_switch_on = io.rocc.cmd.bits.rs1(63).toBool - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/smu.scala:58:37: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters(name = "HwachaSMU", sourceId = IdRange(0, p(HwachaNSMUEntries))))))) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/smu.scala:58:64: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters(name = "HwachaSMU", sourceId = IdRange(0, p(HwachaNSMUEntries))))))) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/vector-unit.scala:11:37: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters( - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/vector-unit.scala:12:9: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  Seq(TLClientParameters(name = "HwachaVMU", sourceId = IdRange(0, p(HwachaNVMTEntries))))))) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/vfu-rfirst.scala:38:72: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val pred = PriorityEncoderOH((io.req.bits.active & io.req.bits.pred).toBools) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/vfu-rfirst.scala:115:11: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  (m._1.toBools zip io.lane.map(_.bits.first)) map { case (v, f) => dgate(v, f) } reduce(_ | _) - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/ifu/frontend.scala:513:12: value withReset in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val f3 = withReset(reset.toBool || f3_clear) { - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/ifu/frontend.scala:518:21: value withReset in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val f3_bpd_resp = withReset(reset.toBool || f3_clear) { - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/ifu/frontend.scala:854:12: value withReset in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val f4 = withReset(reset.toBool || f4_clear) { - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/ifu/icache.scala:47:37: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters( - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/ifu/icache.scala:47:64: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters( - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/ifu/icache.scala:177:37: method apply in object LFSR16 is deprecated (since 3.2): Use chisel3.util.random.LFSR(16) for a 16-bit LFSR - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val repl_way = if (isDM) 0.U else LFSR16(refill_fire)(log2Ceil(nWays)-1,0) - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/lsu/dcache.scala:379:83: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  protected def cacheClientParameters = cfg.scratch.map(x => Seq()).getOrElse(Seq(TLClientParameters( - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/lsu/dcache.scala:384:44: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  protected def mmioClientParameters = Seq(TLClientParameters( - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/lsu/dcache.scala:389:31: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val node = TLClientNode(Seq(TLClientPortParameters( - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/vru.scala:402:37: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters(name = "HwachaVRU", sourceId = IdRange(0,5)))))) - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn] /home/riscvuser/chipyard/generators/hwacha/src/main/scala/vru.scala:402:64: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  val masterNode = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters(name = "HwachaVRU", sourceId = IdRange(0,5)))))) - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 37s - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 38s -[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/util/util.scala:393:31: type Data in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 38s -[warn]  def apply[T <: chisel3.core.Data](in: Vec[Vec[T]]) = { - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 38s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 38s -[warn] /home/riscvuser/chipyard/generators/boom/src/main/scala/util/util.scala:420:35: type Data in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 38s -[warn] class Compactor[T <: chisel3.core.Data](n: Int, k: Int, gen: T) extends Module - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 38s -[warn]  ^ - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 38s - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 38s - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 38s - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 37s - | => hwacha / Compile / compileIncremental 38s - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 38s - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 38s - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 38s - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 38s -[warn] there were 11 feature warnings; re-run with -feature for details - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 38s -[warn] 63 warnings found - - - - - - | => chisel_testers / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 38s - - - - - - | => chisel_testers / Compile / compile 0s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 38s - - - - - - | => chisel_testers / Compile / packageBin 0s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 38s -[info] Compiling 34 Scala sources to /home/riscvuser/chipyard/generators/gemmini/target/scala-2.12/classes ... - - - - - - | => chisel_testers / Compile / packageBin 0s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 38s -[info] Compiling 43 Scala sources to /home/riscvuser/chipyard/tools/dsptools/src/target/scala-2.12/classes ... - - - - - - | => chisel_testers / Compile / packageBin 0s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 38s -[info] Compiling 10 Scala sources to /home/riscvuser/chipyard/generators/sha3/target/scala-2.12/classes ... - - - - - - | => chisel_testers / Compile / packageBin 0s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 38s - - - - - - | => dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 0s - | => sha3 / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 39s - - - - - - | => dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 0s - | => sha3 / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 39s - - - - - - | => dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 0s - | => sha3 / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 38s - | => hwacha / Compile / compileIncremental 39s - - - - - - | => dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 0s - | => sha3 / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 39s - | => hwacha / Compile / compileIncremental 39s - - - - - - | => dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 0s - | => sha3 / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 39s - | => hwacha / Compile / compileIncremental 39s - - - - - - | => dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 0s - | => sha3 / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 39s - | => hwacha / Compile / compileIncremental 39s - - - - - - | => dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 0s - | => sha3 / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 39s - | => hwacha / Compile / compileIncremental 39s - - - - - - | => dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 0s - | => sha3 / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 39s - | => hwacha / Compile / compileIncremental 39s - - - - - - | => dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 0s - | => sha3 / Compile / compileIncremental 0s - | => boom / Compile / compileIncremental 39s - | => hwacha / Compile / compileIncremental 39s - - - - - - | => dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 1s - | => sha3 / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 39s - | => hwacha / Compile / compileIncremental 39s - - - - - - | => dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 1s - | => sha3 / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 39s - | => hwacha / Compile / compileIncremental 40s - - - - - - | => dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 1s - | => sha3 / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 39s - | => hwacha / Compile / compileIncremental 40s - - - - - - | => dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 1s - | => sha3 / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 39s - | => hwacha / Compile / compileIncremental 40s - - - - - - | => dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 1s - | => sha3 / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 40s - | => hwacha / Compile / compileIncremental 40s - - - - - - | => dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 1s - | => sha3 / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 40s - | => hwacha / Compile / compileIncremental 40s - - - - - - | => dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 1s - | => sha3 / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 40s - | => hwacha / Compile / compileIncremental 40s - - - - - - | => dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 1s - | => sha3 / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 40s - | => hwacha / Compile / compileIncremental 40s - - - - - - | => dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 1s - | => sha3 / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 40s - | => hwacha / Compile / compileIncremental 40s - - - - - - | => dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 1s - | => sha3 / Compile / compileIncremental 1s - | => boom / Compile / compileIncremental 40s - | => hwacha / Compile / compileIncremental 40s - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 40s - | => hwacha / Compile / compileIncremental 41s - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 40s - | => hwacha / Compile / compileIncremental 41s - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 40s - | => hwacha / Compile / compileIncremental 41s - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 40s - | => hwacha / Compile / compileIncremental 41s - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/ctrl.scala:95:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  debug(buffer_wen) - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/ctrl.scala:97:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  debug(buffer_waddr) - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/ctrl.scala:99:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  debug(buffer_wdata) - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/ctrl.scala:101:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  debug(buffer_rdata) - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/ctrl.scala:161:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  debug(words_filled) - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/ctrl.scala:164:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  debug(byte_offset) - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/dmem.scala:17:31: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  val node = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters("SHA3"))))) - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/dmem.scala:17:58: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  val node = TLClientNode(Seq(TLClientPortParameters(Seq(TLClientParameters("SHA3"))))) - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn] /home/riscvuser/chipyard/generators/sha3/src/main/scala/iota.scala:27:3: object debug in package Chisel is deprecated (since chisel3): debug doesn't do anything in Chisel3 as no pruning happens in the frontend - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  debug(const) - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s - - - - - - | => dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 2s - | => sha3 / Compile / compileIncremental 2s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 41s - - - - - - | => dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 3s - | => sha3 / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 42s - - - - - - | => dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 3s - | => sha3 / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 42s - - - - - - | => dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 3s - | => sha3 / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 41s - | => hwacha / Compile / compileIncremental 42s - - - - - - | => dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 3s - | => sha3 / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 42s - | => hwacha / Compile / compileIncremental 42s - - - - - - | => dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 3s - | => sha3 / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 42s - | => hwacha / Compile / compileIncremental 42s - - - - - - | => dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 3s - | => sha3 / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 42s - | => hwacha / Compile / compileIncremental 42s - - - - - - | => dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 3s - | => sha3 / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 42s - | => hwacha / Compile / compileIncremental 42s - - - - - - | => dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 3s - | => sha3 / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 42s - | => hwacha / Compile / compileIncremental 42s - - - - - - | => dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 3s - | => sha3 / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 42s - | => hwacha / Compile / compileIncremental 42s - - - - - - | => dsptools / Compile / compileIncremental 4s - | => gemmini / Compile / compileIncremental 4s - | => sha3 / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 42s - | => hwacha / Compile / compileIncremental 43s - - - - - - | => dsptools / Compile / compileIncremental 4s - | => gemmini / Compile / compileIncremental 4s - | => sha3 / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 42s - | => hwacha / Compile / compileIncremental 43s - - - - - - | => dsptools / Compile / compileIncremental 4s - | => gemmini / Compile / compileIncremental 4s - | => sha3 / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 42s - | => hwacha / Compile / compileIncremental 43s - - - - - - | => dsptools / Compile / compileIncremental 4s - | => gemmini / Compile / compileIncremental 4s - | => sha3 / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 42s - | => hwacha / Compile / compileIncremental 43s - - - - - - | => dsptools / Compile / compileIncremental 4s - | => gemmini / Compile / compileIncremental 4s - | => sha3 / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 43s - | => hwacha / Compile / compileIncremental 43s - - - - - - | => dsptools / Compile / compileIncremental 4s - | => gemmini / Compile / compileIncremental 4s - | => sha3 / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 43s - | => hwacha / Compile / compileIncremental 43s - - - - - - | => dsptools / Compile / compileIncremental 4s - | => gemmini / Compile / compileIncremental 4s - | => sha3 / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 43s - | => hwacha / Compile / compileIncremental 43s -[warn] there were 217 feature warnings; re-run with -feature for details - - - - - - | => dsptools / Compile / compileIncremental 4s - | => gemmini / Compile / compileIncremental 4s - | => sha3 / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 43s - | => hwacha / Compile / compileIncremental 43s -[warn] 10 warnings found - - - - - - | => dsptools / Compile / compileIncremental 4s - | => gemmini / Compile / compileIncremental 4s - | => sha3 / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 43s - | => hwacha / Compile / compileIncremental 43s - - - - - - | => dsptools / Compile / compileIncremental 4s - | => gemmini / Compile / compileIncremental 4s - | => sha3 / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 43s - | => hwacha / Compile / compileIncremental 43s - - - - - - | => sha3 / Compile / packageBin 0s - | => dsptools / Compile / compileIncremental 4s - | => gemmini / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 43s - | => hwacha / Compile / compileIncremental 43s - - - - - - - | => dsptools / Compile / compileIncremental 4s - | => gemmini / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 43s - | => hwacha / Compile / compileIncremental 43s - - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 43s - | => hwacha / Compile / compileIncremental 44s - - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 43s - | => hwacha / Compile / compileIncremental 44s - - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 43s - | => hwacha / Compile / compileIncremental 44s - - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s - - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:70:19: method makeScope in object Logger is deprecated (since 1.2): Use makeScope(opts: FirrtlOptions) - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  logger.Logger.makeScope(optionsManager) { - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:71:64: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4. - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  val chiselResult: ChiselExecutionResult = chisel3.Driver.execute(optionsManager, dutGenerator) - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:71:57: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4. - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  val chiselResult: ChiselExecutionResult = chisel3.Driver.execute(optionsManager, dutGenerator) - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/Driver.scala:90:10: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  with HasFirrtlOptions - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/algebra_types/helpers/Sign.scala:51:24: method litArg in class Data is deprecated (since 3.2): litArg is deprecated, use litOption or litTo*Option - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  val zeroLit = zero.litArg.map{_.num != BigInt(0)} - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/algebra_types/helpers/Sign.scala:52:23: method litArg in class Data is deprecated (since 3.2): litArg is deprecated, use litOption or litTo*Option - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  val negLit = neg.litArg.map{_.num != BigInt(0)} - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/blackbox_compatibility/DspRealVerilatorBB.scala:12:3: method setResource in trait HasBlackBoxResource is deprecated (since 3.2): Use addResource instead - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  setResource("/" + this.getClass.getSimpleName + ".v") - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/tester/DspTesterOptions.scala:24:38: trait ComposableOptions in package firrtl is deprecated (since 1.2): Use firrtl.options.HasScoptOptions and/or library/transform registration - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  initClkPeriods: Int = 5) extends ComposableOptions { - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/tester/DspTesterOptions.scala:38:9: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  self: ExecutionOptionsManager => - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/dsptools/tester/VerilogTbDump.scala:33:38: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  DataMirror.directionOf(dat) == ActualDirection.Input - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:18:22: type Data in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] class gainOffCorr[T<:Data:Ring](genIn: => T,genGain: => T,genOff: => T,genOut: => T, numLanes: Int) extends Module { - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:20:24: value Input in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  val inputVal = Input(Vec(numLanes, genIn)) - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:20:30: value Vec in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  val inputVal = Input(Vec(numLanes, genIn)) - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:21:24: value Input in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  val gainCorr = Input(Vec(numLanes, genGain)) - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:21:30: value Vec in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  val gainCorr = Input(Vec(numLanes, genGain)) - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:22:25: value Input in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  val offsetCorr = Input(Vec(numLanes, genOff)) - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:22:31: value Vec in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  val offsetCorr = Input(Vec(numLanes, genOff)) - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:23:24: value Output in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  val outputVal = Output(Vec(numLanes, genOut)) - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn] /home/riscvuser/chipyard/tools/dsptools/src/main/scala/examples/gainOffCorr.scala:23:31: value Vec in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  val outputVal = Output(Vec(numLanes, genOut)) - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s - - - - - - | => dsptools / Compile / compileIncremental 5s - | => gemmini / Compile / compileIncremental 5s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 44s - - - - - - | => dsptools / Compile / compileIncremental 6s - | => gemmini / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 45s - - - - - - | => dsptools / Compile / compileIncremental 6s - | => gemmini / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 45s - - - - - - | => dsptools / Compile / compileIncremental 6s - | => gemmini / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 45s - - - - - - | => dsptools / Compile / compileIncremental 6s - | => gemmini / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 44s - | => hwacha / Compile / compileIncremental 45s - - - - - - | => dsptools / Compile / compileIncremental 6s - | => gemmini / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 45s - | => hwacha / Compile / compileIncremental 45s - - - - - - | => dsptools / Compile / compileIncremental 6s - | => gemmini / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 45s - | => hwacha / Compile / compileIncremental 45s - - - - - - | => dsptools / Compile / compileIncremental 6s - | => gemmini / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 45s - | => hwacha / Compile / compileIncremental 45s - - - - - - | => dsptools / Compile / compileIncremental 6s - | => gemmini / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 45s - | => hwacha / Compile / compileIncremental 45s - - - - - - | => dsptools / Compile / compileIncremental 6s - | => gemmini / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 45s - | => hwacha / Compile / compileIncremental 45s - - - - - - | => dsptools / Compile / compileIncremental 6s - | => gemmini / Compile / compileIncremental 6s - | => boom / Compile / compileIncremental 45s - | => hwacha / Compile / compileIncremental 45s - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 45s - | => hwacha / Compile / compileIncremental 46s - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 45s - | => hwacha / Compile / compileIncremental 46s - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 45s - | => hwacha / Compile / compileIncremental 46s - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 45s - | => hwacha / Compile / compileIncremental 46s - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s -[warn] /home/riscvuser/chipyard/generators/gemmini/src/main/scala/gemmini/Controller.scala:50:54: method do_toBool in class Bits is deprecated (since 3.2): Use asBool instead - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s -[warn]  (if (garbage_bit.getWidth > 0) garbage_bit.toBool() else true.B) - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s -[warn] /home/riscvuser/chipyard/generators/gemmini/src/main/scala/gemmini/ROB.scala:164:107: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s -[warn]  Cat(is_st_and_must_wait_for_prior_ex_config) | Cat(is_ex_config_and_must_wait_for_prior_st)).toBools().reverse - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s -[warn] /home/riscvuser/chipyard/generators/gemmini/src/main/scala/gemmini/Util.scala:51:24: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s -[warn]  val exp = u.toBools().zipWithIndex.map { case (b, i) => - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s -[warn] /home/riscvuser/chipyard/generators/gemmini/src/main/scala/gemmini/Util.scala:62:24: method do_toBools in class Bits is deprecated (since 3.2): Use asBools instead - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s -[warn]  val exp = u.toBools().zipWithIndex.map { case (b, i) => - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s -[warn]  ^ - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s - - - - - - | => dsptools / Compile / compileIncremental 7s - | => gemmini / Compile / compileIncremental 7s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 46s - - - - - - | => dsptools / Compile / compileIncremental 8s - | => gemmini / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 47s - - - - - - | => dsptools / Compile / compileIncremental 8s - | => gemmini / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 47s - - - - - - | => dsptools / Compile / compileIncremental 8s - | => gemmini / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 47s - - - - - - | => dsptools / Compile / compileIncremental 8s - | => gemmini / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 46s - | => hwacha / Compile / compileIncremental 47s - - - - - - | => dsptools / Compile / compileIncremental 8s - | => gemmini / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 47s - | => hwacha / Compile / compileIncremental 47s -[warn] there were 31 feature warnings; re-run with -feature for details - - - - - - | => dsptools / Compile / compileIncremental 8s - | => gemmini / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 47s - | => hwacha / Compile / compileIncremental 47s -[warn] 20 warnings found - - - - - - | => dsptools / Compile / compileIncremental 8s - | => gemmini / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 47s - | => hwacha / Compile / compileIncremental 47s - - - - - - | => dsptools / Compile / compile 0s - | => gemmini / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 47s - | => hwacha / Compile / compileIncremental 47s - - - - - - | => dsptools / Compile / compile 0s - | => gemmini / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 47s - | => hwacha / Compile / compileIncremental 47s - - - - - - | => dsptools / Compile / packageBin 0s - | => gemmini / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 47s - | => hwacha / Compile / compileIncremental 47s -[info] Compiling 33 Scala sources to /home/riscvuser/chipyard/tools/dsptools/rocket/src/target/scala-2.12/classes ... - - - - - - | => dsptools / Compile / packageBin 0s - | => gemmini / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 47s - | => hwacha / Compile / compileIncremental 47s - - - - - - | => rocket-dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 47s - | => hwacha / Compile / compileIncremental 47s - - - - - - | => rocket-dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 8s - | => boom / Compile / compileIncremental 47s - | => hwacha / Compile / compileIncremental 47s - - - - - - | => rocket-dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 47s - | => hwacha / Compile / compileIncremental 48s - - - - - - | => rocket-dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 47s - | => hwacha / Compile / compileIncremental 48s - - - - - - | => rocket-dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 47s - | => hwacha / Compile / compileIncremental 48s - - - - - - | => rocket-dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 47s - | => hwacha / Compile / compileIncremental 48s - - - - - - | => rocket-dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 48s - | => hwacha / Compile / compileIncremental 48s - - - - - - | => rocket-dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 48s - | => hwacha / Compile / compileIncremental 48s - - - - - - | => rocket-dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 48s - | => hwacha / Compile / compileIncremental 48s - - - - - - | => rocket-dsptools / Compile / compileIncremental 0s - | => gemmini / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 48s - | => hwacha / Compile / compileIncremental 48s - - - - - - | => rocket-dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 48s - | => hwacha / Compile / compileIncremental 48s - - - - - - | => rocket-dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 9s - | => boom / Compile / compileIncremental 48s - | => hwacha / Compile / compileIncremental 48s - - - - - - | => rocket-dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 48s - | => hwacha / Compile / compileIncremental 49s - - - - - - | => rocket-dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 48s - | => hwacha / Compile / compileIncremental 49s - - - - - - | => rocket-dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 48s - | => hwacha / Compile / compileIncremental 49s - - - - - - | => rocket-dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 48s - | => hwacha / Compile / compileIncremental 49s - - - - - - | => rocket-dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s - - - - - - | => rocket-dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s - - - - - - | => rocket-dsptools / Compile / compileIncremental 1s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s -[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/amba/axi4stream/SimpleSplitter.scala:4:46: imported `AXI4StreamMasterPortParameters' is permanently hidden by definition of object AXI4StreamMasterPortParameters in package axi4stream - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s -[warn] import freechips.rocketchip.amba.axi4stream.{AXI4StreamMasterPortParameters, AXI4StreamNexusNode, AXI4StreamSlavePortParameters} - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s -[warn]  ^ - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s -[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/amba/axi4stream/SimpleSplitter.scala:4:78: imported `AXI4StreamNexusNode' is permanently hidden by definition of object AXI4StreamNexusNode in package axi4stream - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s -[warn] import freechips.rocketchip.amba.axi4stream.{AXI4StreamMasterPortParameters, AXI4StreamNexusNode, AXI4StreamSlavePortParameters} - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s -[warn]  ^ - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s -[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/amba/axi4stream/SimpleSplitter.scala:4:99: imported `AXI4StreamSlavePortParameters' is permanently hidden by definition of object AXI4StreamSlavePortParameters in package axi4stream - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s -[warn] import freechips.rocketchip.amba.axi4stream.{AXI4StreamMasterPortParameters, AXI4StreamNexusNode, AXI4StreamSlavePortParameters} - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s -[warn]  ^ - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 10s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 49s - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 50s - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 50s - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 50s - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 49s - | => hwacha / Compile / compileIncremental 50s - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 50s - | => hwacha / Compile / compileIncremental 50s - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 50s - | => hwacha / Compile / compileIncremental 50s - - - - - - | => rocket-dsptools / Compile / compileIncremental 2s - | => gemmini / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 50s - | => hwacha / Compile / compileIncremental 50s - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 50s - | => hwacha / Compile / compileIncremental 50s - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 11s - | => boom / Compile / compileIncremental 50s - | => hwacha / Compile / compileIncremental 50s - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 50s - | => hwacha / Compile / compileIncremental 50s - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 50s - | => hwacha / Compile / compileIncremental 51s - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 50s - | => hwacha / Compile / compileIncremental 51s - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 50s - | => hwacha / Compile / compileIncremental 51s - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s -[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/amba/axi4stream/MMAPFIFO.scala:1:1:  - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s -[warn] Found names but no class, trait or object is defined in the compilation unit. - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s -[warn] The incremental compiler cannot record the dependency information in such case. - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s -[warn] Some errors like unused import referring to a non-existent class might not be reported. - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s -[warn]   - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s -[warn] package freechips.rocketchip.amba.axi4stream - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s -[warn] ^ - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s -[warn] there were 1208 feature warnings; re-run with -feature for details - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s -[warn] 5 warnings found - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s - - - - - - | => rocket-dsptools / Compile / compileIncremental 3s - | => gemmini / Compile / compileIncremental 12s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s - - - - - - | => gemmini / Compile / compile 0s - | => rocket-dsptools / Compile / compileIncremental 3s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s - - - - - - | => gemmini / Compile / packageBin 0s - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s - - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 51s - - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/dspblocks/DspBlock.scala:117:24: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn]  BundleBridgeToTL(TLClientPortParameters(Seq(TLClientParameters("bundleBridgeToTL")))) := - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn]  ^ - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/dspblocks/DspBlock.scala:117:51: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn]  BundleBridgeToTL(TLClientPortParameters(Seq(TLClientParameters("bundleBridgeToTL")))) := - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn]  ^ - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/tl/Node.scala:20:30: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn]  new TLToBundleBridgeNode(TLManagerPortParameters(Seq(managerParams), beatBytes)) - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn]  ^ - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/tl/Node.scala:38:11: method apply in object TLManagerPortParameters is deprecated: Use TLSlavePortParameters.v1 instead of TLManagerPortParameters - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn]  apply(TLManagerPortParameters(Seq(managerParams), beatBytes)) - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn]  ^ - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/tl/Node.scala:52:26: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn]  BundleBridgeToTLNode(TLClientPortParameters(Seq(clientParams), beatBytes)) - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn]  ^ - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn] /home/riscvuser/chipyard/tools/dsptools/rocket/src/main/scala/tl/Node.scala:71:11: method apply in object TLClientPortParameters is deprecated: Use TLMasterPortParameters.v1 instead of TLClientPortParameters - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn]  apply(TLClientPortParameters(Seq(clientParams), beatBytes)) - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s -[warn]  ^ - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 51s - | => hwacha / Compile / compileIncremental 52s - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 52s - | => hwacha / Compile / compileIncremental 52s -[warn] there were 2643 feature warnings; re-run with -feature for details - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 52s - | => hwacha / Compile / compileIncremental 52s -[warn] 12 warnings found - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 52s - | => hwacha / Compile / compileIncremental 52s -[warn] there were 3031 feature warnings; re-run with -feature for details - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 52s - | => hwacha / Compile / compileIncremental 52s - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 52s - | => hwacha / Compile / compileIncremental 52s -[warn] 24 warnings found - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 52s - | => hwacha / Compile / compileIncremental 52s - - - - - - | => rocket-dsptools / Compile / compileIncremental 4s - | => boom / Compile / compileIncremental 52s - | => hwacha / Compile / compileIncremental 52s - - - - - - | => hwacha / Compile / compile 0s - | => boom / Compile / compile 0s - | => rocket-dsptools / Compile / compileIncremental 4s - - - - - - | => hwacha / Compile / compile 0s - | => boom / Compile / compile 0s - | => rocket-dsptools / Compile / compileIncremental 5s - - - - - - | => boom / Compile / packageBin / mappings 0s - | => hwacha / Compile / compile 0s - | => rocket-dsptools / Compile / compileIncremental 5s - - - - - - | => boom / Compile / packageBin 0s - | => hwacha / Compile / compile 0s - | => rocket-dsptools / Compile / compileIncremental 5s - - - - - - | => hwacha / Compile / packageBin 0s - | => boom / Compile / packageBin 0s - | => rocket-dsptools / Compile / compileIncremental 5s -[info] Compiling 3 Scala sources to /home/riscvuser/chipyard/generators/tracegen/target/scala-2.12/classes ... - - - - - - | => hwacha / Compile / packageBin 0s - | => boom / Compile / packageBin 0s - | => rocket-dsptools / Compile / compileIncremental 5s - - - - - - | => tracegen / Compile / compileIncremental 0s - | => hwacha / Compile / packageBin 0s - | => rocket-dsptools / Compile / compileIncremental 5s - - - - - - | => tracegen / Compile / compileIncremental 0s - | => hwacha / Compile / packageBin 0s - | => rocket-dsptools / Compile / compileIncremental 5s - - - - - - - | => tracegen / Compile / compileIncremental 0s - | => rocket-dsptools / Compile / compileIncremental 5s - - - - - - - | => tracegen / Compile / compileIncremental 0s - | => rocket-dsptools / Compile / compileIncremental 5s - - - - - - - | => tracegen / Compile / compileIncremental 0s - | => rocket-dsptools / Compile / compileIncremental 5s - - - - - - - | => tracegen / Compile / compileIncremental 0s - | => rocket-dsptools / Compile / compileIncremental 5s - - - - - - - | => tracegen / Compile / compileIncremental 0s - | => rocket-dsptools / Compile / compileIncremental 6s - - - - - - - | => tracegen / Compile / compileIncremental 0s - | => rocket-dsptools / Compile / compileIncremental 6s - - - - - - - | => tracegen / Compile / compileIncremental 0s - | => rocket-dsptools / Compile / compileIncremental 6s - - - - - - - | => tracegen / Compile / compileIncremental 0s - | => rocket-dsptools / Compile / compileIncremental 6s - - - - - - - | => tracegen / Compile / compileIncremental 1s - | => rocket-dsptools / Compile / compileIncremental 6s - - - - - - - | => tracegen / Compile / compileIncremental 1s - | => rocket-dsptools / Compile / compileIncremental 6s -[warn] there were 50 feature warnings; re-run with -feature for details - - - - - - | => tracegen / Compile / compileIncremental 1s - | => rocket-dsptools / Compile / compileIncremental 6s -[warn] 11 warnings found - - - - - - | => tracegen / Compile / compileIncremental 1s - | => rocket-dsptools / Compile / compileIncremental 6s -[warn] there were 101 feature warnings; re-run with -feature for details - - - - - - | => tracegen / Compile / compileIncremental 1s - | => rocket-dsptools / Compile / compileIncremental 6s -[warn] one warning found - - - - - - | => tracegen / Compile / compileIncremental 1s - | => rocket-dsptools / Compile / compileIncremental 6s - - - - - - - | => rocket-dsptools / Compile / compile 0s - - - - - - - | => rocket-dsptools / Compile / compile 0s - - - - - - - | => chipyard / Compile / compileIncremental 0s -[info] Compiling 29 Scala sources to /home/riscvuser/chipyard/generators/chipyard/target/scala-2.12/classes ... - - - - - - | => chipyard / Compile / compileIncremental 0s - - - - - - | => chipyard / Compile / compileIncremental 0s - - - - - - | => chipyard / Compile / compileIncremental 0s - - - - - - | => chipyard / Compile / compileIncremental 0s - - - - - - | => chipyard / Compile / compileIncremental 0s - - - - - - | => chipyard / Compile / compileIncremental 0s - - - - - - | => chipyard / Compile / compileIncremental 0s - - - - - - | => chipyard / Compile / compileIncremental 0s - - - - - - | => chipyard / Compile / compileIncremental 0s - - - - - - | => chipyard / Compile / compileIncremental 0s - - - - - - | => chipyard / Compile / compileIncremental 1s - - - - - - | => chipyard / Compile / compileIncremental 1s - - - - - - | => chipyard / Compile / compileIncremental 1s - - - - - - | => chipyard / Compile / compileIncremental 1s - - - - - - | => chipyard / Compile / compileIncremental 1s - - - - - - | => chipyard / Compile / compileIncremental 1s - - - - - - | => chipyard / Compile / compileIncremental 1s - - - - - - | => chipyard / Compile / compileIncremental 1s - - - - - - | => chipyard / Compile / compileIncremental 1s - - - - - - | => chipyard / Compile / compileIncremental 1s - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn] /home/riscvuser/chipyard/generators/chipyard/src/main/scala/IOBinders.scala:402:13: match may not be exhaustive. - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn] It would fail on the following input: None - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn]  system.traceIO match { case Some(t) => t.traces.map(tileTrace => SimDromajoBridge(tileTrace)(system.p)) } - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn]  ^ - - - - - - | => chipyard / Compile / compileIncremental 2s - - - - - - | => chipyard / Compile / compileIncremental 2s - - - - - - | => chipyard / Compile / compileIncremental 2s - - - - - - | => chipyard / Compile / compileIncremental 2s - - - - - - | => chipyard / Compile / compileIncremental 2s - - - - - - | => chipyard / Compile / compileIncremental 2s - - - - - - | => chipyard / Compile / compileIncremental 2s - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn] /home/riscvuser/chipyard/generators/chipyard/src/main/scala/example/NodeTypes.scala:14:38: method apply in object TLClientParameters is deprecated: Use TLMasterParameters.v1 instead of TLClientParameters - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn]  val node = TLHelper.makeClientNode(TLClientParameters( - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn]  ^ - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn] /home/riscvuser/chipyard/generators/chipyard/src/main/scala/example/NodeTypes.scala:32:50: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn]  val node = TLHelper.makeManagerNode(beatBytes, TLManagerParameters( - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn]  ^ - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn] /home/riscvuser/chipyard/generators/chipyard/src/main/scala/example/NodeTypes.scala:86:50: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn]  val node = TLHelper.makeManagerNode(beatBytes, TLManagerParameters( - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn]  ^ - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn] /home/riscvuser/chipyard/generators/chipyard/src/main/scala/example/NodeTypes.scala:95:50: method apply in object TLManagerParameters is deprecated: Use TLSlaveParameters.v1 instead of TLManagerParameters - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn]  val node = TLHelper.makeManagerNode(beatBytes, TLManagerParameters( - - - - - - | => chipyard / Compile / compileIncremental 2s -[warn]  ^ - - - - - - | => chipyard / Compile / compileIncremental 2s - - - - - - | => chipyard / Compile / compileIncremental 2s - - - - - - | => chipyard / Compile / compileIncremental 2s - - - - - - | => chipyard / Compile / compileIncremental 3s - - - - - - | => chipyard / Compile / compileIncremental 3s - - - - - - | => chipyard / Compile / compileIncremental 3s - - - - - - | => chipyard / Compile / compileIncremental 3s - - - - - - | => chipyard / Compile / compileIncremental 3s - - - - - - | => chipyard / Compile / compileIncremental 3s - - - - - - | => chipyard / Compile / compileIncremental 3s - - - - - - | => chipyard / Compile / compileIncremental 3s - - - - - - | => chipyard / Compile / compileIncremental 3s - - - - - - | => chipyard / Compile / compileIncremental 3s - - - - - - | => chipyard / Compile / compileIncremental 4s - - - - - - | => chipyard / Compile / compileIncremental 4s -[warn] there were 8 feature warnings; re-run with -feature for details - - - - - - | => chipyard / Compile / compileIncremental 4s -[warn] 6 warnings found - - - - - - | => chipyard / Compile / compileIncremental 4s - - - - - - | => chipyard / Compile / compileIncremental 4s - - - - - - | => chipyard / Compile / compile 0s - - - - - - | => chipyard / Compile / packageBin 0s - - - - - - | => chipyard / Compile / bgRunMain 0s - - - - - - | => chipyard / Compile / bgRunMain 0s - - - - - - | => chipyard / Compile / bgRunMain 0s - - - - - - | => chipyard / Compile / bgRunMain 0s - - - - - - | => chipyard / Compile / bgRunMain 0s - - - - - - | => chipyard / Compile / bgRunMain 0s -[info] running chipyard.Generator --target-dir /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig --name chipyard.TestHarness.RocketConfig --top-module chipyard.TestHarness --legacy-configs chipyard.RocketConfig - - - - - - | => chipyard / Compile / runMain 0s - - - - - - | => chipyard / Compile / runMain 0s - - - - - - | => chipyard / Compile / runMain 0s - - - - - - | => chipyard / Compile / runMain 0s - - - - - - | => chipyard / Compile / runMain 0s - - - - - - | => chipyard / Compile / runMain 0s - - - - - - | => chipyard / Compile / runMain 0s - - - - - - | => chipyard / Compile / runMain 0s - - - - - - | => chipyard / Compile / runMain 0s - - - - - - | => chipyard / Compile / runMain 0s - - - - - - | => chipyard / Compile / runMain 1s - - - - - - | => chipyard / Compile / runMain 1s - - - - - - | => chipyard / Compile / runMain 1s -[info] [0.001] Elaborating design... - - - - - - | => chipyard / Compile / runMain 1s - - - - - - | => chipyard / Compile / runMain 1s - - - - - - | => chipyard / Compile / runMain 1s - - - - - - | => chipyard / Compile / runMain 1s - - - - - - | => chipyard / Compile / runMain 1s - - - - - - | => chipyard / Compile / runMain 1s - - - - - - | => chipyard / Compile / runMain 1s - - - - - - | => chipyard / Compile / runMain 2s - - - - - - | => chipyard / Compile / runMain 2s - - - - - - | => chipyard / Compile / runMain 2s - - - - - - | => chipyard / Compile / runMain 2s - - - - - - | => chipyard / Compile / runMain 2s - - - - - - | => chipyard / Compile / runMain 2s - - - - - - | => chipyard / Compile / runMain 2s - - - - - - | => chipyard / Compile / runMain 2s - - - - - - | => chipyard / Compile / runMain 2s - - - - - - | => chipyard / Compile / runMain 2s - - - - - - | => chipyard / Compile / runMain 3s - - - - - - | => chipyard / Compile / runMain 3s - - - - - - | => chipyard / Compile / runMain 3s - - - - - - | => chipyard / Compile / runMain 3s - - - - - - | => chipyard / Compile / runMain 3s - - - - - - | => chipyard / Compile / runMain 3s - - - - - - | => chipyard / Compile / runMain 3s - - - - - - | => chipyard / Compile / runMain 3s - - - - - - | => chipyard / Compile / runMain 3s - - - - - - | => chipyard / Compile / runMain 3s - - - - - - | => chipyard / Compile / runMain 4s - - - - - - | => chipyard / Compile / runMain 4s - - - - - - | => chipyard / Compile / runMain 4s - - - - - - | => chipyard / Compile / runMain 4s - - - - - - | => chipyard / Compile / runMain 4s - - - - - - | => chipyard / Compile / runMain 4s - - - - - - | => chipyard / Compile / runMain 4s - - - - - - | => chipyard / Compile / runMain 4s - - - - - - | => chipyard / Compile / runMain 4s - - - - - - | => chipyard / Compile / runMain 4s - - - - - - | => chipyard / Compile / runMain 5s - - - - - - | => chipyard / Compile / runMain 5s - - - - - - | => chipyard / Compile / runMain 5s - - - - - - | => chipyard / Compile / runMain 5s - - - - - - | => chipyard / Compile / runMain 5s - - - - - - | => chipyard / Compile / runMain 5s - - - - - - | => chipyard / Compile / runMain 5s - - - - - - | => chipyard / Compile / runMain 5s - - - - - - | => chipyard / Compile / runMain 5s - - - - - - | => chipyard / Compile / runMain 6s - - - - - - | => chipyard / Compile / runMain 6s - - - - - - | => chipyard / Compile / runMain 6s - - - - - - | => chipyard / Compile / runMain 6s - - - - - - | => chipyard / Compile / runMain 6s - - - - - - | => chipyard / Compile / runMain 6s - - - - - - | => chipyard / Compile / runMain 6s - - - - - - | => chipyard / Compile / runMain 6s - - - - - - | => chipyard / Compile / runMain 6s - - - - - - | => chipyard / Compile / runMain 7s - - - - - - | => chipyard / Compile / runMain 7s - - - - - - | => chipyard / Compile / runMain 7s - - - - - - | => chipyard / Compile / runMain 7s - - - - - - | => chipyard / Compile / runMain 7s - - - - - - | => chipyard / Compile / runMain 7s - - - - - - | => chipyard / Compile / runMain 7s - - - - - - | => chipyard / Compile / runMain 7s - - - - - - | => chipyard / Compile / runMain 7s - - - - - - | => chipyard / Compile / runMain 7s - - - - - - | => chipyard / Compile / runMain 8s - - - - - - | => chipyard / Compile / runMain 8s - - - - - - | => chipyard / Compile / runMain 8s - - - - - - | => chipyard / Compile / runMain 8s - - - - - - | => chipyard / Compile / runMain 8s - - - - - - | => chipyard / Compile / runMain 8s - - - - - - | => chipyard / Compile / runMain 8s - - - - - - | => chipyard / Compile / runMain 8s -L2 InclusiveCache Client Map: - 0 <= serial - 1 <= Core 0 DCache - 2 <= Core 0 ICache - - - - - - - | => chipyard / Compile / runMain 8s - - - - - - | => chipyard / Compile / runMain 8s - - - - - - | => chipyard / Compile / runMain 9s - - - - - - | => chipyard / Compile / runMain 9s - - - - - - | => chipyard / Compile / runMain 9s - - - - - - | => chipyard / Compile / runMain 9s - - - - - - | => chipyard / Compile / runMain 9s - - - - - - | => chipyard / Compile / runMain 9s - - - - - - | => chipyard / Compile / runMain 9s - - - - - - | => chipyard / Compile / runMain 9s - - - - - - | => chipyard / Compile / runMain 9s - - - - - - | => chipyard / Compile / runMain 10s - - - - - - | => chipyard / Compile / runMain 10s - - - - - - | => chipyard / Compile / runMain 10s - - - - - - | => chipyard / Compile / runMain 10s - - - - - - | => chipyard / Compile / runMain 10s - - - - - - | => chipyard / Compile / runMain 10s - - - - - - | => chipyard / Compile / runMain 10s -Interrupt map (2 harts 1 interrupts): - [1, 1] => uart_0 - - - - - - - | => chipyard / Compile / runMain 10s - - - - - - | => chipyard / Compile / runMain 10s - - - - - - | => chipyard / Compile / runMain 10s - - - - - - | => chipyard / Compile / runMain 11s - - - - - - | => chipyard / Compile / runMain 11s - - - - - - | => chipyard / Compile / runMain 11s - - - - - - | => chipyard / Compile / runMain 11s - - - - - - | => chipyard / Compile / runMain 11s - - - - - - | => chipyard / Compile / runMain 11s - - - - - - | => chipyard / Compile / runMain 11s - - - - - - | => chipyard / Compile / runMain 11s - - - - - - | => chipyard / Compile / runMain 11s - - - - - - | => chipyard / Compile / runMain 11s - - - - - - | => chipyard / Compile / runMain 12s - - - - - - | => chipyard / Compile / runMain 12s - - - - - - | => chipyard / Compile / runMain 12s - - - - - - | => chipyard / Compile / runMain 12s - - - - - - | => chipyard / Compile / runMain 12s - - - - - - | => chipyard / Compile / runMain 12s - - - - - - | => chipyard / Compile / runMain 12s - - - - - - | => chipyard / Compile / runMain 12s - - - - - - | => chipyard / Compile / runMain 12s - - - - - - | => chipyard / Compile / runMain 12s - - - - - - | => chipyard / Compile / runMain 13s - - - - - - | => chipyard / Compile / runMain 13s - - - - - - | => chipyard / Compile / runMain 13s - - - - - - | => chipyard / Compile / runMain 13s - - - - - - | => chipyard / Compile / runMain 13s - - - - - - | => chipyard / Compile / runMain 13s - - - - - - | => chipyard / Compile / runMain 13s - - - - - - | => chipyard / Compile / runMain 13s - - - - - - | => chipyard / Compile / runMain 13s - - - - - - | => chipyard / Compile / runMain 13s - - - - - - | => chipyard / Compile / runMain 14s - - - - - - | => chipyard / Compile / runMain 14s - - - - - - | => chipyard / Compile / runMain 14s - - - - - - | => chipyard / Compile / runMain 14s - - - - - - | => chipyard / Compile / runMain 14s - - - - - - | => chipyard / Compile / runMain 14s - - - - - - | => chipyard / Compile / runMain 14s - - - - - - | => chipyard / Compile / runMain 14s - - - - - - | => chipyard / Compile / runMain 14s - - - - - - | => chipyard / Compile / runMain 14s - - - - - - | => chipyard / Compile / runMain 15s - - - - - - | => chipyard / Compile / runMain 15s - - - - - - | => chipyard / Compile / runMain 15s - - - - - - | => chipyard / Compile / runMain 15s - - - - - - | => chipyard / Compile / runMain 15s - - - - - - | => chipyard / Compile / runMain 15s - - - - - - | => chipyard / Compile / runMain 15s - - - - - - | => chipyard / Compile / runMain 15s - - - - - - | => chipyard / Compile / runMain 15s - - - - - - | => chipyard / Compile / runMain 15s - - - - - - | => chipyard / Compile / runMain 16s - - - - - - | => chipyard / Compile / runMain 16s - - - - - - | => chipyard / Compile / runMain 16s - - - - - - | => chipyard / Compile / runMain 16s - - - - - - | => chipyard / Compile / runMain 16s - - - - - - | => chipyard / Compile / runMain 16s - - - - - - | => chipyard / Compile / runMain 16s - - - - - - | => chipyard / Compile / runMain 16s - - - - - - | => chipyard / Compile / runMain 16s - - - - - - | => chipyard / Compile / runMain 16s - - - - - - | => chipyard / Compile / runMain 17s - - - - - - | => chipyard / Compile / runMain 17s - - - - - - | => chipyard / Compile / runMain 17s - - - - - - | => chipyard / Compile / runMain 17s - - - - - - | => chipyard / Compile / runMain 17s - - - - - - | => chipyard / Compile / runMain 17s - - - - - - | => chipyard / Compile / runMain 17s - - - - - - | => chipyard / Compile / runMain 17s - - - - - - | => chipyard / Compile / runMain 17s -: Warning (simple_bus_reg): Node /soc/subsystem_pbus_clock missing or empty reg/ranges property - - - - - - | => chipyard / Compile / runMain 18s - - - - - - | => chipyard / Compile / runMain 18s - - - - - - | => chipyard / Compile / runMain 18s -/dts-v1/; - -/ { - #address-cells = <1>; - #size-cells = <1>; - compatible = "freechips,rocketchip-unknown-dev"; - model = "freechips,rocketchip-unknown"; - L18: aliases { - serial0 = &L14; - }; - L17: cpus { - #address-cells = <1>; - #size-cells = <0>; - L9: cpu@0 { - clock-frequency = <0>; - compatible = "sifive,rocket0", "riscv"; - d-cache-block-size = <64>; - d-cache-sets = <64>; - d-cache-size = <16384>; - d-tlb-sets = <1>; - d-tlb-size = <32>; - device_type = "cpu"; - hardware-exec-breakpoint-count = <1>; - i-cache-block-size = <64>; - i-cache-sets = <64>; - i-cache-size = <16384>; - i-tlb-sets = <1>; - i-tlb-size = <32>; - mmu-type = "riscv,sv39"; - next-level-cache = <&L2>; - reg = <0x0>; - riscv,isa = "rv64imafdc"; - riscv,pmpregions = <8>; - status = "okay"; - timebase-frequency = <1000000>; - tlb-split; - L7: interrupt-controller { - #interrupt-cells = <1>; - compatible = "riscv,cpu-intc"; - interrupt-controller; - }; - }; - }; - L11: memory@80000000 { - device_type = "memory"; - reg = <0x80000000 0x10000000>; - }; - L16: soc { - #address-cells = <1>; - #size-cells = <1>; - compatible = "freechips,rocketchip-unknown-soc", "simple-bus"; - ranges; - L2: cache-controller@2010000 { - cache-block-size = <64>; - cache-level = <2>; - cache-sets = <1024>; - cache-size = <524288>; - cache-unified; - compatible = "sifive,inclusivecache0", "cache"; - next-level-cache = <&L11>; - reg = <0x2010000 0x1000>; - reg-names = "control"; - sifive,mshr-count = <7>; - }; - L4: clint@2000000 { - compatible = "riscv,clint0"; - interrupts-extended = <&L7 3 &L7 7>; - reg = <0x2000000 0x10000>; - reg-names = "control"; - }; - L5: debug-controller@0 { - compatible = "sifive,debug-013", "riscv,debug-013"; - debug-attach = "dmi"; - interrupts-extended = <&L7 65535>; - reg = <0x0 0x1000>; - reg-names = "control"; - }; - L1: error-device@3000 { - compatible = "sifive,error0"; - reg = <0x3000 0x1000>; - }; - L3: interrupt-controller@c000000 { - #interrupt-cells = <1>; - compatible = "riscv,plic0"; - interrupt-controller; - interrupts-extended = <&L7 11 &L7 9>; - reg = <0xc000000 0x4000000>; - reg-names = "control"; - riscv,max-priority = <1>; - riscv,ndev = <1>; - }; - L13: rom@10000 { - compatible = "sifive,rom0"; - reg = <0x10000 0x10000>; - reg-names = "mem"; - }; - L14: serial@54000000 { - clocks = <&L0>; - compatible = "sifive,uart0"; - interrupt-parent = <&L3>; - interrupts = <1>; - reg = <0x54000000 0x1000>; - reg-names = "control"; - }; - L0: subsystem_pbus_clock { - #clock-cells = <0>; - clock-frequency = <100000000>; - clock-output-names = "subsystem_pbus_clock"; - compatible = "fixed-clock"; - }; - }; -}; - -Generated Address Map - 0 - 1000 ARWX debug-controller@0 - 3000 - 4000 ARWX error-device@3000 - 10000 - 20000 R X rom@10000 - 2000000 - 2010000 ARW clint@2000000 - 2010000 - 2011000 ARW cache-controller@2010000 - c000000 - 10000000 ARW interrupt-controller@c000000 - 54000000 - 54001000 ARW serial@54000000 - 80000000 - 90000000 ARWXC memory@80000000 - - - - - - - | => chipyard / Compile / runMain 18s - - - - - - | => chipyard / Compile / runMain 18s - - - - - - | => chipyard / Compile / runMain 18s - - - - - - | => chipyard / Compile / runMain 18s - - - - - - | => chipyard / Compile / runMain 18s -[deprecated] Bits.scala:373 (29 calls): do_toBool is deprecated: "Use asBool instead" -[warn] There were 1 deprecated function(s) used. These may stop compiling in a future release - you are encouraged to fix these issues. -[warn] Line numbers for deprecations reported by Chisel may be inaccurate; enable scalac compiler deprecation warnings via either of the following methods: -[warn] In the sbt interactive console, enter: -[warn] set scalacOptions in ThisBuild ++= Seq("-unchecked", "-deprecation") -[warn] or, in your build.sbt, add the line: -[warn] scalacOptions := Seq("-unchecked", "-deprecation") -[info] [17.408] Done elaborating. - - - - - - | => chipyard / Compile / runMain 18s - - - - - - | => chipyard / Compile / runMain 18s - - - - - - | => chipyard / Compile / runMain 19s - - - - - - | => chipyard / Compile / runMain 19s - - - - - - | => chipyard / Compile / runMain 19s - - - - - - | => chipyard / Compile / runMain 19s - - - - - - | => chipyard / Compile / runMain 19s - - - - - - | => chipyard / Compile / runMain 19s - - - - - - | => chipyard / Compile / runMain 19s - - - - - - | => chipyard / Compile / runMain 19s - - - - - - | => chipyard / Compile / runMain 19s - - - - - - | => chipyard / Compile / runMain 19s - - - - - - | => chipyard / Compile / runMain 20s - - - - - - | => chipyard / Compile / runMain 20s - - - - - - | => chipyard / Compile / runMain 20s - - - - - - | => chipyard / Compile / runMain 20s - - - - - - | => chipyard / Compile / runMain 20s - - - - - - | => chipyard / Compile / runMain 20s - - - - - - | => chipyard / Compile / runMain 20s - - - - - - | => chipyard / Compile / runMain 20s - - - - - - | => chipyard / Compile / runMain 20s - - - - - - | => chipyard / Compile / runMain 20s - - - - - - | => chipyard / Compile / runMain 21s - - - - - - | => chipyard / Compile / runMain 21s - - - - - - | => chipyard / Compile / runMain 21s - - - - - - | => chipyard / Compile / runMain 21s - - - - - - | => chipyard / Compile / runMain 21s - - - - - - | => chipyard / Compile / runMain 21s - - - - - - | => chipyard / Compile / runMain 21s - - - - - - | => chipyard / Compile / runMain 21s - - - - - - | => chipyard / Compile / runMain 21s - - - - - - | => chipyard / Compile / runMain 21s - - - - - - | => chipyard / Compile / runMain 22s - - - - - - | => chipyard / Compile / runMain 22s - - - - - - | => chipyard / Compile / runMain 22s - - - - - - | => chipyard / Compile / runMain 22s - - - - - - | => chipyard / Compile / runMain 22s - - - - - - | => chipyard / Compile / runMain 22s - - - - - - | => chipyard / Compile / runMain 22s - - - - - - | => chipyard / Compile / runMain 22s - - - - - - | => chipyard / Compile / runMain 22s - - - - - - | => chipyard / Compile / runMain 22s - - - - - - | => chipyard / Compile / runMain 23s - - - - - - | => chipyard / Compile / runMain 23s - - - - - - | => chipyard / Compile / runMain 23s - - - - - - | => chipyard / Compile / runMain 23s - - - - - - | => chipyard / Compile / runMain 23s - - - - - - | => chipyard / Compile / runMain 23s - - - - - - | => chipyard / Compile / runMain 23s - - - - - - | => chipyard / Compile / runMain 23s - - - - - - | => chipyard / Compile / runMain 23s - - - - - - | => chipyard / Compile / runMain 23s - - - - - - | => chipyard / Compile / runMain 24s - - - - - - | => chipyard / Compile / runMain 24s - - - - - - | => chipyard / Compile / runMain 24s - - - - - - | => chipyard / Compile / runMain 24s - - - - - - | => chipyard / Compile / runMain 24s - - - - - - | => chipyard / Compile / runMain 24s - - - - - - | => chipyard / Compile / runMain 24s - - - - - - | => chipyard / Compile / runMain 24s - - - - - - | => chipyard / Compile / runMain 24s - - - - - - | => chipyard / Compile / runMain 24s - - - - - - | => chipyard / Compile / runMain 25s - - - - - - | => chipyard / Compile / runMain 25s - - - - - - | => chipyard / Compile / runMain 25s - - - - - - | => chipyard / Compile / runMain 25s - - - - - - | => chipyard / Compile / runMain 25s - - - - - - | => chipyard / Compile / runMain 25s - - - - - - | => chipyard / Compile / runMain 25s - - - - - - | => chipyard / Compile / runMain 25s - - - - - - | => chipyard / Compile / runMain 25s - - - - - - | => chipyard / Compile / runMain 25s - - - - - - | => chipyard / Compile / runMain 26s - - - - - - | => chipyard / Compile / runMain 26s - - - - - - | => chipyard / Compile / runMain 26s - - - - - - | => chipyard / Compile / runMain 26s - - - - - - | => chipyard / Compile / runMain 26s - - - - - - | => chipyard / Compile / runMain 26s - - - - - - | => chipyard / Compile / runMain 26s - - - - - - | => chipyard / Compile / runMain 26s - - - - - - | => chipyard / Compile / runMain 26s - - - - - - | => chipyard / Compile / runMain 26s - - - - - - | => chipyard / Compile / runMain 27s - - - - - - | => chipyard / Compile / runMain 27s - - - - - - | => chipyard / Compile / runMain 27s - - - - - - | => chipyard / Compile / runMain 27s - - - - - - | => chipyard / Compile / runMain 27s - - - - - - | => chipyard / Compile / runMain 27s - - - - - - | => chipyard / Compile / runMain 27s - - - - - - | => chipyard / Compile / runMain 27s - - - - - - | => chipyard / Compile / runMain 27s - - - - - - | => chipyard / Compile / runMain 28s - - - - - - | => chipyard / Compile / runMain 28s - - - - - - | => chipyard / Compile / runMain 28s - - - - - - | => chipyard / Compile / runMain 28s - - - - - - | => chipyard / Compile / runMain 28s - - - - - - | => chipyard / Compile / runMain 28s - - - - - - | => chipyard / Compile / runMain 28s - - - - - - | => chipyard / Compile / runMain 28s - - - - - - | => chipyard / Compile / runMain 28s - - - - - - | => chipyard / Compile / runMain 28s - - - - - - | => chipyard / Compile / runMain 29s - - - - - - | => chipyard / Compile / runMain 29s - - - - - - | => chipyard / Compile / runMain 29s - - - - - - | => chipyard / Compile / runMain 29s - - - - - - | => chipyard / Compile / runMain 29s - - - - - - | => chipyard / Compile / runMain 29s - - - - - - | => chipyard / Compile / runMain 29s - - - - - - | => chipyard / Compile / runMain 29s - - - - - - | => chipyard / Compile / runMain 29s - - - - - - | => chipyard / Compile / runMain 29s - - - - - - | => chipyard / Compile / runMain 30s - - - - - - | => chipyard / Compile / runMain 30s - - - - - - | => chipyard / Compile / runMain 30s - - - - - - | => chipyard / Compile / runMain 30s - - - - - - | => chipyard / Compile / runMain 30s - - - - - - | => chipyard / Compile / runMain 30s - - - - - - | => chipyard / Compile / runMain 30s - - - - - - | => chipyard / Compile / runMain 30s - - - - - - | => chipyard / Compile / runMain 30s - - - - - - | => chipyard / Compile / runMain 30s - - - - - - | => chipyard / Compile / runMain 31s - - - - - - | => chipyard / Compile / runMain 31s - - - - - - | => chipyard / Compile / runMain 31s - - - - - - | => chipyard / Compile / runMain 31s - - - - - - | => chipyard / Compile / runMain 31s - - - - - - | => chipyard / Compile / runMain 31s - - - - - - | => chipyard / Compile / runMain 31s - - - - - - | => chipyard / Compile / runMain 31s - - - - - - | => chipyard / Compile / runMain 31s - - - - - - | => chipyard / Compile / runMain 31s - - - - - - | => chipyard / Compile / runMain 32s - - - - - - | => chipyard / Compile / runMain 32s - - - - - - | => chipyard / Compile / runMain 32s - - - - - - | => chipyard / Compile / runMain 32s - - - - - - | => chipyard / Compile / runMain 32s - - - - - - | => chipyard / Compile / runMain 32s - - - - - - | => chipyard / Compile / runMain 32s - - - - - - | => chipyard / Compile / runMain 32s - - - - - - | => chipyard / Compile / runMain 32s - - - - - - | => chipyard / Compile / runMain 32s - - - - - - | => chipyard / Compile / runMain 33s - - - - - - | => chipyard / Compile / runMain 33s - - - - - - | => chipyard / Compile / runMain 33s - - - - - - | => chipyard / Compile / runMain 33s - - - - - - | => chipyard / Compile / runMain 33s - - - - - - | => chipyard / Compile / runMain 33s - - - - - - | => chipyard / Compile / runMain 33s - - - - - - | => chipyard / Compile / runMain 33s - - - - - - | => chipyard / Compile / runMain 33s - - - - - - | => chipyard / Compile / runMain 33s - - - - - - | => chipyard / Compile / runMain 34s - - - - - - | => chipyard / Compile / runMain 34s - - - - - - | => chipyard / Compile / runMain 34s - - - - - - -[success] Total time: 103 s (01:43), completed Dec 23, 2020, 3:46:47 AM -cd /home/riscvuser/chipyard && java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar "project tapeout" "runMain barstools.tapeout.transforms.GenerateTopAndHarness -o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.v -tho /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.v -i /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.fir --syn-top ChipTop --harness-top TestHarness -faf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.anno.json -tsaof /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.anno.json -tdf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.top.f -tsf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.fir -thaof /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.anno.json -hdf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.harness.f -thf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.fir --infer-rw --repl-seq-mem -c:TestHarness:-o:/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.conf -thconf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.conf -td /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig" && touch /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.top.f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.harness.f -OpenJDK 64-Bit Server VM warning: Ignoring option MaxPermSize; support was removed in 8.0 -[info] Loading settings for project chipyard-build from plugins.sbt ... -[info] Loading project definition from /home/riscvuser/chipyard/project - - - - - - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / Compile / previousCompile 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - -[info] Loading settings for project chipyardRoot from build.sbt ... -[info] Loading settings for project barstoolsMacros from build.sbt ... -[info] Loading settings for project mdf from build.sbt ... -[info] Loading settings for project gemmini from build.sbt ... -[info] Loading settings for project ariane from build.sbt ... -[info] Loading settings for project boom from build.sbt ... -[info] Loading settings for project hwacha from build.sbt ... -[info] Loading settings for project icenet from build.sbt ... -[info] Loading settings for project testchipip from build.sbt ... -[info] Loading settings for project rocketConfig from build.sbt ... -[info] Loading settings for project hardfloat from build.sbt ... -[info] Loading settings for project chisel_testers from build.sbt ... -[info] Loading settings for project treadle from build.sbt ... -[info] Loading settings for project firrtl_interpreter from build.sbt ... -[info] Loading settings for project chisel from build.sbt ... -[info] Loading settings for project sim-build from plugins.sbt ... -[info] Loading project definition from /home/riscvuser/chipyard/sims/firesim/sim/project - - - - - - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / Compile / compileIncSetup 0s - - - - - - -[info] Loading settings for project firesim from build.sbt ... -[info] Loading settings for project midas from build.sbt ... -[info] Loading settings for project targetutils from build.sbt ... -[info] Resolving key references (32007 settings) ... -[info] Set current project to chipyardRoot (in build file:/home/riscvuser/chipyard/) - - - - - - - - - - - | => Global / checkBuildSources 0s - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -[info] Set current project to tapeout (in build file:/home/riscvuser/chipyard/) - - - - - - - - - - - - - - - - - - - - - | => chisel / Compile / unmanagedClasspath 0s - | => sha3 / dependencyPositions 0s - | => tracegen / dependencyPositions 0s - | => sifive_cache / dependencyPositions 0s - | => boom / dependencyPositions 0s - | => utilities / dependencyPositions 0s - | => rocket-dsptools / dependencyPositions 0s - | => chipyard / dependencyPositions 0s - | => hwacha / dependencyPositions 0s - | => gemmini / dependencyPositions 0s - | => treadle / dependencyPositions 0s - | => firrtl_interpreter / dependencyPositions 0s - | => chisel_testers / dependencyPositions 0s - | => iocell / dependencyPositions 0s - - - - - - - | => icenet / csrFallbackDependencies 0s - | => sha3 / csrFallbackDependencies 0s - | => tracegen / csrFallbackDependencies 0s - | => rocket-dsptools / csrFallbackDependencies 0s - | => sifive_cache / csrFallbackDependencies 0s - | => core / csrProject 0s - | => gemmini / csrFallbackDependencies 0s - | => tapeout / csrFallbackDependencies 0s - | => core / moduleSettings 0s - | => chisel / csrProject 0s - | => treadle / update 0s - | => rocketConfig / update 0s - | => tapeout / Compile / copyResources 0s - - - - - - - - - - - - - - - - - - - | => core / projectDescriptors 0s - - - - - - - - - - - - - - - - | => rocketchip / projectDescriptors 0s - | => hardfloat / update 0s - | => chisel_testers / update 0s - | => iocell / update 0s - - - - - - - - - - - - | => rocket-dsptools / update 0s - | => ariane / update 0s - | => sha3 / update 0s - | => sifive_cache / update 0s - | => hwacha / update 0s - | => nvdla / update 0s - | => sifive_blocks / update 0s - | => chipyard / projectDescriptors 0s - - - - - - - - - - - - - - - - | => utilities / update 0s - | => boom / update 0s - | => icenet / update 0s - | => gemmini / update 0s - - - - - - - - - - - - - - - - - - - | => chipyard / update 0s - - - - - - - - - - - - - - - - - - - | => tapeout / update 0s - - - - - - - - - - - - - - - - - - - | => tapeout / update 0s - - - - - - - - - - - - - - - - - - - | => tapeout / update 0s - - - - - - - - - - - - - - - - - - - | => tapeout / update 0s -[info] Updating  - - - - - - - - - - - - - - - - - - | => tapeout / update 0s -https://repo1.maven.org/maven2/io/github/daviddenton/handlebars-? - 0.0% [ ] 0 B (0 B / s) - - - - - - - - - - - - - - - - - - | => tapeout / update 0s - - - - - - - - - - - - - - - - - - | => tapeout / update 0s -https://repo1.maven.org/maven2/io/github/daviddenton/handlebars-? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/github/daviddenton/handlebars-? - 100.0% [##########] 3.8 KiB (14.5 KiB / s) - - - - - - - - - - - - - - - - - - | => tapeout / update 0s - - - - - - - - - - - - - - - - - - | => tapeout / update 0s - - - - - - - - - - - - - - - - - - | => tapeout / update 0s -[info] Resolved dependencies - - - - - - - - - - - - - - - - - | => tapeout / update 0s - - - - - - - - - - - - - - - - - | => tapeout / update 0s - - - - - - - - - - - - - - - - - | => tapeout / update 1s -[info] Fetching artifacts of  - - - - - - - - - - - - - - - - | => tapeout / update 1s -https://repo1.maven.org/maven2/io/github/daviddenton/handlebars-? - 0.0% [ ] 0 B (0 B / s) -https://repo1.maven.org/maven2/io/github/daviddenton/handlebars-? - 28.2% [## ] 53.6 KiB (1.7 MiB / s) -https://repo1.maven.org/maven2/io/github/daviddenton/handlebars-? - 100.0% [##########] 189.7 KiB (3.6 MiB / s) -[info] Fetched artifacts of  - - - - - - - - - - - - - - - | => tapeout / update 1s - - - - - - - - - - - - - - - | => tapeout / update 1s - - - - - - - - - - - - - - - | => tapeout / update 1s - - - - - - - - - - - - - - - | => tapeout / update 1s - - - - - - - - - - - - - - - - - - - - - | => utilities / Compile / managedResources 0s - | => utilities / Compile / scalacOptions 0s - | => targetutils / Compile / scalacOptions 0s - | => treadle / Compile / scalacOptions 0s - | => hwacha / Compile / scalacOptions 0s - | => ariane / Compile / scalacOptions 0s - | => boom / Compile / scalacOptions 0s - | => testchipip / Compile / scalacOptions 0s - | => dsptools / Compile / scalacOptions 0s - | => rocketMacros / Compile / scalacOptions 0s - | => sifive_blocks / Compile / scalacOptions 0s - | => rocket-dsptools / Compile / scalacOptions 0s - | => core / Compile / scalacOptions 0s - | => hardfloat / Compile / scalacOptions 0s - | => sha3 / Compile / scalacOptions 0s - | => firrtl_interpreter / Compile / scalacOptions 0s - | => tracegen / Compile / scalacOptions 0s - | => macros / Compile / scalacOptions 0s - | => icenet / Compile / scalacOptions 0s - | => chisel / Compile / buildInfo 0s - | => ariane / Compile / unmanagedResources / inputFileStamps 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - - - - - - | => treadle / Compile / previousCompile 0s - | => rocketConfig / Compile / previousCompile 0s - | => rocketMacros / Compile / previousCompile 0s - | => firrtl_interpreter / Compile / previousCompile 0s - | => macros / Compile / previousCompile 0s - | => ariane / Compile / unmanagedResources / inputFileStamps 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - - - - - - | => ariane / Compile / copyResources 0s - | => treadle / Compile / previousCompile 0s - | => rocketConfig / Compile / previousCompile 0s - | => rocketMacros / Compile / previousCompile 0s - | => firrtl_interpreter / Compile / previousCompile 0s - | => macros / Compile / previousCompile 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - - - - - - | => ariane / Compile / copyResources 0s - | => treadle / Compile / previousCompile 0s - | => rocketConfig / Compile / previousCompile 0s - | => rocketMacros / Compile / previousCompile 0s - | => firrtl_interpreter / Compile / previousCompile 0s - | => macros / Compile / previousCompile 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - - - - - - | => firrtl_interpreter / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - | => rocketMacros / Compile / compileIncremental 0s - | => ariane / Compile / copyResources 0s - | => treadle / Compile / previousCompile 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - - - - - - | => macros / Compile / packageBin / packageOptions 0s - | => core / Compile / externalHooks 0s - | => treadle / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => rocketMacros / Compile / compileIncremental 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - - - - - - - - - - - - - - - | => macros / Compile / packageBin / packageOptions 0s - | => core / Compile / externalHooks 0s - | => treadle / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => rocketMacros / Compile / compileIncremental 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - - - - - - - - - - - - - - | => macros / Compile / packageBin / packageOptions 0s - | => core / Compile / externalHooks 0s - | => treadle / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => rocketMacros / Compile / compileIncremental 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - - - - - - - - - | => core / Compile / previousCompile 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - - - - - - - - - | => chisel / Compile / previousCompile 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - - - - - - - - - - - - - - - - - - | => chisel / Compile / previousCompile 0s - | => nvdla / Compile / unmanagedResources / inputFileStamps 0s - - - - - - - - - - - - - - - - - - - - | => iocell / Compile / compileIncremental 0s - | => targetutils / Compile / compileIncremental 0s - | => chisel / Compile / packageBin 0s - | => chisel_testers / Compile / previousCompile 0s - | => nvdla / Compile / copyResources 0s - - - - - - - - - - - - - - - - - - - - - - | => dsptools / Compile / previousCompile 0s - | => hardfloat / Compile / compileIncremental 0s - | => nvdla / Compile / copyResources 0s - - - - - - - - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - - - - - - - - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - - - - - - - - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - - - - - - - - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - - - - - - - - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - - - - - - - - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - - - - - - - - - - - - - - - - - - - - - - - | => rocketchip / Compile / packageBin 0s - - - - - - - - - - - - - - - - - | => sha3 / Compile / compileIncremental 0s - | => nvdla / Compile / compileIncremental 0s - | => ariane / Compile / previousCompile 0s - | => hwacha / Compile / previousCompile 0s - | => sifive_blocks / Compile / previousCompile 0s - | => rocket-dsptools / Compile / previousCompile 0s - | => sifive_cache / Compile / previousCompile 0s - - - - - - - - - - - - - - - - - - - | => sifive_blocks / Compile / compileIncremental 0s - | => nvdla / Compile / packageBin 0s - | => rocket-dsptools / Compile / compileIncremental 0s - | => ariane / Compile / compileIncremental 0s - | => hwacha / Compile / previousCompile 0s - - - - - - - - - - - - - - - - - - - - - | => hwacha / Compile / packageBin 0s - | => testchipip / Compile / previousCompile 0s - | => ariane / Compile / packageBin 0s - - - - - - - - - - - - - - - - - - - - - | => testchipip / Compile / mainClass 0s - | => testchipip / Compile / packageBin / mappings 0s - | => ariane / Compile / packageBin 0s - - - - - - - - - - - - - - - - - - - - | => boom / Compile / previousCompile 0s - | => gemmini / Compile / previousCompile 0s - | => icenet / Compile / previousCompile 0s - | => ariane / Compile / packageBin 0s - - - - - - - - - - - - - - - - - - - - - - | => boom / Compile / previousCompile 0s - | => ariane / Compile / packageBin 0s - - - - - - - - - - - - - - - - - - - - - - | => boom / Compile / compileIncremental 0s - | => ariane / Compile / packageBin 0s - - - - - - - - - - - - - - - - - - - - - - | => tracegen / Compile / compileIncremental 0s - | => ariane / Compile / packageBin 0s - - - - - - - - - - - - - - - - - - - - - - - | => ariane / Compile / packageBin 0s - - - - - - - - - - - - - - - - - - - - - - - | => ariane / Compile / packageBin 0s - - - - - - - - - - - - - - - - - - - - - - - | => ariane / Compile / packageBin 0s - - - - - - - - - - - - - - - - - - - - - - - | => ariane / Compile / packageBin 1s - - - - - - - - - - - - - - - - - - - - - - - | => chipyard / Compile / previousCompile 0s - - - - - - - - - - - - - - - - - - - - - - - | => chipyard / Compile / compileIncremental 0s -[info] Compiling 27 Scala sources to /home/riscvuser/chipyard/tools/barstools/tapeout/target/scala-2.12/classes ... - - - - - - - - - - - - - - - - - - - - - - | => chipyard / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s - - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkAnnotations.scala:97:17: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type - - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  def getAnno = Annotation(target, classOf[ClkSrcTransform], anno.serialize) - - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkAnnotations.scala:113:17: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type - - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  def getAnno = Annotation(target, classOf[ClkSrcTransform], anno.serialize) - - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkAnnotations.scala:136:10: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type - - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  case Annotation(f, t, s) if t == classOf[ClkSrcTransform] => f match { - - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkAnnotations.scala:232:25: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  case chisel3.core.ActualDirection.Input => - - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkAnnotations.scala:236:25: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  case chisel3.core.ActualDirection.Output => - - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkSrcTransform.scala:12:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  override def inputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkSrcTransform.scala:12:41: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  override def inputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkSrcTransform.scala:13:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkSrcTransform.scala:13:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkSrcTransform.scala:19:43: method getMyAnnotations in trait Transform is deprecated (since 1.1): Just collect the actual Annotation types the transform wants - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  val collectedAnnos = HasClkAnnotation(getMyAnnotations(state)) - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.clkgen/ClkSrcTransform.scala:22:48: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  case None => CircuitState(state.circuit, LowForm) - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/AddIOPadsTransform.scala:16:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  override def inputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/AddIOPadsTransform.scala:16:41: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  override def inputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/AddIOPadsTransform.scala:17:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/AddIOPadsTransform.scala:17:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/AddIOPadsTransform.scala:23:43: method getMyAnnotations in trait Transform is deprecated (since 1.1): Just collect the actual Annotation types the transform wants - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  val collectedAnnos = HasPadAnnotation(getMyAnnotations(state)) - - - - - - | => tapeout / Compile / compileIncremental 5s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 5s - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/ChiselTopModule.scala:30:25: value ActualDirection in package core is deprecated (since 3.2): Use the version in chisel3._ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  case chisel3.core.ActualDirection.Input => - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/PadAnnotations.scala:39:17: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def getAnno = Annotation(target, classOf[AddIOPadsTransform], anno.serialize) - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/PadAnnotations.scala:69:17: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def getAnno = Annotation(target, classOf[AddIOPadsTransform], anno.serialize) - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/.pads/PadAnnotations.scala:99:10: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  case Annotation(f, t, s) if t == classOf[AddIOPadsTransform] => f match { - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/AddSuffixToModuleNames.scala:26:19: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def inputForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/AddSuffixToModuleNames.scala:27:20: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def outputForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/AvoidExtModuleCollisions.scala:12:19: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def inputForm = HighForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/AvoidExtModuleCollisions.scala:13:20: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def outputForm = HighForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ConvertToExtModPass.scala:19:19: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def inputForm = HighForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ConvertToExtModPass.scala:20:20: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def outputForm = HighForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/EnumerateModules.scala:24:19: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def inputForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/EnumerateModules.scala:25:20: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def outputForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/Generate.scala:16:33: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] trait HasTapeoutOptions { self: ExecutionOptionsManager with HasFirrtlOptions => - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/Generate.scala:150:30: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  val optionsManager = new ExecutionOptionsManager("tapeout") with HasFirrtlOptions with HasTapeoutOptions - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/Generate.scala:150:70: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  val optionsManager = new ExecutionOptionsManager("tapeout") with HasFirrtlOptions with HasTapeoutOptions - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/Generate.scala:187:27: class FirrtlExecutionSuccess in package firrtl is deprecated (since 1.2): Use FirrtlStage and examine the output AnnotationSeq directly - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  protected def dump(res: FirrtlExecutionSuccess, firFile: Option[String], annoFile: Option[String]): Unit = { - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/Generate.scala:209:25: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  val result = firrtl.Driver.execute(optionsManager) - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/Generate.scala:245:32: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  val harnessResult = firrtl.Driver.execute(optionsManager) - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ReParentCircuit.scala:16:19: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def inputForm = HighForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ReParentCircuit.scala:17:20: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def outputForm = HighForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/RemoveUnusedModules.scala:14:19: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def inputForm = HighForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/RemoveUnusedModules.scala:15:20: object HighForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def outputForm = HighForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:13:47: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def apply(target: ModuleName): Annotation = Annotation(target, classOf[ResetInverterTransform], "invert") - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:15:10: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  case Annotation(m, t, "invert") if t == classOf[ResetInverterTransform] => Some(m) - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:46:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  override def inputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:46:41: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  override def inputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:47:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:47:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/ResetInverter.scala:50:5: method getMyAnnotations in trait Transform is deprecated (since 1.1): Just collect the actual Annotation types the transform wants - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  getMyAnnotations(state) match { - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:13:47: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def apply(target: ModuleName): Annotation = Annotation(target, classOf[RetimeTransform], "retime") - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:15:10: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  case Annotation(m, t, "retime") if t == classOf[RetimeTransform] => Some(m) - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:21:27: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  override def inputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:21:41: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  override def inputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:22:28: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:22:42: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  override def outputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/retime/Retime.scala:25:5: method getMyAnnotations in trait Transform is deprecated (since 1.1): Just collect the actual Annotation types the transform wants - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  getMyAnnotations(state) match { - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:20:12: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  case Annotation(f, t, s) if t == classOf[firrtl.transforms.BlackBoxTargetDirAnno] => - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:37:5: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  Annotation(CircuitName("All"), classOf[TechnologyLocation], dir) - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:41:18: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def inputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:41:32: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def inputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:42:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def outputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:42:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  def outputForm: CircuitForm = LowForm - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/tapeout/src/main/scala/transforms/utils/FileUtils.scala:47:12: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  case Annotation(f, t, s) if t == classOf[TechnologyLocation] => Some(s) - - - - - - | => tapeout / Compile / compileIncremental 6s -[warn]  ^ - - - - - - | => tapeout / Compile / compileIncremental 6s - - - - - - | => tapeout / Compile / compileIncremental 6s - - - - - - | => tapeout / Compile / compileIncremental 6s - - - - - - | => tapeout / Compile / compileIncremental 6s - - - - - - | => tapeout / Compile / compileIncremental 6s - - - - - - | => tapeout / Compile / compileIncremental 6s - - - - - - | => tapeout / Compile / compileIncremental 6s - - - - - - | => tapeout / Compile / compileIncremental 6s - - - - - - | => tapeout / Compile / compileIncremental 7s - - - - - - | => tapeout / Compile / compileIncremental 7s - - - - - - | => tapeout / Compile / compileIncremental 7s - - - - - - | => tapeout / Compile / compileIncremental 7s - - - - - - | => tapeout / Compile / compileIncremental 7s - - - - - - | => tapeout / Compile / compileIncremental 7s - - - - - - | => tapeout / Compile / compileIncremental 7s - - - - - - | => tapeout / Compile / compileIncremental 7s - - - - - - | => tapeout / Compile / compileIncremental 7s - - - - - - | => tapeout / Compile / compileIncremental 7s - - - - - - | => tapeout / Compile / compileIncremental 8s - - - - - - | => tapeout / Compile / compileIncremental 8s - - - - - - | => tapeout / Compile / compileIncremental 8s - - - - - - | => tapeout / Compile / compileIncremental 8s - - - - - - | => tapeout / Compile / compileIncremental 8s - - - - - - | => tapeout / Compile / compileIncremental 8s - - - - - - | => tapeout / Compile / compileIncremental 8s - - - - - - | => tapeout / Compile / compileIncremental 8s - - - - - - | => tapeout / Compile / compileIncremental 8s - - - - - - | => tapeout / Compile / compileIncremental 8s - - - - - - | => tapeout / Compile / compileIncremental 9s - - - - - - | => tapeout / Compile / compileIncremental 9s - - - - - - | => tapeout / Compile / compileIncremental 9s - - - - - - | => tapeout / Compile / compileIncremental 9s - - - - - - | => tapeout / Compile / compileIncremental 9s - - - - - - | => tapeout / Compile / compileIncremental 9s - - - - - - | => tapeout / Compile / compileIncremental 9s - - - - - - | => tapeout / Compile / compileIncremental 9s - - - - - - | => tapeout / Compile / compileIncremental 9s - - - - - - | => tapeout / Compile / compileIncremental 9s - - - - - - | => tapeout / Compile / compileIncremental 10s - - - - - - | => tapeout / Compile / compileIncremental 10s - - - - - - | => tapeout / Compile / compileIncremental 10s - - - - - - | => tapeout / Compile / compileIncremental 10s - - - - - - | => tapeout / Compile / compileIncremental 10s - - - - - - | => tapeout / Compile / compileIncremental 10s - - - - - - | => tapeout / Compile / compileIncremental 10s -[warn] there were 8 feature warnings; re-run with -feature for details - - - - - - | => tapeout / Compile / compileIncremental 10s -[warn] 60 warnings found - - - - - - | => tapeout / Compile / compileIncremental 10s - - - - - - | => tapeout / Compile / compileIncremental 10s - - - - - - | => tapeout / Compile / compile 0s - - - - - - | => tapeout / Compile / compile 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - | => tapeout / Compile / compile 0s - - - - - - | => tapeout / Compile / packageBin 0s - - - - - - | => tapeout / Compile / bgRunMain 0s - - - - - - | => tapeout / Compile / bgRunMain 0s - - - - - - | => tapeout / Compile / bgRunMain 0s - - - - - - | => tapeout / Compile / bgRunMain 0s - - - - - - | => tapeout / Compile / bgRunMain 0s - - - - - - | => tapeout / Compile / bgRunMain 0s - - - - - - | => tapeout / Compile / bgRunMain 0s -[info] running barstools.tapeout.transforms.GenerateTopAndHarness -o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.v -tho /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.v -i /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.fir --syn-top ChipTop --harness-top TestHarness -faf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.anno.json -tsaof /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.anno.json -tdf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.top.f -tsf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.fir -thaof /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.anno.json -hdf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.harness.f -thf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.fir --infer-rw --repl-seq-mem -c:TestHarness:-o:/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.conf -thconf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.conf -td /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig - - - - - - | => tapeout / Compile / runMain 0s ------------------------------------------------------------------------------- -Warning: firrtl.Driver is deprecated since 1.2! -Please switch to firrtl.stage.FirrtlMain ------------------------------------------------------------------------------- - - - - - - | => tapeout / Compile / runMain 0s - - - - - - | => tapeout / Compile / runMain 0s - - - - - - | => tapeout / Compile / runMain 0s - - - - - - | => tapeout / Compile / runMain 0s - - - - - - | => tapeout / Compile / runMain 0s - - - - - - | => tapeout / Compile / runMain 0s - - - - - - | => tapeout / Compile / runMain 0s - - - - - - | => tapeout / Compile / runMain 0s - - - - - - | => tapeout / Compile / runMain 0s - - - - - - | => tapeout / Compile / runMain 1s - - - - - - | => tapeout / Compile / runMain 1s - - - - - - | => tapeout / Compile / runMain 1s - - - - - - | => tapeout / Compile / runMain 1s - - - - - - | => tapeout / Compile / runMain 1s - - - - - - | => tapeout / Compile / runMain 1s - - - - - - | => tapeout / Compile / runMain 1s - - - - - - | => tapeout / Compile / runMain 1s - - - - - - | => tapeout / Compile / runMain 1s - - - - - - | => tapeout / Compile / runMain 2s - - - - - - | => tapeout / Compile / runMain 2s - - - - - - | => tapeout / Compile / runMain 2s - - - - - - | => tapeout / Compile / runMain 2s - - - - - - | => tapeout / Compile / runMain 2s - - - - - - | => tapeout / Compile / runMain 2s - - - - - - | => tapeout / Compile / runMain 2s - - - - - - | => tapeout / Compile / runMain 2s - - - - - - | => tapeout / Compile / runMain 2s - - - - - - | => tapeout / Compile / runMain 3s - - - - - - | => tapeout / Compile / runMain 3s - - - - - - | => tapeout / Compile / runMain 3s - - - - - - | => tapeout / Compile / runMain 3s - - - - - - | => tapeout / Compile / runMain 3s - - - - - - | => tapeout / Compile / runMain 3s - - - - - - | => tapeout / Compile / runMain 3s - - - - - - | => tapeout / Compile / runMain 3s - - - - - - | => tapeout / Compile / runMain 3s - - - - - - | => tapeout / Compile / runMain 3s - - - - - - | => tapeout / Compile / runMain 4s - - - - - - | => tapeout / Compile / runMain 4s - - - - - - | => tapeout / Compile / runMain 4s - - - - - - | => tapeout / Compile / runMain 4s - - - - - - | => tapeout / Compile / runMain 4s - - - - - - | => tapeout / Compile / runMain 4s - - - - - - | => tapeout / Compile / runMain 4s - - - - - - | => tapeout / Compile / runMain 4s - - - - - - | => tapeout / Compile / runMain 4s - - - - - - | => tapeout / Compile / runMain 5s - - - - - - | => tapeout / Compile / runMain 5s - - - - - - | => tapeout / Compile / runMain 5s - - - - - - | => tapeout / Compile / runMain 5s - - - - - - | => tapeout / Compile / runMain 5s - - - - - - | => tapeout / Compile / runMain 5s - - - - - - | => tapeout / Compile / runMain 5s - - - - - - | => tapeout / Compile / runMain 5s - - - - - - | => tapeout / Compile / runMain 5s - - - - - - | => tapeout / Compile / runMain 6s - - - - - - | => tapeout / Compile / runMain 6s - - - - - - | => tapeout / Compile / runMain 6s - - - - - - | => tapeout / Compile / runMain 6s - - - - - - | => tapeout / Compile / runMain 6s - - - - - - | => tapeout / Compile / runMain 6s - - - - - - | => tapeout / Compile / runMain 6s - - - - - - | => tapeout / Compile / runMain 6s - - - - - - | => tapeout / Compile / runMain 6s - - - - - - | => tapeout / Compile / runMain 6s - - - - - - | => tapeout / Compile / runMain 7s - - - - - - | => tapeout / Compile / runMain 7s - - - - - - | => tapeout / Compile / runMain 7s - - - - - - | => tapeout / Compile / runMain 7s - - - - - - | => tapeout / Compile / runMain 7s - - - - - - | => tapeout / Compile / runMain 7s - - - - - - | => tapeout / Compile / runMain 7s - - - - - - | => tapeout / Compile / runMain 7s - - - - - - | => tapeout / Compile / runMain 7s - - - - - - | => tapeout / Compile / runMain 7s - - - - - - | => tapeout / Compile / runMain 8s - - - - - - | => tapeout / Compile / runMain 8s - - - - - - | => tapeout / Compile / runMain 8s - - - - - - | => tapeout / Compile / runMain 8s - - - - - - | => tapeout / Compile / runMain 8s - - - - - - | => tapeout / Compile / runMain 8s - - - - - - | => tapeout / Compile / runMain 8s - - - - - - | => tapeout / Compile / runMain 8s - - - - - - | => tapeout / Compile / runMain 8s - - - - - - | => tapeout / Compile / runMain 8s - - - - - - | => tapeout / Compile / runMain 9s - - - - - - | => tapeout / Compile / runMain 9s - - - - - - | => tapeout / Compile / runMain 9s - - - - - - | => tapeout / Compile / runMain 9s - - - - - - | => tapeout / Compile / runMain 9s - - - - - - | => tapeout / Compile / runMain 9s - - - - - - | => tapeout / Compile / runMain 9s - - - - - - | => tapeout / Compile / runMain 9s - - - - - - | => tapeout / Compile / runMain 9s - - - - - - | => tapeout / Compile / runMain 10s - - - - - - | => tapeout / Compile / runMain 10s - - - - - - | => tapeout / Compile / runMain 10s - - - - - - | => tapeout / Compile / runMain 10s - - - - - - | => tapeout / Compile / runMain 10s - - - - - - | => tapeout / Compile / runMain 10s - - - - - - | => tapeout / Compile / runMain 10s - - - - - - | => tapeout / Compile / runMain 10s - - - - - - | => tapeout / Compile / runMain 10s - - - - - - | => tapeout / Compile / runMain 10s - - - - - - | => tapeout / Compile / runMain 11s - - - - - - | => tapeout / Compile / runMain 11s - - - - - - | => tapeout / Compile / runMain 11s - - - - - - | => tapeout / Compile / runMain 11s - - - - - - | => tapeout / Compile / runMain 11s - - - - - - | => tapeout / Compile / runMain 11s - - - - - - | => tapeout / Compile / runMain 11s - - - - - - | => tapeout / Compile / runMain 11s - - - - - - | => tapeout / Compile / runMain 11s - - - - - - | => tapeout / Compile / runMain 11s - - - - - - | => tapeout / Compile / runMain 12s - - - - - - | => tapeout / Compile / runMain 12s - - - - - - | => tapeout / Compile / runMain 12s - - - - - - | => tapeout / Compile / runMain 12s - - - - - - | => tapeout / Compile / runMain 12s - - - - - - | => tapeout / Compile / runMain 12s - - - - - - | => tapeout / Compile / runMain 12s - - - - - - | => tapeout / Compile / runMain 12s - - - - - - | => tapeout / Compile / runMain 12s - - - - - - | => tapeout / Compile / runMain 12s - - - - - - | => tapeout / Compile / runMain 13s - - - - - - | => tapeout / Compile / runMain 13s - - - - - - | => tapeout / Compile / runMain 13s - - - - - - | => tapeout / Compile / runMain 13s - - - - - - | => tapeout / Compile / runMain 13s - - - - - - | => tapeout / Compile / runMain 13s - - - - - - | => tapeout / Compile / runMain 13s - - - - - - | => tapeout / Compile / runMain 13s - - - - - - | => tapeout / Compile / runMain 13s - - - - - - | => tapeout / Compile / runMain 13s - - - - - - | => tapeout / Compile / runMain 14s - - - - - - | => tapeout / Compile / runMain 14s -Computed transform order in: 491.4 ms - - - - - - | => tapeout / Compile / runMain 14s - - - - - - | => tapeout / Compile / runMain 14s - - - - - - | => tapeout / Compile / runMain 14s - - - - - - | => tapeout / Compile / runMain 14s - - - - - - | => tapeout / Compile / runMain 14s - - - - - - | => tapeout / Compile / runMain 14s - - - - - - | => tapeout / Compile / runMain 14s - - - - - - | => tapeout / Compile / runMain 14s - - - - - - | => tapeout / Compile / runMain 15s - - - - - - | => tapeout / Compile / runMain 15s - - - - - - | => tapeout / Compile / runMain 15s - - - - - - | => tapeout / Compile / runMain 15s - - - - - - | => tapeout / Compile / runMain 15s - - - - - - | => tapeout / Compile / runMain 15s - - - - - - | => tapeout / Compile / runMain 15s - - - - - - | => tapeout / Compile / runMain 15s - - - - - - | => tapeout / Compile / runMain 15s - - - - - - | => tapeout / Compile / runMain 15s - - - - - - | => tapeout / Compile / runMain 16s - - - - - - | => tapeout / Compile / runMain 16s - - - - - - | => tapeout / Compile / runMain 16s - - - - - - | => tapeout / Compile / runMain 16s - - - - - - | => tapeout / Compile / runMain 16s - - - - - - | => tapeout / Compile / runMain 16s - - - - - - | => tapeout / Compile / runMain 16s - - - - - - | => tapeout / Compile / runMain 16s - - - - - - | => tapeout / Compile / runMain 16s - - - - - - | => tapeout / Compile / runMain 16s - - - - - - | => tapeout / Compile / runMain 17s - - - - - - | => tapeout / Compile / runMain 17s - - - - - - | => tapeout / Compile / runMain 17s - - - - - - | => tapeout / Compile / runMain 17s - - - - - - | => tapeout / Compile / runMain 17s - - - - - - | => tapeout / Compile / runMain 17s - - - - - - | => tapeout / Compile / runMain 17s - - - - - - | => tapeout / Compile / runMain 17s - - - - - - | => tapeout / Compile / runMain 17s - - - - - - | => tapeout / Compile / runMain 17s - - - - - - | => tapeout / Compile / runMain 18s - - - - - - | => tapeout / Compile / runMain 18s - - - - - - | => tapeout / Compile / runMain 18s - - - - - - | => tapeout / Compile / runMain 18s - - - - - - | => tapeout / Compile / runMain 18s - - - - - - | => tapeout / Compile / runMain 18s - - - - - - | => tapeout / Compile / runMain 18s - - - - - - | => tapeout / Compile / runMain 18s - - - - - - | => tapeout / Compile / runMain 18s - - - - - - | => tapeout / Compile / runMain 18s - - - - - - | => tapeout / Compile / runMain 19s - - - - - - | => tapeout / Compile / runMain 19s - - - - - - | => tapeout / Compile / runMain 19s - - - - - - | => tapeout / Compile / runMain 19s - - - - - - | => tapeout / Compile / runMain 19s - - - - - - | => tapeout / Compile / runMain 19s - - - - - - | => tapeout / Compile / runMain 19s - - - - - - | => tapeout / Compile / runMain 19s - - - - - - | => tapeout / Compile / runMain 19s - - - - - - | => tapeout / Compile / runMain 20s - - - - - - | => tapeout / Compile / runMain 20s - - - - - - | => tapeout / Compile / runMain 20s - - - - - - | => tapeout / Compile / runMain 20s - - - - - - | => tapeout / Compile / runMain 20s - - - - - - | => tapeout / Compile / runMain 20s - - - - - - | => tapeout / Compile / runMain 20s - - - - - - | => tapeout / Compile / runMain 20s - - - - - - | => tapeout / Compile / runMain 20s - - - - - - | => tapeout / Compile / runMain 20s - - - - - - | => tapeout / Compile / runMain 21s - - - - - - | => tapeout / Compile / runMain 21s - - - - - - | => tapeout / Compile / runMain 21s - - - - - - | => tapeout / Compile / runMain 21s - - - - - - | => tapeout / Compile / runMain 21s - - - - - - | => tapeout / Compile / runMain 21s - - - - - - | => tapeout / Compile / runMain 21s - - - - - - | => tapeout / Compile / runMain 21s - - - - - - | => tapeout / Compile / runMain 21s - - - - - - | => tapeout / Compile / runMain 21s - - - - - - | => tapeout / Compile / runMain 22s - - - - - - | => tapeout / Compile / runMain 22s - - - - - - | => tapeout / Compile / runMain 22s - - - - - - | => tapeout / Compile / runMain 22s - - - - - - | => tapeout / Compile / runMain 22s - - - - - - | => tapeout / Compile / runMain 22s - - - - - - | => tapeout / Compile / runMain 22s - - - - - - | => tapeout / Compile / runMain 22s - - - - - - | => tapeout / Compile / runMain 22s - - - - - - | => tapeout / Compile / runMain 22s - - - - - - | => tapeout / Compile / runMain 23s - - - - - - | => tapeout / Compile / runMain 23s - - - - - - | => tapeout / Compile / runMain 23s - - - - - - | => tapeout / Compile / runMain 23s - - - - - - | => tapeout / Compile / runMain 23s - - - - - - | => tapeout / Compile / runMain 23s - - - - - - | => tapeout / Compile / runMain 23s - - - - - - | => tapeout / Compile / runMain 23s - - - - - - | => tapeout / Compile / runMain 23s - - - - - - | => tapeout / Compile / runMain 23s - - - - - - | => tapeout / Compile / runMain 24s - - - - - - | => tapeout / Compile / runMain 24s - - - - - - | => tapeout / Compile / runMain 24s - - - - - - | => tapeout / Compile / runMain 24s - - - - - - | => tapeout / Compile / runMain 24s - - - - - - | => tapeout / Compile / runMain 24s - - - - - - | => tapeout / Compile / runMain 24s - - - - - - | => tapeout / Compile / runMain 24s - - - - - - | => tapeout / Compile / runMain 24s - - - - - - | => tapeout / Compile / runMain 24s - - - - - - | => tapeout / Compile / runMain 25s - - - - - - | => tapeout / Compile / runMain 25s - - - - - - | => tapeout / Compile / runMain 25s - - - - - - | => tapeout / Compile / runMain 25s - - - - - - | => tapeout / Compile / runMain 25s - - - - - - | => tapeout / Compile / runMain 25s - - - - - - | => tapeout / Compile / runMain 25s - - - - - - | => tapeout / Compile / runMain 25s - - - - - - | => tapeout / Compile / runMain 25s - - - - - - | => tapeout / Compile / runMain 25s - - - - - - | => tapeout / Compile / runMain 26s - - - - - - | => tapeout / Compile / runMain 26s - - - - - - | => tapeout / Compile / runMain 26s - - - - - - | => tapeout / Compile / runMain 26s - - - - - - | => tapeout / Compile / runMain 26s - - - - - - | => tapeout / Compile / runMain 26s - - - - - - | => tapeout / Compile / runMain 26s - - - - - - | => tapeout / Compile / runMain 26s - - - - - - | => tapeout / Compile / runMain 26s - - - - - - | => tapeout / Compile / runMain 26s - - - - - - | => tapeout / Compile / runMain 27s - - - - - - | => tapeout / Compile / runMain 27s - - - - - - | => tapeout / Compile / runMain 27s - - - - - - | => tapeout / Compile / runMain 27s - - - - - - | => tapeout / Compile / runMain 27s - - - - - - | => tapeout / Compile / runMain 27s - - - - - - | => tapeout / Compile / runMain 27s - - - - - - | => tapeout / Compile / runMain 27s - - - - - - | => tapeout / Compile / runMain 27s - - - - - - | => tapeout / Compile / runMain 27s - - - - - - | => tapeout / Compile / runMain 28s - - - - - - | => tapeout / Compile / runMain 28s - - - - - - | => tapeout / Compile / runMain 28s - - - - - - | => tapeout / Compile / runMain 28s - - - - - - | => tapeout / Compile / runMain 28s - - - - - - | => tapeout / Compile / runMain 28s - - - - - - | => tapeout / Compile / runMain 28s - - - - - - | => tapeout / Compile / runMain 28s - - - - - - | => tapeout / Compile / runMain 28s - - - - - - | => tapeout / Compile / runMain 28s - - - - - - | => tapeout / Compile / runMain 29s - - - - - - | => tapeout / Compile / runMain 29s - - - - - - | => tapeout / Compile / runMain 29s - - - - - - | => tapeout / Compile / runMain 29s - - - - - - | => tapeout / Compile / runMain 29s - - - - - - | => tapeout / Compile / runMain 29s - - - - - - | => tapeout / Compile / runMain 29s - - - - - - | => tapeout / Compile / runMain 29s - - - - - - | => tapeout / Compile / runMain 29s - - - - - - | => tapeout / Compile / runMain 29s - - - - - - | => tapeout / Compile / runMain 30s - - - - - - | => tapeout / Compile / runMain 30s - - - - - - | => tapeout / Compile / runMain 30s - - - - - - | => tapeout / Compile / runMain 30s - - - - - - | => tapeout / Compile / runMain 30s - - - - - - | => tapeout / Compile / runMain 30s - - - - - - | => tapeout / Compile / runMain 30s - - - - - - | => tapeout / Compile / runMain 30s - - - - - - | => tapeout / Compile / runMain 30s - - - - - - | => tapeout / Compile / runMain 30s - - - - - - | => tapeout / Compile / runMain 31s - - - - - - | => tapeout / Compile / runMain 31s - - - - - - | => tapeout / Compile / runMain 31s - - - - - - | => tapeout / Compile / runMain 31s - - - - - - | => tapeout / Compile / runMain 31s - - - - - - | => tapeout / Compile / runMain 31s - - - - - - | => tapeout / Compile / runMain 31s - - - - - - | => tapeout / Compile / runMain 31s - - - - - - | => tapeout / Compile / runMain 31s - - - - - - | => tapeout / Compile / runMain 31s - - - - - - | => tapeout / Compile / runMain 32s - - - - - - | => tapeout / Compile / runMain 32s - - - - - - | => tapeout / Compile / runMain 32s - - - - - - | => tapeout / Compile / runMain 32s - - - - - - | => tapeout / Compile / runMain 32s - - - - - - | => tapeout / Compile / runMain 32s - - - - - - | => tapeout / Compile / runMain 32s - - - - - - | => tapeout / Compile / runMain 32s - - - - - - | => tapeout / Compile / runMain 32s - - - - - - | => tapeout / Compile / runMain 33s - - - - - - | => tapeout / Compile / runMain 33s - - - - - - | => tapeout / Compile / runMain 33s - - - - - - | => tapeout / Compile / runMain 33s - - - - - - | => tapeout / Compile / runMain 33s - - - - - - | => tapeout / Compile / runMain 33s - - - - - - | => tapeout / Compile / runMain 33s - - - - - - | => tapeout / Compile / runMain 33s - - - - - - | => tapeout / Compile / runMain 34s - - - - - - | => tapeout / Compile / runMain 34s - - - - - - | => tapeout / Compile / runMain 34s - - - - - - | => tapeout / Compile / runMain 34s - - - - - - | => tapeout / Compile / runMain 34s - - - - - - | => tapeout / Compile / runMain 34s - - - - - - | => tapeout / Compile / runMain 34s - - - - - - | => tapeout / Compile / runMain 34s - - - - - - | => tapeout / Compile / runMain 34s - - - - - - | => tapeout / Compile / runMain 34s - - - - - - | => tapeout / Compile / runMain 35s - - - - - - | => tapeout / Compile / runMain 35s - - - - - - | => tapeout / Compile / runMain 35s - - - - - - | => tapeout / Compile / runMain 35s - - - - - - | => tapeout / Compile / runMain 35s - - - - - - | => tapeout / Compile / runMain 35s - - - - - - | => tapeout / Compile / runMain 35s - - - - - - | => tapeout / Compile / runMain 35s - - - - - - | => tapeout / Compile / runMain 35s - - - - - - | => tapeout / Compile / runMain 35s - - - - - - | => tapeout / Compile / runMain 36s - - - - - - | => tapeout / Compile / runMain 36s - - - - - - | => tapeout / Compile / runMain 36s - - - - - - | => tapeout / Compile / runMain 36s - - - - - - | => tapeout / Compile / runMain 36s - - - - - - | => tapeout / Compile / runMain 36s - - - - - - | => tapeout / Compile / runMain 36s - - - - - - | => tapeout / Compile / runMain 36s - - - - - - | => tapeout / Compile / runMain 36s - - - - - - | => tapeout / Compile / runMain 36s - - - - - - | => tapeout / Compile / runMain 37s - - - - - - | => tapeout / Compile / runMain 37s - - - - - - | => tapeout / Compile / runMain 37s - - - - - - | => tapeout / Compile / runMain 37s - - - - - - | => tapeout / Compile / runMain 37s - - - - - - | => tapeout / Compile / runMain 37s - - - - - - | => tapeout / Compile / runMain 37s - - - - - - | => tapeout / Compile / runMain 37s - - - - - - | => tapeout / Compile / runMain 37s - - - - - - | => tapeout / Compile / runMain 37s - - - - - - | => tapeout / Compile / runMain 38s - - - - - - | => tapeout / Compile / runMain 38s - - - - - - | => tapeout / Compile / runMain 38s - - - - - - | => tapeout / Compile / runMain 38s - - - - - - | => tapeout / Compile / runMain 38s - - - - - - | => tapeout / Compile / runMain 38s - - - - - - | => tapeout / Compile / runMain 38s - - - - - - | => tapeout / Compile / runMain 38s - - - - - - | => tapeout / Compile / runMain 38s - - - - - - | => tapeout / Compile / runMain 38s - - - - - - | => tapeout / Compile / runMain 39s - - - - - - | => tapeout / Compile / runMain 39s - - - - - - | => tapeout / Compile / runMain 39s - - - - - - | => tapeout / Compile / runMain 39s - - - - - - | => tapeout / Compile / runMain 39s - - - - - - | => tapeout / Compile / runMain 39s - - - - - - | => tapeout / Compile / runMain 39s - - - - - - | => tapeout / Compile / runMain 39s - - - - - - | => tapeout / Compile / runMain 39s - - - - - - | => tapeout / Compile / runMain 39s - - - - - - | => tapeout / Compile / runMain 40s - - - - - - | => tapeout / Compile / runMain 40s - - - - - - | => tapeout / Compile / runMain 40s - - - - - - | => tapeout / Compile / runMain 40s - - - - - - | => tapeout / Compile / runMain 40s - - - - - - | => tapeout / Compile / runMain 40s - - - - - - | => tapeout / Compile / runMain 40s - - - - - - | => tapeout / Compile / runMain 40s - - - - - - | => tapeout / Compile / runMain 40s - - - - - - | => tapeout / Compile / runMain 41s - - - - - - | => tapeout / Compile / runMain 41s - - - - - - | => tapeout / Compile / runMain 41s - - - - - - | => tapeout / Compile / runMain 41s - - - - - - | => tapeout / Compile / runMain 41s - - - - - - | => tapeout / Compile / runMain 41s - - - - - - | => tapeout / Compile / runMain 41s - - - - - - | => tapeout / Compile / runMain 41s - - - - - - | => tapeout / Compile / runMain 41s - - - - - - | => tapeout / Compile / runMain 41s - - - - - - | => tapeout / Compile / runMain 42s - - - - - - | => tapeout / Compile / runMain 42s - - - - - - | => tapeout / Compile / runMain 42s - - - - - - | => tapeout / Compile / runMain 42s - - - - - - | => tapeout / Compile / runMain 42s - - - - - - | => tapeout / Compile / runMain 42s - - - - - - | => tapeout / Compile / runMain 42s - - - - - - | => tapeout / Compile / runMain 42s - - - - - - | => tapeout / Compile / runMain 42s - - - - - - | => tapeout / Compile / runMain 42s - - - - - - | => tapeout / Compile / runMain 43s - - - - - - | => tapeout / Compile / runMain 43s - - - - - - | => tapeout / Compile / runMain 43s - - - - - - | => tapeout / Compile / runMain 43s - - - - - - | => tapeout / Compile / runMain 43s - - - - - - | => tapeout / Compile / runMain 43s - - - - - - | => tapeout / Compile / runMain 43s - - - - - - | => tapeout / Compile / runMain 43s - - - - - - | => tapeout / Compile / runMain 43s - - - - - - | => tapeout / Compile / runMain 43s - - - - - - | => tapeout / Compile / runMain 44s - - - - - - | => tapeout / Compile / runMain 44s - - - - - - | => tapeout / Compile / runMain 44s - - - - - - | => tapeout / Compile / runMain 44s - - - - - - | => tapeout / Compile / runMain 44s - - - - - - | => tapeout / Compile / runMain 44s - - - - - - | => tapeout / Compile / runMain 44s - - - - - - | => tapeout / Compile / runMain 44s - - - - - - | => tapeout / Compile / runMain 44s - - - - - - | => tapeout / Compile / runMain 44s - - - - - - | => tapeout / Compile / runMain 45s - - - - - - | => tapeout / Compile / runMain 45s - - - - - - | => tapeout / Compile / runMain 45s - - - - - - | => tapeout / Compile / runMain 45s - - - - - - | => tapeout / Compile / runMain 45s - - - - - - | => tapeout / Compile / runMain 45s - - - - - - | => tapeout / Compile / runMain 45s - - - - - - | => tapeout / Compile / runMain 45s - - - - - - | => tapeout / Compile / runMain 45s - - - - - - | => tapeout / Compile / runMain 46s - - - - - - | => tapeout / Compile / runMain 46s - - - - - - | => tapeout / Compile / runMain 46s - - - - - - | => tapeout / Compile / runMain 46s - - - - - - | => tapeout / Compile / runMain 46s - - - - - - | => tapeout / Compile / runMain 46s - - - - - - | => tapeout / Compile / runMain 46s - - - - - - | => tapeout / Compile / runMain 46s - - - - - - | => tapeout / Compile / runMain 46s - - - - - - | => tapeout / Compile / runMain 46s - - - - - - | => tapeout / Compile / runMain 47s - - - - - - | => tapeout / Compile / runMain 47s - - - - - - | => tapeout / Compile / runMain 47s - - - - - - | => tapeout / Compile / runMain 47s - - - - - - | => tapeout / Compile / runMain 47s - - - - - - | => tapeout / Compile / runMain 47s - - - - - - | => tapeout / Compile / runMain 47s - - - - - - | => tapeout / Compile / runMain 47s - - - - - - | => tapeout / Compile / runMain 47s - - - - - - | => tapeout / Compile / runMain 47s - - - - - - | => tapeout / Compile / runMain 48s - - - - - - | => tapeout / Compile / runMain 48s - - - - - - | => tapeout / Compile / runMain 48s - - - - - - | => tapeout / Compile / runMain 48s - - - - - - | => tapeout / Compile / runMain 48s - - - - - - | => tapeout / Compile / runMain 48s - - - - - - | => tapeout / Compile / runMain 48s - - - - - - | => tapeout / Compile / runMain 48s - - - - - - | => tapeout / Compile / runMain 48s - - - - - - | => tapeout / Compile / runMain 48s - - - - - - | => tapeout / Compile / runMain 49s - - - - - - | => tapeout / Compile / runMain 49s - - - - - - | => tapeout / Compile / runMain 49s - - - - - - | => tapeout / Compile / runMain 49s - - - - - - | => tapeout / Compile / runMain 49s - - - - - - | => tapeout / Compile / runMain 49s - - - - - - | => tapeout / Compile / runMain 49s - - - - - - | => tapeout / Compile / runMain 49s - - - - - - | => tapeout / Compile / runMain 49s - - - - - - | => tapeout / Compile / runMain 49s - - - - - - | => tapeout / Compile / runMain 50s - - - - - - | => tapeout / Compile / runMain 50s - - - - - - | => tapeout / Compile / runMain 50s - - - - - - | => tapeout / Compile / runMain 50s - - - - - - | => tapeout / Compile / runMain 50s - - - - - - | => tapeout / Compile / runMain 50s - - - - - - | => tapeout / Compile / runMain 50s - - - - - - | => tapeout / Compile / runMain 50s - - - - - - | => tapeout / Compile / runMain 50s - - - - - - | => tapeout / Compile / runMain 50s - - - - - - | => tapeout / Compile / runMain 51s - - - - - - | => tapeout / Compile / runMain 51s - - - - - - | => tapeout / Compile / runMain 51s - - - - - - | => tapeout / Compile / runMain 51s - - - - - - | => tapeout / Compile / runMain 51s - - - - - - | => tapeout / Compile / runMain 51s - - - - - - | => tapeout / Compile / runMain 51s - - - - - - | => tapeout / Compile / runMain 51s - - - - - - | => tapeout / Compile / runMain 51s - - - - - - | => tapeout / Compile / runMain 51s - - - - - - | => tapeout / Compile / runMain 52s - - - - - - | => tapeout / Compile / runMain 52s - - - - - - | => tapeout / Compile / runMain 52s - - - - - - | => tapeout / Compile / runMain 52s - - - - - - | => tapeout / Compile / runMain 52s - - - - - - | => tapeout / Compile / runMain 52s - - - - - - | => tapeout / Compile / runMain 52s - - - - - - | => tapeout / Compile / runMain 52s - - - - - - | => tapeout / Compile / runMain 52s - - - - - - | => tapeout / Compile / runMain 52s - - - - - - | => tapeout / Compile / runMain 53s - - - - - - | => tapeout / Compile / runMain 53s - - - - - - | => tapeout / Compile / runMain 53s - - - - - - | => tapeout / Compile / runMain 53s - - - - - - | => tapeout / Compile / runMain 53s - - - - - - | => tapeout / Compile / runMain 53s - - - - - - | => tapeout / Compile / runMain 53s - - - - - - | => tapeout / Compile / runMain 53s - - - - - - | => tapeout / Compile / runMain 53s - - - - - - | => tapeout / Compile / runMain 53s - - - - - - | => tapeout / Compile / runMain 54s - - - - - - | => tapeout / Compile / runMain 54s - - - - - - | => tapeout / Compile / runMain 54s - - - - - - | => tapeout / Compile / runMain 54s - - - - - - | => tapeout / Compile / runMain 54s - - - - - - | => tapeout / Compile / runMain 54s - - - - - - | => tapeout / Compile / runMain 54s - - - - - - | => tapeout / Compile / runMain 54s - - - - - - | => tapeout / Compile / runMain 54s - - - - - - | => tapeout / Compile / runMain 54s - - - - - - | => tapeout / Compile / runMain 55s - - - - - - | => tapeout / Compile / runMain 55s - - - - - - | => tapeout / Compile / runMain 55s - - - - - - | => tapeout / Compile / runMain 55s - - - - - - | => tapeout / Compile / runMain 55s - - - - - - | => tapeout / Compile / runMain 55s - - - - - - | => tapeout / Compile / runMain 55s - - - - - - | => tapeout / Compile / runMain 55s - - - - - - | => tapeout / Compile / runMain 55s - - - - - - | => tapeout / Compile / runMain 55s - - - - - - | => tapeout / Compile / runMain 56s - - - - - - | => tapeout / Compile / runMain 56s - - - - - - | => tapeout / Compile / runMain 56s - - - - - - | => tapeout / Compile / runMain 56s - - - - - - | => tapeout / Compile / runMain 56s - - - - - - | => tapeout / Compile / runMain 56s - - - - - - | => tapeout / Compile / runMain 56s - - - - - - | => tapeout / Compile / runMain 56s - - - - - - | => tapeout / Compile / runMain 56s - - - - - - | => tapeout / Compile / runMain 56s - - - - - - | => tapeout / Compile / runMain 57s - - - - - - | => tapeout / Compile / runMain 57s - - - - - - | => tapeout / Compile / runMain 57s - - - - - - | => tapeout / Compile / runMain 57s - - - - - - | => tapeout / Compile / runMain 57s - - - - - - | => tapeout / Compile / runMain 57s - - - - - - | => tapeout / Compile / runMain 57s - - - - - - | => tapeout / Compile / runMain 57s - - - - - - | => tapeout / Compile / runMain 57s - - - - - - | => tapeout / Compile / runMain 57s - - - - - - | => tapeout / Compile / runMain 58s - - - - - - | => tapeout / Compile / runMain 58s - - - - - - | => tapeout / Compile / runMain 58s - - - - - - | => tapeout / Compile / runMain 58s - - - - - - | => tapeout / Compile / runMain 58s - - - - - - | => tapeout / Compile / runMain 58s - - - - - - | => tapeout / Compile / runMain 58s - - - - - - | => tapeout / Compile / runMain 58s - - - - - - | => tapeout / Compile / runMain 58s - - - - - - | => tapeout / Compile / runMain 58s - - - - - - | => tapeout / Compile / runMain 59s - - - - - - | => tapeout / Compile / runMain 59s - - - - - - | => tapeout / Compile / runMain 59s - - - - - - | => tapeout / Compile / runMain 59s - - - - - - | => tapeout / Compile / runMain 59s - - - - - - | => tapeout / Compile / runMain 59s - - - - - - | => tapeout / Compile / runMain 59s - - - - - - | => tapeout / Compile / runMain 59s - - - - - - | => tapeout / Compile / runMain 59s - - - - - - | => tapeout / Compile / runMain 59s - - - - - - | => tapeout / Compile / runMain 60s - - - - - - | => tapeout / Compile / runMain 60s - - - - - - | => tapeout / Compile / runMain 60s - - - - - - | => tapeout / Compile / runMain 60s - - - - - - | => tapeout / Compile / runMain 60s - - - - - - | => tapeout / Compile / runMain 60s - - - - - - | => tapeout / Compile / runMain 60s - - - - - - | => tapeout / Compile / runMain 60s - - - - - - | => tapeout / Compile / runMain 60s - - - - - - | => tapeout / Compile / runMain 60s - - - - - - | => tapeout / Compile / runMain 61s - - - - - - | => tapeout / Compile / runMain 61s - - - - - - | => tapeout / Compile / runMain 61s - - - - - - | => tapeout / Compile / runMain 61s - - - - - - | => tapeout / Compile / runMain 61s - - - - - - | => tapeout / Compile / runMain 61s - - - - - - | => tapeout / Compile / runMain 61s - - - - - - | => tapeout / Compile / runMain 61s - - - - - - | => tapeout / Compile / runMain 61s - - - - - - | => tapeout / Compile / runMain 62s - - - - - - | => tapeout / Compile / runMain 62s - - - - - - | => tapeout / Compile / runMain 62s - - - - - - | => tapeout / Compile / runMain 62s - - - - - - | => tapeout / Compile / runMain 62s - - - - - - | => tapeout / Compile / runMain 62s - - - - - - | => tapeout / Compile / runMain 62s - - - - - - | => tapeout / Compile / runMain 62s - - - - - - | => tapeout / Compile / runMain 62s - - - - - - | => tapeout / Compile / runMain 62s - - - - - - | => tapeout / Compile / runMain 63s - - - - - - | => tapeout / Compile / runMain 63s - - - - - - | => tapeout / Compile / runMain 63s - - - - - - | => tapeout / Compile / runMain 63s - - - - - - | => tapeout / Compile / runMain 63s - - - - - - | => tapeout / Compile / runMain 63s - - - - - - | => tapeout / Compile / runMain 63s - - - - - - | => tapeout / Compile / runMain 63s - - - - - - | => tapeout / Compile / runMain 63s - - - - - - | => tapeout / Compile / runMain 63s - - - - - - | => tapeout / Compile / runMain 64s - - - - - - | => tapeout / Compile / runMain 64s - - - - - - | => tapeout / Compile / runMain 64s - - - - - - | => tapeout / Compile / runMain 64s - - - - - - | => tapeout / Compile / runMain 64s - - - - - - | => tapeout / Compile / runMain 64s - - - - - - | => tapeout / Compile / runMain 64s - - - - - - | => tapeout / Compile / runMain 64s - - - - - - | => tapeout / Compile / runMain 64s - - - - - - | => tapeout / Compile / runMain 64s - - - - - - | => tapeout / Compile / runMain 65s - - - - - - | => tapeout / Compile / runMain 65s - - - - - - | => tapeout / Compile / runMain 65s - - - - - - | => tapeout / Compile / runMain 65s - - - - - - | => tapeout / Compile / runMain 65s - - - - - - | => tapeout / Compile / runMain 65s - - - - - - | => tapeout / Compile / runMain 65s - - - - - - | => tapeout / Compile / runMain 65s - - - - - - | => tapeout / Compile / runMain 65s - - - - - - | => tapeout / Compile / runMain 65s - - - - - - | => tapeout / Compile / runMain 66s - - - - - - | => tapeout / Compile / runMain 66s - - - - - - | => tapeout / Compile / runMain 66s - - - - - - | => tapeout / Compile / runMain 66s - - - - - - | => tapeout / Compile / runMain 66s - - - - - - | => tapeout / Compile / runMain 66s - - - - - - | => tapeout / Compile / runMain 66s - - - - - - | => tapeout / Compile / runMain 66s - - - - - - | => tapeout / Compile / runMain 66s - - - - - - | => tapeout / Compile / runMain 66s - - - - - - | => tapeout / Compile / runMain 67s - - - - - - | => tapeout / Compile / runMain 67s - - - - - - | => tapeout / Compile / runMain 67s - - - - - - | => tapeout / Compile / runMain 67s - - - - - - | => tapeout / Compile / runMain 67s - - - - - - | => tapeout / Compile / runMain 67s - - - - - - | => tapeout / Compile / runMain 67s - - - - - - | => tapeout / Compile / runMain 67s - - - - - - | => tapeout / Compile / runMain 67s - - - - - - | => tapeout / Compile / runMain 67s - - - - - - | => tapeout / Compile / runMain 68s - - - - - - | => tapeout / Compile / runMain 68s - - - - - - | => tapeout / Compile / runMain 68s - - - - - - | => tapeout / Compile / runMain 68s - - - - - - | => tapeout / Compile / runMain 68s - - - - - - | => tapeout / Compile / runMain 68s - - - - - - | => tapeout / Compile / runMain 68s - - - - - - | => tapeout / Compile / runMain 68s - - - - - - | => tapeout / Compile / runMain 68s - - - - - - | => tapeout / Compile / runMain 68s - - - - - - | => tapeout / Compile / runMain 69s - - - - - - | => tapeout / Compile / runMain 69s - - - - - - | => tapeout / Compile / runMain 69s - - - - - - | => tapeout / Compile / runMain 69s - - - - - - | => tapeout / Compile / runMain 69s - - - - - - | => tapeout / Compile / runMain 69s - - - - - - | => tapeout / Compile / runMain 69s - - - - - - | => tapeout / Compile / runMain 69s - - - - - - | => tapeout / Compile / runMain 69s - - - - - - | => tapeout / Compile / runMain 69s - - - - - - | => tapeout / Compile / runMain 70s - - - - - - | => tapeout / Compile / runMain 70s - - - - - - | => tapeout / Compile / runMain 70s - - - - - - | => tapeout / Compile / runMain 70s - - - - - - | => tapeout / Compile / runMain 70s - - - - - - | => tapeout / Compile / runMain 70s - - - - - - | => tapeout / Compile / runMain 70s - - - - - - | => tapeout / Compile / runMain 70s - - - - - - | => tapeout / Compile / runMain 70s - - - - - - | => tapeout / Compile / runMain 70s - - - - - - | => tapeout / Compile / runMain 71s - - - - - - | => tapeout / Compile / runMain 71s - - - - - - | => tapeout / Compile / runMain 71s - - - - - - | => tapeout / Compile / runMain 71s - - - - - - | => tapeout / Compile / runMain 71s - - - - - - | => tapeout / Compile / runMain 71s - - - - - - | => tapeout / Compile / runMain 71s - - - - - - | => tapeout / Compile / runMain 71s - - - - - - | => tapeout / Compile / runMain 71s - - - - - - | => tapeout / Compile / runMain 71s - - - - - - | => tapeout / Compile / runMain 72s - - - - - - | => tapeout / Compile / runMain 72s - - - - - - | => tapeout / Compile / runMain 72s - - - - - - | => tapeout / Compile / runMain 72s - - - - - - | => tapeout / Compile / runMain 72s - - - - - - | => tapeout / Compile / runMain 72s - - - - - - | => tapeout / Compile / runMain 72s - - - - - - | => tapeout / Compile / runMain 72s - - - - - - | => tapeout / Compile / runMain 72s - - - - - - | => tapeout / Compile / runMain 72s - - - - - - | => tapeout / Compile / runMain 73s - - - - - - | => tapeout / Compile / runMain 73s - - - - - - | => tapeout / Compile / runMain 73s - - - - - - | => tapeout / Compile / runMain 73s - - - - - - | => tapeout / Compile / runMain 73s - - - - - - | => tapeout / Compile / runMain 73s - - - - - - | => tapeout / Compile / runMain 73s - - - - - - | => tapeout / Compile / runMain 73s - - - - - - | => tapeout / Compile / runMain 73s - - - - - - | => tapeout / Compile / runMain 73s - - - - - - | => tapeout / Compile / runMain 74s - - - - - - | => tapeout / Compile / runMain 74s - - - - - - | => tapeout / Compile / runMain 74s - - - - - - | => tapeout / Compile / runMain 74s - - - - - - | => tapeout / Compile / runMain 74s - - - - - - | => tapeout / Compile / runMain 74s - - - - - - | => tapeout / Compile / runMain 74s - - - - - - | => tapeout / Compile / runMain 74s - - - - - - | => tapeout / Compile / runMain 74s - - - - - - | => tapeout / Compile / runMain 74s - - - - - - | => tapeout / Compile / runMain 75s - - - - - - | => tapeout / Compile / runMain 75s - - - - - - | => tapeout / Compile / runMain 75s - - - - - - | => tapeout / Compile / runMain 75s - - - - - - | => tapeout / Compile / runMain 75s - - - - - - | => tapeout / Compile / runMain 75s - - - - - - | => tapeout / Compile / runMain 75s - - - - - - | => tapeout / Compile / runMain 75s - - - - - - | => tapeout / Compile / runMain 75s - - - - - - | => tapeout / Compile / runMain 75s - - - - - - | => tapeout / Compile / runMain 76s - - - - - - | => tapeout / Compile / runMain 76s - - - - - - | => tapeout / Compile / runMain 76s - - - - - - | => tapeout / Compile / runMain 76s - - - - - - | => tapeout / Compile / runMain 76s - - - - - - | => tapeout / Compile / runMain 76s - - - - - - | => tapeout / Compile / runMain 76s - - - - - - | => tapeout / Compile / runMain 76s - - - - - - | => tapeout / Compile / runMain 76s - - - - - - | => tapeout / Compile / runMain 76s - - - - - - | => tapeout / Compile / runMain 77s - - - - - - | => tapeout / Compile / runMain 77s - - - - - - | => tapeout / Compile / runMain 77s - - - - - - | => tapeout / Compile / runMain 77s - - - - - - | => tapeout / Compile / runMain 77s - - - - - - | => tapeout / Compile / runMain 77s - - - - - - | => tapeout / Compile / runMain 77s - - - - - - | => tapeout / Compile / runMain 77s - - - - - - | => tapeout / Compile / runMain 77s - - - - - - | => tapeout / Compile / runMain 77s - - - - - - | => tapeout / Compile / runMain 78s - - - - - - | => tapeout / Compile / runMain 78s - - - - - - | => tapeout / Compile / runMain 78s - - - - - - | => tapeout / Compile / runMain 78s - - - - - - | => tapeout / Compile / runMain 78s - - - - - - | => tapeout / Compile / runMain 78s - - - - - - | => tapeout / Compile / runMain 78s - - - - - - | => tapeout / Compile / runMain 78s - - - - - - | => tapeout / Compile / runMain 78s - - - - - - | => tapeout / Compile / runMain 78s - - - - - - | => tapeout / Compile / runMain 79s - - - - - - | => tapeout / Compile / runMain 79s - - - - - - | => tapeout / Compile / runMain 79s - - - - - - | => tapeout / Compile / runMain 79s - - - - - - | => tapeout / Compile / runMain 79s - - - - - - | => tapeout / Compile / runMain 79s - - - - - - | => tapeout / Compile / runMain 79s - - - - - - | => tapeout / Compile / runMain 79s - - - - - - | => tapeout / Compile / runMain 79s - - - - - - | => tapeout / Compile / runMain 80s - - - - - - | => tapeout / Compile / runMain 80s - - - - - - | => tapeout / Compile / runMain 80s - - - - - - | => tapeout / Compile / runMain 80s - - - - - - | => tapeout / Compile / runMain 80s - - - - - - | => tapeout / Compile / runMain 80s - - - - - - | => tapeout / Compile / runMain 80s - - - - - - | => tapeout / Compile / runMain 80s - - - - - - | => tapeout / Compile / runMain 80s - - - - - - | => tapeout / Compile / runMain 80s - - - - - - | => tapeout / Compile / runMain 81s - - - - - - | => tapeout / Compile / runMain 81s - - - - - - | => tapeout / Compile / runMain 81s - - - - - - | => tapeout / Compile / runMain 81s - - - - - - | => tapeout / Compile / runMain 81s - - - - - - | => tapeout / Compile / runMain 81s - - - - - - | => tapeout / Compile / runMain 81s - - - - - - | => tapeout / Compile / runMain 81s - - - - - - | => tapeout / Compile / runMain 81s - - - - - - | => tapeout / Compile / runMain 81s - - - - - - | => tapeout / Compile / runMain 82s - - - - - - | => tapeout / Compile / runMain 82s - - - - - - | => tapeout / Compile / runMain 82s - - - - - - | => tapeout / Compile / runMain 82s - - - - - - | => tapeout / Compile / runMain 82s - - - - - - | => tapeout / Compile / runMain 82s - - - - - - | => tapeout / Compile / runMain 82s - - - - - - | => tapeout / Compile / runMain 82s - - - - - - | => tapeout / Compile / runMain 82s - - - - - - | => tapeout / Compile / runMain 82s - - - - - - | => tapeout / Compile / runMain 83s - - - - - - | => tapeout / Compile / runMain 83s - - - - - - | => tapeout / Compile / runMain 83s - - - - - - | => tapeout / Compile / runMain 83s - - - - - - | => tapeout / Compile / runMain 83s - - - - - - | => tapeout / Compile / runMain 83s - - - - - - | => tapeout / Compile / runMain 83s - - - - - - | => tapeout / Compile / runMain 83s - - - - - - | => tapeout / Compile / runMain 83s - - - - - - | => tapeout / Compile / runMain 83s - - - - - - | => tapeout / Compile / runMain 84s - - - - - - | => tapeout / Compile / runMain 84s - - - - - - | => tapeout / Compile / runMain 84s - - - - - - | => tapeout / Compile / runMain 84s - - - - - - | => tapeout / Compile / runMain 84s - - - - - - | => tapeout / Compile / runMain 84s - - - - - - | => tapeout / Compile / runMain 84s - - - - - - | => tapeout / Compile / runMain 84s - - - - - - | => tapeout / Compile / runMain 84s - - - - - - | => tapeout / Compile / runMain 84s - - - - - - | => tapeout / Compile / runMain 85s - - - - - - | => tapeout / Compile / runMain 85s - - - - - - | => tapeout / Compile / runMain 85s - - - - - - | => tapeout / Compile / runMain 85s - - - - - - | => tapeout / Compile / runMain 85s - - - - - - | => tapeout / Compile / runMain 85s - - - - - - | => tapeout / Compile / runMain 85s - - - - - - | => tapeout / Compile / runMain 85s - - - - - - | => tapeout / Compile / runMain 85s - - - - - - | => tapeout / Compile / runMain 85s - - - - - - | => tapeout / Compile / runMain 86s - - - - - - | => tapeout / Compile / runMain 86s - - - - - - | => tapeout / Compile / runMain 86s - - - - - - | => tapeout / Compile / runMain 86s - - - - - - | => tapeout / Compile / runMain 86s - - - - - - | => tapeout / Compile / runMain 86s - - - - - - | => tapeout / Compile / runMain 86s - - - - - - | => tapeout / Compile / runMain 86s - - - - - - | => tapeout / Compile / runMain 86s - - - - - - | => tapeout / Compile / runMain 86s - - - - - - | => tapeout / Compile / runMain 87s - - - - - - | => tapeout / Compile / runMain 87s - - - - - - | => tapeout / Compile / runMain 87s - - - - - - | => tapeout / Compile / runMain 87s - - - - - - | => tapeout / Compile / runMain 87s - - - - - - | => tapeout / Compile / runMain 87s - - - - - - | => tapeout / Compile / runMain 87s - - - - - - | => tapeout / Compile / runMain 87s - - - - - - | => tapeout / Compile / runMain 87s - - - - - - | => tapeout / Compile / runMain 87s - - - - - - | => tapeout / Compile / runMain 88s - - - - - - | => tapeout / Compile / runMain 88s - - - - - - | => tapeout / Compile / runMain 88s - - - - - - | => tapeout / Compile / runMain 88s - - - - - - | => tapeout / Compile / runMain 88s - - - - - - | => tapeout / Compile / runMain 88s - - - - - - | => tapeout / Compile / runMain 88s - - - - - - | => tapeout / Compile / runMain 88s - - - - - - | => tapeout / Compile / runMain 88s - - - - - - | => tapeout / Compile / runMain 88s - - - - - - | => tapeout / Compile / runMain 89s - - - - - - | => tapeout / Compile / runMain 89s - - - - - - | => tapeout / Compile / runMain 89s - - - - - - | => tapeout / Compile / runMain 89s - - - - - - | => tapeout / Compile / runMain 89s - - - - - - | => tapeout / Compile / runMain 89s - - - - - - | => tapeout / Compile / runMain 89s - - - - - - | => tapeout / Compile / runMain 89s - - - - - - | => tapeout / Compile / runMain 89s - - - - - - | => tapeout / Compile / runMain 89s - - - - - - | => tapeout / Compile / runMain 90s - - - - - - | => tapeout / Compile / runMain 90s - - - - - - | => tapeout / Compile / runMain 90s - - - - - - | => tapeout / Compile / runMain 90s - - - - - - | => tapeout / Compile / runMain 90s - - - - - - | => tapeout / Compile / runMain 90s - - - - - - | => tapeout / Compile / runMain 90s - - - - - - | => tapeout / Compile / runMain 90s - - - - - - | => tapeout / Compile / runMain 90s - - - - - - | => tapeout / Compile / runMain 90s - - - - - - | => tapeout / Compile / runMain 91s - - - - - - | => tapeout / Compile / runMain 91s - - - - - - | => tapeout / Compile / runMain 91s - - - - - - | => tapeout / Compile / runMain 91s - - - - - - | => tapeout / Compile / runMain 91s - - - - - - | => tapeout / Compile / runMain 91s - - - - - - | => tapeout / Compile / runMain 91s - - - - - - | => tapeout / Compile / runMain 91s - - - - - - | => tapeout / Compile / runMain 91s - - - - - - | => tapeout / Compile / runMain 91s - - - - - - | => tapeout / Compile / runMain 92s - - - - - - | => tapeout / Compile / runMain 92s - - - - - - | => tapeout / Compile / runMain 92s - - - - - - | => tapeout / Compile / runMain 92s - - - - - - | => tapeout / Compile / runMain 92s - - - - - - | => tapeout / Compile / runMain 92s - - - - - - | => tapeout / Compile / runMain 92s - - - - - - | => tapeout / Compile / runMain 92s - - - - - - | => tapeout / Compile / runMain 92s - - - - - - | => tapeout / Compile / runMain 93s - - - - - - | => tapeout / Compile / runMain 93s - - - - - - | => tapeout / Compile / runMain 93s - - - - - - | => tapeout / Compile / runMain 93s - - - - - - | => tapeout / Compile / runMain 93s - - - - - - | => tapeout / Compile / runMain 93s - - - - - - | => tapeout / Compile / runMain 93s - - - - - - | => tapeout / Compile / runMain 93s - - - - - - | => tapeout / Compile / runMain 93s - - - - - - | => tapeout / Compile / runMain 93s - - - - - - | => tapeout / Compile / runMain 94s - - - - - - | => tapeout / Compile / runMain 94s - - - - - - | => tapeout / Compile / runMain 94s - - - - - - | => tapeout / Compile / runMain 94s - - - - - - | => tapeout / Compile / runMain 94s - - - - - - | => tapeout / Compile / runMain 94s - - - - - - | => tapeout / Compile / runMain 94s - - - - - - | => tapeout / Compile / runMain 94s - - - - - - | => tapeout / Compile / runMain 94s - - - - - - | => tapeout / Compile / runMain 94s - - - - - - | => tapeout / Compile / runMain 95s - - - - - - | => tapeout / Compile / runMain 95s - - - - - - | => tapeout / Compile / runMain 95s - - - - - - | => tapeout / Compile / runMain 95s - - - - - - | => tapeout / Compile / runMain 95s - - - - - - | => tapeout / Compile / runMain 95s - - - - - - | => tapeout / Compile / runMain 95s - - - - - - | => tapeout / Compile / runMain 95s -Total FIRRTL Compile Time: 81583.1 ms - - - - - - | => tapeout / Compile / runMain 95s - - - - - - | => tapeout / Compile / runMain 95s - - - - - - | => tapeout / Compile / runMain 96s - - - - - - | => tapeout / Compile / runMain 96s - - - - - - | => tapeout / Compile / runMain 96s - - - - - - | => tapeout / Compile / runMain 96s - - - - - - | => tapeout / Compile / runMain 96s - - - - - - | => tapeout / Compile / runMain 96s - - - - - - | => tapeout / Compile / runMain 96s - - - - - - | => tapeout / Compile / runMain 96s - - - - - - | => tapeout / Compile / runMain 96s - - - - - - | => tapeout / Compile / runMain 96s - - - - - - | => tapeout / Compile / runMain 97s - - - - - - | => tapeout / Compile / runMain 97s - - - - - - | => tapeout / Compile / runMain 97s - - - - - - | => tapeout / Compile / runMain 97s - - - - - - | => tapeout / Compile / runMain 97s - - - - - - | => tapeout / Compile / runMain 97s - - - - - - | => tapeout / Compile / runMain 97s ------------------------------------------------------------------------------- -Warning: firrtl.Driver is deprecated since 1.2! -Please switch to firrtl.stage.FirrtlMain ------------------------------------------------------------------------------- - - - - - - | => tapeout / Compile / runMain 97s - - - - - - | => tapeout / Compile / runMain 97s - - - - - - | => tapeout / Compile / runMain 97s - - - - - - | => tapeout / Compile / runMain 98s - - - - - - | => tapeout / Compile / runMain 98s - - - - - - | => tapeout / Compile / runMain 98s - - - - - - | => tapeout / Compile / runMain 98s - - - - - - | => tapeout / Compile / runMain 98s - - - - - - | => tapeout / Compile / runMain 98s - - - - - - | => tapeout / Compile / runMain 98s - - - - - - | => tapeout / Compile / runMain 98s - - - - - - | => tapeout / Compile / runMain 98s - - - - - - | => tapeout / Compile / runMain 99s - - - - - - | => tapeout / Compile / runMain 99s - - - - - - | => tapeout / Compile / runMain 99s - - - - - - | => tapeout / Compile / runMain 99s - - - - - - | => tapeout / Compile / runMain 99s - - - - - - | => tapeout / Compile / runMain 99s - - - - - - | => tapeout / Compile / runMain 99s - - - - - - | => tapeout / Compile / runMain 99s - - - - - - | => tapeout / Compile / runMain 99s - - - - - - | => tapeout / Compile / runMain 99s - - - - - - | => tapeout / Compile / runMain 100s - - - - - - | => tapeout / Compile / runMain 100s - - - - - - | => tapeout / Compile / runMain 100s - - - - - - | => tapeout / Compile / runMain 100s - - - - - - | => tapeout / Compile / runMain 100s - - - - - - | => tapeout / Compile / runMain 100s - - - - - - | => tapeout / Compile / runMain 100s - - - - - - | => tapeout / Compile / runMain 100s - - - - - - | => tapeout / Compile / runMain 100s - - - - - - | => tapeout / Compile / runMain 101s - - - - - - | => tapeout / Compile / runMain 101s - - - - - - | => tapeout / Compile / runMain 101s - - - - - - | => tapeout / Compile / runMain 101s - - - - - - | => tapeout / Compile / runMain 101s - - - - - - | => tapeout / Compile / runMain 101s - - - - - - | => tapeout / Compile / runMain 101s - - - - - - | => tapeout / Compile / runMain 101s - - - - - - | => tapeout / Compile / runMain 101s - - - - - - | => tapeout / Compile / runMain 101s - - - - - - | => tapeout / Compile / runMain 102s - - - - - - | => tapeout / Compile / runMain 102s - - - - - - | => tapeout / Compile / runMain 102s - - - - - - | => tapeout / Compile / runMain 102s - - - - - - | => tapeout / Compile / runMain 102s - - - - - - | => tapeout / Compile / runMain 102s - - - - - - | => tapeout / Compile / runMain 102s - - - - - - | => tapeout / Compile / runMain 102s - - - - - - | => tapeout / Compile / runMain 102s - - - - - - | => tapeout / Compile / runMain 102s - - - - - - | => tapeout / Compile / runMain 103s - - - - - - | => tapeout / Compile / runMain 103s - - - - - - | => tapeout / Compile / runMain 103s - - - - - - | => tapeout / Compile / runMain 103s - - - - - - | => tapeout / Compile / runMain 103s - - - - - - | => tapeout / Compile / runMain 103s - - - - - - | => tapeout / Compile / runMain 103s - - - - - - | => tapeout / Compile / runMain 103s - - - - - - | => tapeout / Compile / runMain 103s - - - - - - | => tapeout / Compile / runMain 104s - - - - - - | => tapeout / Compile / runMain 104s - - - - - - | => tapeout / Compile / runMain 104s - - - - - - | => tapeout / Compile / runMain 104s - - - - - - | => tapeout / Compile / runMain 104s - - - - - - | => tapeout / Compile / runMain 104s - - - - - - | => tapeout / Compile / runMain 104s - - - - - - | => tapeout / Compile / runMain 104s - - - - - - | => tapeout / Compile / runMain 104s - - - - - - | => tapeout / Compile / runMain 104s - - - - - - | => tapeout / Compile / runMain 105s - - - - - - | => tapeout / Compile / runMain 105s - - - - - - | => tapeout / Compile / runMain 105s - - - - - - | => tapeout / Compile / runMain 105s - - - - - - | => tapeout / Compile / runMain 105s - - - - - - | => tapeout / Compile / runMain 105s - - - - - - | => tapeout / Compile / runMain 105s - - - - - - | => tapeout / Compile / runMain 105s - - - - - - | => tapeout / Compile / runMain 105s - - - - - - | => tapeout / Compile / runMain 106s - - - - - - | => tapeout / Compile / runMain 106s - - - - - - | => tapeout / Compile / runMain 106s - - - - - - | => tapeout / Compile / runMain 106s - - - - - - | => tapeout / Compile / runMain 106s - - - - - - | => tapeout / Compile / runMain 106s - - - - - - | => tapeout / Compile / runMain 106s - - - - - - | => tapeout / Compile / runMain 106s - - - - - - | => tapeout / Compile / runMain 106s - - - - - - | => tapeout / Compile / runMain 106s - - - - - - | => tapeout / Compile / runMain 107s - - - - - - | => tapeout / Compile / runMain 107s - - - - - - | => tapeout / Compile / runMain 107s - - - - - - | => tapeout / Compile / runMain 107s - - - - - - | => tapeout / Compile / runMain 107s -Computed transform order in: 233.4 ms - - - - - - | => tapeout / Compile / runMain 107s - - - - - - | => tapeout / Compile / runMain 107s - - - - - - | => tapeout / Compile / runMain 107s - - - - - - | => tapeout / Compile / runMain 107s - - - - - - | => tapeout / Compile / runMain 107s - - - - - - | => tapeout / Compile / runMain 108s - - - - - - | => tapeout / Compile / runMain 108s - - - - - - | => tapeout / Compile / runMain 108s - - - - - - | => tapeout / Compile / runMain 108s - - - - - - | => tapeout / Compile / runMain 108s - - - - - - | => tapeout / Compile / runMain 108s - - - - - - | => tapeout / Compile / runMain 108s - - - - - - | => tapeout / Compile / runMain 108s - - - - - - | => tapeout / Compile / runMain 108s - - - - - - | => tapeout / Compile / runMain 108s - - - - - - | => tapeout / Compile / runMain 109s - - - - - - | => tapeout / Compile / runMain 109s - - - - - - | => tapeout / Compile / runMain 109s - - - - - - | => tapeout / Compile / runMain 109s - - - - - - | => tapeout / Compile / runMain 109s - - - - - - | => tapeout / Compile / runMain 109s - - - - - - | => tapeout / Compile / runMain 109s - - - - - - | => tapeout / Compile / runMain 109s - - - - - - | => tapeout / Compile / runMain 109s - - - - - - | => tapeout / Compile / runMain 109s - - - - - - | => tapeout / Compile / runMain 110s - - - - - - | => tapeout / Compile / runMain 110s - - - - - - | => tapeout / Compile / runMain 110s - - - - - - | => tapeout / Compile / runMain 110s - - - - - - | => tapeout / Compile / runMain 110s - - - - - - | => tapeout / Compile / runMain 110s - - - - - - | => tapeout / Compile / runMain 110s - - - - - - | => tapeout / Compile / runMain 110s - - - - - - | => tapeout / Compile / runMain 110s - - - - - - | => tapeout / Compile / runMain 110s - - - - - - | => tapeout / Compile / runMain 111s - - - - - - | => tapeout / Compile / runMain 111s - - - - - - | => tapeout / Compile / runMain 111s - - - - - - | => tapeout / Compile / runMain 111s - - - - - - | => tapeout / Compile / runMain 111s - - - - - - | => tapeout / Compile / runMain 111s - - - - - - | => tapeout / Compile / runMain 111s - - - - - - | => tapeout / Compile / runMain 111s - - - - - - | => tapeout / Compile / runMain 111s - - - - - - | => tapeout / Compile / runMain 111s - - - - - - | => tapeout / Compile / runMain 112s - - - - - - | => tapeout / Compile / runMain 112s - - - - - - | => tapeout / Compile / runMain 112s - - - - - - | => tapeout / Compile / runMain 112s - - - - - - | => tapeout / Compile / runMain 112s - - - - - - | => tapeout / Compile / runMain 112s - - - - - - | => tapeout / Compile / runMain 112s - - - - - - | => tapeout / Compile / runMain 112s - - - - - - | => tapeout / Compile / runMain 112s - - - - - - | => tapeout / Compile / runMain 113s - - - - - - | => tapeout / Compile / runMain 113s - - - - - - | => tapeout / Compile / runMain 113s - - - - - - | => tapeout / Compile / runMain 113s - - - - - - | => tapeout / Compile / runMain 113s - - - - - - | => tapeout / Compile / runMain 113s - - - - - - | => tapeout / Compile / runMain 113s - - - - - - | => tapeout / Compile / runMain 113s - - - - - - | => tapeout / Compile / runMain 113s - - - - - - | => tapeout / Compile / runMain 113s - - - - - - | => tapeout / Compile / runMain 114s - - - - - - | => tapeout / Compile / runMain 114s - - - - - - | => tapeout / Compile / runMain 114s - - - - - - | => tapeout / Compile / runMain 114s - - - - - - | => tapeout / Compile / runMain 114s - - - - - - | => tapeout / Compile / runMain 114s - - - - - - | => tapeout / Compile / runMain 114s - - - - - - | => tapeout / Compile / runMain 114s - - - - - - | => tapeout / Compile / runMain 114s - - - - - - | => tapeout / Compile / runMain 114s - - - - - - | => tapeout / Compile / runMain 115s - - - - - - | => tapeout / Compile / runMain 115s - - - - - - | => tapeout / Compile / runMain 115s - - - - - - | => tapeout / Compile / runMain 115s - - - - - - | => tapeout / Compile / runMain 115s - - - - - - | => tapeout / Compile / runMain 115s - - - - - - | => tapeout / Compile / runMain 115s - - - - - - | => tapeout / Compile / runMain 115s - - - - - - | => tapeout / Compile / runMain 115s - - - - - - | => tapeout / Compile / runMain 115s - - - - - - | => tapeout / Compile / runMain 116s - - - - - - | => tapeout / Compile / runMain 116s - - - - - - | => tapeout / Compile / runMain 116s - - - - - - | => tapeout / Compile / runMain 116s - - - - - - | => tapeout / Compile / runMain 116s - - - - - - | => tapeout / Compile / runMain 116s - - - - - - | => tapeout / Compile / runMain 116s - - - - - - | => tapeout / Compile / runMain 116s - - - - - - | => tapeout / Compile / runMain 116s - - - - - - | => tapeout / Compile / runMain 116s - - - - - - | => tapeout / Compile / runMain 117s - - - - - - | => tapeout / Compile / runMain 117s - - - - - - | => tapeout / Compile / runMain 117s - - - - - - | => tapeout / Compile / runMain 117s - - - - - - | => tapeout / Compile / runMain 117s - - - - - - | => tapeout / Compile / runMain 117s - - - - - - | => tapeout / Compile / runMain 117s - - - - - - | => tapeout / Compile / runMain 117s - - - - - - | => tapeout / Compile / runMain 117s - - - - - - | => tapeout / Compile / runMain 117s - - - - - - | => tapeout / Compile / runMain 118s - - - - - - | => tapeout / Compile / runMain 118s - - - - - - | => tapeout / Compile / runMain 118s - - - - - - | => tapeout / Compile / runMain 118s - - - - - - | => tapeout / Compile / runMain 118s - - - - - - | => tapeout / Compile / runMain 118s - - - - - - | => tapeout / Compile / runMain 118s - - - - - - | => tapeout / Compile / runMain 118s - - - - - - | => tapeout / Compile / runMain 118s - - - - - - | => tapeout / Compile / runMain 118s - - - - - - | => tapeout / Compile / runMain 119s - - - - - - | => tapeout / Compile / runMain 119s - - - - - - | => tapeout / Compile / runMain 119s - - - - - - | => tapeout / Compile / runMain 119s - - - - - - | => tapeout / Compile / runMain 119s - - - - - - | => tapeout / Compile / runMain 119s - - - - - - | => tapeout / Compile / runMain 119s - - - - - - | => tapeout / Compile / runMain 119s - - - - - - | => tapeout / Compile / runMain 119s - - - - - - | => tapeout / Compile / runMain 119s - - - - - - | => tapeout / Compile / runMain 120s - - - - - - | => tapeout / Compile / runMain 120s - - - - - - | => tapeout / Compile / runMain 120s - - - - - - | => tapeout / Compile / runMain 120s - - - - - - | => tapeout / Compile / runMain 120s - - - - - - | => tapeout / Compile / runMain 120s - - - - - - | => tapeout / Compile / runMain 120s - - - - - - | => tapeout / Compile / runMain 120s - - - - - - | => tapeout / Compile / runMain 120s - - - - - - | => tapeout / Compile / runMain 120s - - - - - - | => tapeout / Compile / runMain 121s - - - - - - | => tapeout / Compile / runMain 121s - - - - - - | => tapeout / Compile / runMain 121s - - - - - - | => tapeout / Compile / runMain 121s - - - - - - | => tapeout / Compile / runMain 121s - - - - - - | => tapeout / Compile / runMain 121s - - - - - - | => tapeout / Compile / runMain 121s - - - - - - | => tapeout / Compile / runMain 121s - - - - - - | => tapeout / Compile / runMain 121s - - - - - - | => tapeout / Compile / runMain 121s - - - - - - | => tapeout / Compile / runMain 122s - - - - - - | => tapeout / Compile / runMain 122s - - - - - - | => tapeout / Compile / runMain 122s - - - - - - | => tapeout / Compile / runMain 122s - - - - - - | => tapeout / Compile / runMain 122s - - - - - - | => tapeout / Compile / runMain 122s - - - - - - | => tapeout / Compile / runMain 122s - - - - - - | => tapeout / Compile / runMain 122s - - - - - - | => tapeout / Compile / runMain 122s - - - - - - | => tapeout / Compile / runMain 123s - - - - - - | => tapeout / Compile / runMain 123s - - - - - - | => tapeout / Compile / runMain 123s - - - - - - | => tapeout / Compile / runMain 123s - - - - - - | => tapeout / Compile / runMain 123s - - - - - - | => tapeout / Compile / runMain 123s - - - - - - | => tapeout / Compile / runMain 123s - - - - - - | => tapeout / Compile / runMain 123s - - - - - - | => tapeout / Compile / runMain 123s - - - - - - | => tapeout / Compile / runMain 124s - - - - - - | => tapeout / Compile / runMain 124s - - - - - - | => tapeout / Compile / runMain 124s - - - - - - | => tapeout / Compile / runMain 124s - - - - - - | => tapeout / Compile / runMain 124s - - - - - - | => tapeout / Compile / runMain 124s - - - - - - | => tapeout / Compile / runMain 124s - - - - - - | => tapeout / Compile / runMain 124s - - - - - - | => tapeout / Compile / runMain 124s - - - - - - | => tapeout / Compile / runMain 124s - - - - - - | => tapeout / Compile / runMain 125s - - - - - - | => tapeout / Compile / runMain 125s - - - - - - | => tapeout / Compile / runMain 125s - - - - - - | => tapeout / Compile / runMain 125s - - - - - - | => tapeout / Compile / runMain 125s - - - - - - | => tapeout / Compile / runMain 125s - - - - - - | => tapeout / Compile / runMain 125s - - - - - - | => tapeout / Compile / runMain 125s - - - - - - | => tapeout / Compile / runMain 125s - - - - - - | => tapeout / Compile / runMain 125s - - - - - - | => tapeout / Compile / runMain 126s - - - - - - | => tapeout / Compile / runMain 126s - - - - - - | => tapeout / Compile / runMain 126s - - - - - - | => tapeout / Compile / runMain 126s - - - - - - | => tapeout / Compile / runMain 126s - - - - - - | => tapeout / Compile / runMain 126s - - - - - - | => tapeout / Compile / runMain 126s - - - - - - | => tapeout / Compile / runMain 126s - - - - - - | => tapeout / Compile / runMain 126s - - - - - - | => tapeout / Compile / runMain 126s - - - - - - | => tapeout / Compile / runMain 127s - - - - - - | => tapeout / Compile / runMain 127s - - - - - - | => tapeout / Compile / runMain 127s - - - - - - | => tapeout / Compile / runMain 127s - - - - - - | => tapeout / Compile / runMain 127s - - - - - - | => tapeout / Compile / runMain 127s - - - - - - | => tapeout / Compile / runMain 127s - - - - - - | => tapeout / Compile / runMain 127s - - - - - - | => tapeout / Compile / runMain 127s - - - - - - | => tapeout / Compile / runMain 127s - - - - - - | => tapeout / Compile / runMain 128s -Total FIRRTL Compile Time: 20561.7 ms - - - - - - -[success] Total time: 146 s (02:26), completed Dec 23, 2020, 3:49:36 AM -cd /home/riscvuser/chipyard && java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar "project barstoolsMacros" "runMain barstools.macros.MacroCompiler -n /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.conf -v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.v -f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.fir --mode synflops" -OpenJDK 64-Bit Server VM warning: Ignoring option MaxPermSize; support was removed in 8.0 -[info] Loading settings for project chipyard-build from plugins.sbt ... -[info] Loading project definition from /home/riscvuser/chipyard/project - - - - - - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / Compile / externalHooks 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - -[info] Loading settings for project chipyardRoot from build.sbt ... -[info] Loading settings for project barstoolsMacros from build.sbt ... -[info] Loading settings for project mdf from build.sbt ... -[info] Loading settings for project gemmini from build.sbt ... -[info] Loading settings for project ariane from build.sbt ... -[info] Loading settings for project boom from build.sbt ... -[info] Loading settings for project hwacha from build.sbt ... -[info] Loading settings for project icenet from build.sbt ... -[info] Loading settings for project testchipip from build.sbt ... -[info] Loading settings for project rocketConfig from build.sbt ... -[info] Loading settings for project hardfloat from build.sbt ... -[info] Loading settings for project chisel_testers from build.sbt ... -[info] Loading settings for project treadle from build.sbt ... -[info] Loading settings for project firrtl_interpreter from build.sbt ... -[info] Loading settings for project chisel from build.sbt ... -[info] Loading settings for project sim-build from plugins.sbt ... -[info] Loading project definition from /home/riscvuser/chipyard/sims/firesim/sim/project - - - - - - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / Compile / compileIncremental 0s - - - - - - -[info] Loading settings for project firesim from build.sbt ... -[info] Loading settings for project midas from build.sbt ... -[info] Loading settings for project targetutils from build.sbt ... -[info] Resolving key references (32007 settings) ... -[info] Set current project to chipyardRoot (in build file:/home/riscvuser/chipyard/) - - - - - - - - - - - | => Global / checkBuildSources 0s - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -[info] Set current project to barstoolsMacros (in build file:/home/riscvuser/chipyard/) - - - - - - - - - - - - - - - - - - - - - | => core / projectDescriptors 0s - | => mdf / update 0s - | => rocketMacros / update 0s - | => firrtl_interpreter / update 0s - | => rocketConfig / update 0s - - - - - - - - - | => core / projectDescriptors 0s - | => mdf / update 0s - - - - - - - - | => hardfloat / projectDescriptors 0s - | => targetutils / update 0s - | => mdf / update 0s - - - - - - - - - - | => mdf / update 0s - - - - - - - - - - | => mdf / update 0s - - - - - - - - - - | => mdf / update 0s - - - - - - - - - - | => mdf / update 0s - - - - - - - - - - | => mdf / update 0s - - - - - - - - - - | => mdf / update 0s - - - - - - - - - - | => mdf / update 0s - - - - - - - - - - | => barstoolsMacros / update 0s - - - - - - - - - - | => barstoolsMacros / update 0s - - - - - - - - - - | => barstoolsMacros / update 0s - - - - - - - - - - | => barstoolsMacros / update 0s - - - - - - - - - - | => barstoolsMacros / update 0s - - - - - - | => mdf / scalaCompilerBridgeScope / csrConfiguration 0s - | => mdf / Compile / unmanagedClasspath 0s - | => firrtl_interpreter / scalaCompilerBridgeScope / csrConfiguration 0s - | => firrtl_interpreter / scalaCompilerBridgeScope / csrConfiguration 0s - | => core / Compile / scalacOptions 0s - | => macros / Compile / scalacOptions 0s - | => rocketMacros / Compile / scalacOptions 0s - | => targetutils / Compile / scalacOptions 0s - | => hardfloat / Compile / scalacOptions 0s - | => rocketConfig / Compile / scalacOptions 0s - | => chisel / Compile / scalacOptions 0s - | => mdf / Compile / scalacOptions 0s - | => rocketchip / Compile / scalacOptions 0s - | => firrtl_interpreter / Compile / scalacOptions 0s - | => chisel / Compile / buildInfo 0s - | => rocketchip / Compile / unmanagedSources / inputFileStamps 0s - - - - - - - - - - - - - - - - - | => firrtl_interpreter / Compile / previousCompile 0s - | => macros / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 0s - | => rocketConfig / Compile / previousCompile 0s - | => rocketMacros / Compile / previousCompile 0s -[info] Compiling 7 Scala sources to /home/riscvuser/chipyard/tools/barstools/mdf/scalalib/target/scala-2.12/classes ... - - - - - - - - - - - - - - - - | => firrtl_interpreter / Compile / previousCompile 0s - | => macros / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 0s - | => rocketConfig / Compile / previousCompile 0s - | => rocketMacros / Compile / previousCompile 0s - - - - - - - - - - - - - - - - | => firrtl_interpreter / Compile / previousCompile 0s - | => macros / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 0s - | => rocketConfig / Compile / previousCompile 0s - | => rocketMacros / Compile / previousCompile 0s - - - - - - - - - - - - - - - - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - | => rocketMacros / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - - - - - - - - - - | => rocketConfig / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - | => rocketMacros / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - | => core / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - | => core / Compile / compileIncremental 0s - | => mdf / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - - | => chisel / Compile / compileIncremental 0s - | => mdf / Compile / compileIncremental 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - - - - - - - - - - - - | => chisel / Compile / compileIncremental 0s - | => mdf / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - | => targetutils / Compile / compileIncremental 0s - | => mdf / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - | => hardfloat / Compile / packageBin / mappings 0s - | => mdf / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 0s - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 1s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - | => mdf / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - | => rocketchip / Compile / packageBin / mappings 0s - | => mdf / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - | => rocketchip / Compile / packageBin / mappings 0s - | => mdf / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - | => rocketchip / Compile / packageBin 0s - | => mdf / Compile / compileIncremental 1s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 2s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 3s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 4s - - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/mdf/scalalib/src/main/scala/SRAM.scala:55:75: This catches all Throwables. If this is really intended, use `case _ : Throwable` to clear this warning. - - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 4s -[warn]  case Some(x: JsString) => try { BigInt(x.as[String]) } catch { case _ => return None } - - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 4s -[warn]  ^ - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 4s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 4s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 4s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 4s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 4s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 4s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 5s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 5s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 5s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 5s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 5s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 5s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 5s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 5s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 5s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 5s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 6s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 6s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 6s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 6s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 6s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 6s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 6s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 6s - - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 6s -[warn] /home/riscvuser/chipyard/tools/barstools/mdf/scalalib/src/main/scala/SRAM.scala:45:66: catch block may intercept non-local return from method parseJSON - - - - - - - - - - - - - | => mdf / Compile / compileIncremental 6s -[warn]  def parseJSON(json: Map[String, JsValue]): Option[SRAMMacro] = { - - - - - - - - - - - - | => mdf / Compile / compileIncremental 6s -[warn]  ^ - - - - - - - - - - - | => mdf / Compile / compileIncremental 6s - - - - - - - - - - - | => mdf / Compile / compileIncremental 6s - - - - - - - - - - - | => mdf / Compile / compileIncremental 7s - - - - - - - - - - - | => mdf / Compile / compileIncremental 7s - - - - - - - - - - - | => mdf / Compile / compileIncremental 7s - - - - - - - - - - - | => mdf / Compile / compileIncremental 7s - - - - - - - - - - - | => mdf / Compile / compileIncremental 7s - - - - - - - - - - - | => mdf / Compile / compileIncremental 7s - - - - - - - - - - - | => mdf / Compile / compileIncremental 7s - - - - - - - - - - - | => mdf / Compile / compileIncremental 7s - - - - - - - - - - - | => mdf / Compile / compileIncremental 7s - - - - - - - - - - - | => mdf / Compile / compileIncremental 7s - - - - - - - - - - - | => mdf / Compile / compileIncremental 8s - - - - - - - - - - - | => mdf / Compile / compileIncremental 8s - - - - - - - - - - - | => mdf / Compile / compileIncremental 8s - - - - - - - - - - - | => mdf / Compile / compileIncremental 8s - - - - - - - - - - - | => mdf / Compile / compileIncremental 8s - - - - - - - - - - - | => mdf / Compile / compileIncremental 8s - - - - - - - - - - - | => mdf / Compile / compileIncremental 8s - - - - - - - - - - - | => mdf / Compile / compileIncremental 8s - - - - - - - - - - - | => mdf / Compile / compileIncremental 8s - - - - - - - - - - - | => mdf / Compile / compileIncremental 8s -[warn] two warnings found - - - - - - - - - - | => mdf / Compile / compileIncremental 8s - - - - - - - - - - | => mdf / Compile / compile 0s - - - - - - - - - - | => mdf / Compile / compile 0s -[info] Compiling 4 Scala sources to /home/riscvuser/chipyard/tools/barstools/macros/target/scala-2.12/classes ... - - - - - - - - - | => mdf / Compile / compile 0s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 0s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 0s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 0s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 0s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 0s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 0s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 0s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 0s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 0s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 0s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 1s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 1s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 1s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 1s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 1s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 1s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 1s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 1s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 1s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 1s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 2s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 2s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 2s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 2s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 2s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 2s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 2s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 2s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 2s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 2s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 3s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 3s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 3s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 3s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 3s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 3s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 3s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 3s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 3s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 3s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s - - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:96:5: method apply in object Annotation is deprecated (since 1.1): This returns a LegacyAnnotation, use an explicit Annotation type - - - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  Annotation(CircuitName(c), classOf[MacroCompilerTransform], MacroCompilerUtil.objToString(p)) - - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:99:10: method unapply in object Annotation is deprecated (since 1.1): This uses LegacyAnnotation, use an explicit Annotation type - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  case Annotation(CircuitName(c), t, serialized) if t == classOf[MacroCompilerTransform] => { - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:656:19: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  def inputForm = MidForm - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:657:20: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  def outputForm = MidForm - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:659:38: method getMyAnnotations in trait Transform is deprecated (since 1.1): Just collect the actual Annotation types the transform wants - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  def execute(state: CircuitState) = getMyAnnotations(state) match { - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:722:18: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  def inputForm: CircuitForm = LowForm - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:722:32: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  def inputForm: CircuitForm = LowForm - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:724:19: class CircuitForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  def outputForm: CircuitForm = LowForm - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:724:33: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  def outputForm: CircuitForm = LowForm - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:736:29: trait Compiler in package firrtl is deprecated (since FIRRTL 1.3): Migrate to firrtl.stage.transforms.Compiler. This will be removed in 1.4. - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] class MacroCompiler extends Compiler { - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:741:7: method getLoweringTransforms in object CompilerUtils is deprecated (since FIRRTL 1.3): Use a TransformManager requesting which transforms you want to run. This will be removed in 1.4. - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  getLoweringTransforms(firrtl.ChirrtlForm, firrtl.LowForm) ++ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:741:36: object ChirrtlForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  getLoweringTransforms(firrtl.ChirrtlForm, firrtl.LowForm) ++ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:741:56: object LowForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  getLoweringTransforms(firrtl.ChirrtlForm, firrtl.LowForm) ++ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:839:56: object MidForm in package firrtl is deprecated (since FIRRTL 1.3): Mix-in the DependencyAPIMigration trait into your Transform and specify its Dependency API dependencies. See: https://bit.ly/2Voppre - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  val macroCompilerInput = CircuitState(circuit, MidForm, annotations) - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:844:30: class ExecutionOptionsManager in package firrtl is deprecated (since 1.2): Use new FirrtlStage infrastructure - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  val firOptions = new ExecutionOptionsManager("macrocompiler") with HasFirrtlOptions { - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:844:76: trait HasFirrtlOptions in package firrtl is deprecated (since 1.2): Specify command line arguments in an Annotation mixing in HasScoptOptions - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  val firOptions = new ExecutionOptionsManager("macrocompiler") with HasFirrtlOptions { - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:845:27: class FirrtlExecutionOptions in package firrtl is deprecated (since 1.2): Use a FirrtlOptionsView or construct your own view of an AnnotationSeq - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  firrtlOptions = FirrtlExecutionOptions( - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn] /home/riscvuser/chipyard/tools/barstools/macros/src/main/scala/MacroCompiler.scala:853:9: object Driver in package firrtl is deprecated (since 1.2): Use firrtl.stage.FirrtlStage - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  Driver.execute(firOptions) - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s -[warn]  ^ - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s - - - - - - | => barstoolsMacros / Compile / compileIncremental 4s - - - - - - | => barstoolsMacros / Compile / compileIncremental 5s - - - - - - | => barstoolsMacros / Compile / compileIncremental 5s - - - - - - | => barstoolsMacros / Compile / compileIncremental 5s - - - - - - | => barstoolsMacros / Compile / compileIncremental 5s - - - - - - | => barstoolsMacros / Compile / compileIncremental 5s - - - - - - | => barstoolsMacros / Compile / compileIncremental 5s - - - - - - | => barstoolsMacros / Compile / compileIncremental 5s - - - - - - | => barstoolsMacros / Compile / compileIncremental 5s - - - - - - | => barstoolsMacros / Compile / compileIncremental 5s - - - - - - | => barstoolsMacros / Compile / compileIncremental 5s - - - - - - | => barstoolsMacros / Compile / compileIncremental 6s - - - - - - | => barstoolsMacros / Compile / compileIncremental 6s - - - - - - | => barstoolsMacros / Compile / compileIncremental 6s - - - - - - | => barstoolsMacros / Compile / compileIncremental 6s - - - - - - | => barstoolsMacros / Compile / compileIncremental 6s - - - - - - | => barstoolsMacros / Compile / compileIncremental 6s - - - - - - | => barstoolsMacros / Compile / compileIncremental 6s - - - - - - | => barstoolsMacros / Compile / compileIncremental 6s - - - - - - | => barstoolsMacros / Compile / compileIncremental 6s - - - - - - | => barstoolsMacros / Compile / compileIncremental 7s - - - - - - | => barstoolsMacros / Compile / compileIncremental 7s - - - - - - | => barstoolsMacros / Compile / compileIncremental 7s -[warn] 18 warnings found - - - - - - | => barstoolsMacros / Compile / compileIncremental 7s - - - - - - | => barstoolsMacros / Compile / compileIncremental 7s - - - - - - | => barstoolsMacros / Runtime / dependencyClasspathAsJars 0s - | => barstoolsMacros / Compile / run / runner 0s - - - - - - - | => barstoolsMacros / Compile / bgRunMain 0s - - - - - - - | => barstoolsMacros / Compile / bgRunMain 0s - - - - - - - | => barstoolsMacros / Compile / bgRunMain 0s - - - - - - - | => barstoolsMacros / Compile / bgRunMain 0s -[info] running barstools.macros.MacroCompiler -n /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.conf -v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.v -f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.fir --mode synflops - - - - - - - | => barstoolsMacros / Compile / runMain 0s ------------------------------------------------------------------------------- -Warning: getMyAnnotations is deprecated, use collect and match on concrete types ------------------------------------------------------------------------------- - - - - - - - | => barstoolsMacros / Compile / runMain 0s - - - - - - - | => barstoolsMacros / Compile / runMain 0s ------------------------------------------------------------------------------- -Warning: firrtl.Driver is deprecated since 1.2! -Please switch to firrtl.stage.FirrtlMain ------------------------------------------------------------------------------- - - - - - - - | => barstoolsMacros / Compile / runMain 0s - - - - - - - | => barstoolsMacros / Compile / runMain 0s - - - - - - - | => barstoolsMacros / Compile / runMain 0s - - - - - - - | => barstoolsMacros / Compile / runMain 0s - - - - - - - | => barstoolsMacros / Compile / runMain 0s - - - - - - - | => barstoolsMacros / Compile / runMain 0s - - - - - - - | => barstoolsMacros / Compile / runMain 0s - - - - - - - | => barstoolsMacros / Compile / runMain 1s - - - - - - - | => barstoolsMacros / Compile / runMain 1s - - - - - - - | => barstoolsMacros / Compile / runMain 1s - - - - - - - | => barstoolsMacros / Compile / runMain 1s - - - - - - - | => barstoolsMacros / Compile / runMain 1s - - - - - - - | => barstoolsMacros / Compile / runMain 1s - - - - - - - | => barstoolsMacros / Compile / runMain 1s - - - - - - - | => barstoolsMacros / Compile / runMain 1s - - - - - - - | => barstoolsMacros / Compile / runMain 1s -Computed transform order in: 317.7 ms - - - - - - - | => barstoolsMacros / Compile / runMain 1s - - - - - - - | => barstoolsMacros / Compile / runMain 2s - - - - - - - | => barstoolsMacros / Compile / runMain 2s - - - - - - - | => barstoolsMacros / Compile / runMain 2s - - - - - - - | => barstoolsMacros / Compile / runMain 2s - - - - - - - | => barstoolsMacros / Compile / runMain 2s - - - - - - - | => barstoolsMacros / Compile / runMain 2s - - - - - - - | => barstoolsMacros / Compile / runMain 2s - - - - - - - | => barstoolsMacros / Compile / runMain 2s - - - - - - - | => barstoolsMacros / Compile / runMain 2s - - - - - - - | => barstoolsMacros / Compile / runMain 2s - - - - - - - | => barstoolsMacros / Compile / runMain 3s - - - - - - - | => barstoolsMacros / Compile / runMain 3s -Total FIRRTL Compile Time: 1246.4 ms - - - - - - - -[success] Total time: 22 s, completed Dec 23, 2020, 3:50:22 AM -cd /home/riscvuser/chipyard && java -Xmx8G -Xss8M -XX:MaxPermSize=256M -jar /home/riscvuser/chipyard/generators/rocket-chip/sbt-launch.jar "project barstoolsMacros" "runMain barstools.macros.MacroCompiler -n /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.conf -v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.v -f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.fir --mode synflops" -OpenJDK 64-Bit Server VM warning: Ignoring option MaxPermSize; support was removed in 8.0 -[info] Loading settings for project chipyard-build from plugins.sbt ... -[info] Loading project definition from /home/riscvuser/chipyard/project - - - - - - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / update 0s - - - - - - | => chipyard-build / Compile / previousCompile 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - | => chipyard-build / Compile / compileIncremental 0s - - - - - - -[info] Loading settings for project chipyardRoot from build.sbt ... -[info] Loading settings for project barstoolsMacros from build.sbt ... -[info] Loading settings for project mdf from build.sbt ... -[info] Loading settings for project gemmini from build.sbt ... -[info] Loading settings for project ariane from build.sbt ... -[info] Loading settings for project boom from build.sbt ... -[info] Loading settings for project hwacha from build.sbt ... -[info] Loading settings for project icenet from build.sbt ... -[info] Loading settings for project testchipip from build.sbt ... -[info] Loading settings for project rocketConfig from build.sbt ... -[info] Loading settings for project hardfloat from build.sbt ... -[info] Loading settings for project chisel_testers from build.sbt ... -[info] Loading settings for project treadle from build.sbt ... -[info] Loading settings for project firrtl_interpreter from build.sbt ... -[info] Loading settings for project chisel from build.sbt ... -[info] Loading settings for project sim-build from plugins.sbt ... -[info] Loading project definition from /home/riscvuser/chipyard/sims/firesim/sim/project - - - - - - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / update 0s - - - - - - | => sim-build / Compile / compileIncSetup 0s - - - - - - -[info] Loading settings for project firesim from build.sbt ... -[info] Loading settings for project midas from build.sbt ... -[info] Loading settings for project targetutils from build.sbt ... -[info] Resolving key references (32007 settings) ... -[info] Set current project to chipyardRoot (in build file:/home/riscvuser/chipyard/) - - - - - - - - - - - | => Global / checkBuildSources 0s - - - - - - | => Global / checkBuildSources 0s - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -[info] Set current project to barstoolsMacros (in build file:/home/riscvuser/chipyard/) - - - - - - - - - - - - - - - - - - - - - | => core / projectDescriptors 0s - | => mdf / update 0s - | => firrtl_interpreter / update 0s - | => rocketMacros / update 0s - | => rocketConfig / update 0s - - - - - - - - - - | => core / projectDescriptors 0s - - - - - - - - - - | => hardfloat / update 0s - - - - - - - - - - - - - - - - | => firrtl_interpreter / Compile / previousCompile 0s - | => macros / Compile / previousCompile 0s - | => mdf / Compile / previousCompile 0s - | => rocketMacros / Compile / previousCompile 0s - | => rocketConfig / Compile / previousCompile 0s - - - - - - | => firrtl_interpreter / Compile / previousCompile 0s - | => macros / Compile / previousCompile 0s - | => mdf / Compile / previousCompile 0s - | => rocketMacros / Compile / previousCompile 0s - | => rocketConfig / Compile / previousCompile 0s - - - - - - | => mdf / Compile / compileIncremental 0s - | => rocketConfig / Compile / compileIncremental 0s - | => rocketMacros / Compile / compileIncremental 0s - | => macros / Compile / compileIncremental 0s - | => firrtl_interpreter / Compile / previousCompile 0s - - - - - - | => rocketMacros / Compile / packageBin 0s - | => rocketConfig / Compile / packageBin 0s - | => macros / Compile / packageBin 0s - | => core / Compile / externalHooks 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => mdf / Compile / compileIncremental 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - | => rocketMacros / Compile / packageBin 0s - | => rocketConfig / Compile / packageBin 0s - | => macros / Compile / packageBin 0s - | => core / Compile / externalHooks 0s - | => firrtl_interpreter / Compile / compileIncremental 0s - | => mdf / Compile / compileIncremental 0s - - - - - - - - - - - | => core / Compile / compileIncremental 0s - - - - - - - - - - - | => chisel / Compile / compileIncremental 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - - - - - | => chisel / Compile / compileIncremental 0s - - - - - - - - - - | => hardfloat / Compile / previousCompile 0s - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - - - - - - - - - - | => rocketchip / Compile / previousCompile 0s -[warn] Multiple main classes detected. Run 'show discoveredMainClasses' to see the list - - - - - - - - - | => rocketchip / Compile / previousCompile 0s - - - - - - - - - | => rocketchip / Compile / packageBin / mappings 0s - - - - - - - - - | => barstoolsMacros / Compile / previousCompile 0s - - - - - - - - - | => barstoolsMacros / Compile / bgRunMain 0s - - - - - - - - - | => barstoolsMacros / Compile / bgRunMain 0s - - - - - - - - - | => barstoolsMacros / Compile / bgRunMain 0s - - - - - - - - - | => barstoolsMacros / Compile / bgRunMain 0s - - - - - - - - - | => barstoolsMacros / Compile / bgRunMain 0s -[info] running barstools.macros.MacroCompiler -n /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.conf -v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.v -f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.fir --mode synflops -WARNING: Empty *.mems.conf file. No memories generated. - - - - - - - - - -[success] Total time: 3 s, completed Dec 23, 2020, 3:50:47 AM -awk '{print ;}' /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/sim_files.f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.top.f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/firrtl_black_box_resource_files.harness.f | sort -u | grep -v '.*\.\(svh\|h\)$' > /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/sim_files.common.f -rm -rf /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig -mkdir -p /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig -verilator --cc --exe -O3 -CFLAGS " -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR" -CFLAGS "-I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h" -LDFLAGS " -L/home/riscvuser/chipyard/sims/verilator -lpthread" /home/riscvuser/chipyard/riscv-tools-install/lib/libfesvr.a /home/riscvuser/chipyard/tools/DRAMSim2/libdramsim.a --timescale 1ns/1ps --top-module TestHarness -Wno-fatal --assert --output-split 10000 --output-split-cfuncs 100 --max-num-width 1048576 -f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/sim_files.common.f /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.top.mems.v /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.harness.mems.v +define+PRINTF_COND=\$c\(\"verbose\",\"\&\&\"\,\"done_reset\"\) +define+STOP_COND=\$c\(\"done_reset\"\) -o /home/riscvuser/chipyard/sims/verilator/simulator-chipyard-RocketConfig -Mdir /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig -CFLAGS "-include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h" -touch /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.mk -make -C /home/riscvuser/chipyard/tools/DRAMSim2 libdramsim.a -make[1]: Entering directory '/home/riscvuser/chipyard/tools/DRAMSim2' -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o PrintMacros.o -c PrintMacros.cpp -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o Transaction.o -c Transaction.cpp -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o BusPacket.o -c BusPacket.cpp -BusPacket.cpp: In member function 'void DRAMSim::BusPacket::print(uint64_t, bool)': -BusPacket.cpp:63:2: warning: nonnull argument 'this' compared to NULL [-Wnonnull-compare] - if (this == NULL) - ^~ -BusPacket.cpp: In member function 'void DRAMSim::BusPacket::print()': -BusPacket.cpp:104:2: warning: nonnull argument 'this' compared to NULL [-Wnonnull-compare] - if (this == NULL) //pointer use makes this a necessary precaution - ^~ -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o BankState.o -c BankState.cpp -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o CommandQueue.o -c CommandQueue.cpp -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o MemorySystem.o -c MemorySystem.cpp -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o SimulatorObject.o -c SimulatorObject.cpp -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o IniReader.o -c IniReader.cpp -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o AddressMapping.o -c AddressMapping.cpp -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o ClockDomain.o -c ClockDomain.cpp -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o MultiChannelMemorySystem.o -c MultiChannelMemorySystem.cpp -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o Rank.o -c Rank.cpp -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o MemoryController.o -c MemoryController.cpp -g++ -DNO_STORAGE -Wall -DDEBUG_BUILD -O3 -o Bank.o -c Bank.cpp -ar crs libdramsim.a PrintMacros.o Transaction.o BusPacket.o BankState.o CommandQueue.o MemorySystem.o SimulatorObject.o IniReader.o AddressMapping.o ClockDomain.o MultiChannelMemorySystem.o Rank.o MemoryController.o Bank.o -make[1]: Leaving directory '/home/riscvuser/chipyard/tools/DRAMSim2' -make VM_PARALLEL_BUILDS=1 -C /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig -f VTestHarness.mk -make[1]: Entering directory '/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig' -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o SimDRAM.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/SimDRAM.cc -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o SimDTM.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/SimDTM.cc -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o SimJTAG.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/SimJTAG.cc -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o SimSerial.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/SimSerial.cc -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o SimUART.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/SimUART.cc -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o emulator.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/emulator.cc -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o mm.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/mm.cc -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o mm_dramsim2.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/mm_dramsim2.cc -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o remote_bitbang.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/remote_bitbang.cc -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o uart.o /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/uart.cc -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/uart.cc:7:0: warning: "_XOPEN_SOURCE" redefined - #define _XOPEN_SOURCE - -In file included from /usr/include/inttypes.h:25:0, - from /usr/local/share/verilator/include/verilatedos.h:305, - from /usr/local/share/verilator/include/verilated.h:27, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/include/features.h:202:0: note: this is the location of the previous definition - # define _XOPEN_SOURCE 700 - -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o verilated.o /usr/local/share/verilator/include/verilated.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o verilated_dpi.o /usr/local/share/verilator/include/verilated_dpi.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness.o VTestHarness.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__1.o VTestHarness__1.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__2.o VTestHarness__2.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__3.o VTestHarness__3.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__4.o VTestHarness__4.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__5.o VTestHarness__5.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__6.o VTestHarness__6.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__7.o VTestHarness__7.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__8.o VTestHarness__8.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__9.o VTestHarness__9.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__10.o VTestHarness__10.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__11.o VTestHarness__11.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__12.o VTestHarness__12.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__13.o VTestHarness__13.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__14.o VTestHarness__14.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__15.o VTestHarness__15.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__16.o VTestHarness__16.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__17.o VTestHarness__17.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__18.o VTestHarness__18.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__19.o VTestHarness__19.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__20.o VTestHarness__20.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__21.o VTestHarness__21.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__22.o VTestHarness__22.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__23.o VTestHarness__23.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__24.o VTestHarness__24.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__25.o VTestHarness__25.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__26.o VTestHarness__26.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__27.o VTestHarness__27.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__28.o VTestHarness__28.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__29.o VTestHarness__29.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__30.o VTestHarness__30.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__31.o VTestHarness__31.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__32.o VTestHarness__32.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__33.o VTestHarness__33.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__34.o VTestHarness__34.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__35.o VTestHarness__35.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__36.o VTestHarness__36.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__37.o VTestHarness__37.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__38.o VTestHarness__38.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__39.o VTestHarness__39.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__40.o VTestHarness__40.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__41.o VTestHarness__41.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__42.o VTestHarness__42.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__43.o VTestHarness__43.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__44.o VTestHarness__44.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__45.o VTestHarness__45.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__46.o VTestHarness__46.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__47.o VTestHarness__47.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__48.o VTestHarness__48.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__49.o VTestHarness__49.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__50.o VTestHarness__50.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__51.o VTestHarness__51.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__52.o VTestHarness__52.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__53.o VTestHarness__53.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__54.o VTestHarness__54.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__55.o VTestHarness__55.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__56.o VTestHarness__56.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__57.o VTestHarness__57.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__58.o VTestHarness__58.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__59.o VTestHarness__59.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__60.o VTestHarness__60.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__61.o VTestHarness__61.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__62.o VTestHarness__62.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness___024unit.o VTestHarness___024unit.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__Slow.o VTestHarness__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__1__Slow.o VTestHarness__1__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__2__Slow.o VTestHarness__2__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__3__Slow.o VTestHarness__3__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__4__Slow.o VTestHarness__4__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__5__Slow.o VTestHarness__5__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__6__Slow.o VTestHarness__6__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__7__Slow.o VTestHarness__7__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__8__Slow.o VTestHarness__8__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__9__Slow.o VTestHarness__9__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__10__Slow.o VTestHarness__10__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__11__Slow.o VTestHarness__11__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__12__Slow.o VTestHarness__12__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__13__Slow.o VTestHarness__13__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__14__Slow.o VTestHarness__14__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__15__Slow.o VTestHarness__15__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__16__Slow.o VTestHarness__16__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__17__Slow.o VTestHarness__17__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__18__Slow.o VTestHarness__18__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__19__Slow.o VTestHarness__19__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__20__Slow.o VTestHarness__20__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__21__Slow.o VTestHarness__21__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__22__Slow.o VTestHarness__22__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__23__Slow.o VTestHarness__23__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__24__Slow.o VTestHarness__24__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__25__Slow.o VTestHarness__25__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness___024unit__Slow.o VTestHarness___024unit__Slow.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__Dpi.o VTestHarness__Dpi.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__Syms.o VTestHarness__Syms.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -g++ -I. -MMD -I/usr/local/share/verilator/include -I/usr/local/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -O1 -std=c++11 -I/home/riscvuser/chipyard/riscv-tools-install/include -I/home/riscvuser/chipyard/tools/DRAMSim2 -D__STDC_FORMAT_MACROS -DTEST_HARNESS=VTestHarness -DVERILATOR -I/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig.plusArgs -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h -include /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig/VTestHarness.h -c -o VTestHarness__Syms__1.o VTestHarness__Syms__1.cpp -In file included from /usr/local/share/verilator/include/verilated.h:27:0, - from /usr/local/share/verilator/include/verilated_vcd_c.h:23, - from /home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/verilator.h:4, - from :0: -/usr/local/share/verilator/include/verilatedos.h:252:0: warning: "__STDC_FORMAT_MACROS" redefined - #define __STDC_FORMAT_MACROS - -:0:0: note: this is the location of the previous definition -ar -cr VTestHarness__ALL.a VTestHarness.o VTestHarness__1.o VTestHarness__2.o VTestHarness__3.o VTestHarness__4.o VTestHarness__5.o VTestHarness__6.o VTestHarness__7.o VTestHarness__8.o VTestHarness__9.o VTestHarness__10.o VTestHarness__11.o VTestHarness__12.o VTestHarness__13.o VTestHarness__14.o VTestHarness__15.o VTestHarness__16.o VTestHarness__17.o VTestHarness__18.o VTestHarness__19.o VTestHarness__20.o VTestHarness__21.o VTestHarness__22.o VTestHarness__23.o VTestHarness__24.o VTestHarness__25.o VTestHarness__26.o VTestHarness__27.o VTestHarness__28.o VTestHarness__29.o VTestHarness__30.o VTestHarness__31.o VTestHarness__32.o VTestHarness__33.o VTestHarness__34.o VTestHarness__35.o VTestHarness__36.o VTestHarness__37.o VTestHarness__38.o VTestHarness__39.o VTestHarness__40.o VTestHarness__41.o VTestHarness__42.o VTestHarness__43.o VTestHarness__44.o VTestHarness__45.o VTestHarness__46.o VTestHarness__47.o VTestHarness__48.o VTestHarness__49.o VTestHarness__50.o VTestHarness__51.o VTestHarness__52.o VTestHarness__53.o VTestHarness__54.o VTestHarness__55.o VTestHarness__56.o VTestHarness__57.o VTestHarness__58.o VTestHarness__59.o VTestHarness__60.o VTestHarness__61.o VTestHarness__62.o VTestHarness___024unit.o VTestHarness__Slow.o VTestHarness__1__Slow.o VTestHarness__2__Slow.o VTestHarness__3__Slow.o VTestHarness__4__Slow.o VTestHarness__5__Slow.o VTestHarness__6__Slow.o VTestHarness__7__Slow.o VTestHarness__8__Slow.o VTestHarness__9__Slow.o VTestHarness__10__Slow.o VTestHarness__11__Slow.o VTestHarness__12__Slow.o VTestHarness__13__Slow.o VTestHarness__14__Slow.o VTestHarness__15__Slow.o VTestHarness__16__Slow.o VTestHarness__17__Slow.o VTestHarness__18__Slow.o VTestHarness__19__Slow.o VTestHarness__20__Slow.o VTestHarness__21__Slow.o VTestHarness__22__Slow.o VTestHarness__23__Slow.o VTestHarness__24__Slow.o VTestHarness__25__Slow.o VTestHarness___024unit__Slow.o VTestHarness__Dpi.o VTestHarness__Syms.o VTestHarness__Syms__1.o -ranlib VTestHarness__ALL.a -g++ SimDRAM.o SimDTM.o SimJTAG.o SimSerial.o SimUART.o emulator.o mm.o mm_dramsim2.o remote_bitbang.o uart.o verilated.o verilated_dpi.o VTestHarness__ALL.a -L/home/riscvuser/chipyard/sims/verilator -lpthread /home/riscvuser/chipyard/riscv-tools-install/lib/libfesvr.a /home/riscvuser/chipyard/tools/DRAMSim2/libdramsim.a -o /home/riscvuser/chipyard/sims/verilator/simulator-chipyard-RocketConfig -make[1]: Leaving directory '/home/riscvuser/chipyard/sims/verilator/generated-src/chipyard.TestHarness.RocketConfig/chipyard.TestHarness.RocketConfig' -]0;riscvuser@dd954fd5a122: ~/chipyard/sims/verilatorriscvuser@dd954fd5a122:~/chipyard/sims/verilator$ ls -Makefile generated-src -dramsim2_ini simulator-chipyard-RocketConfig -]0;riscvuser@dd954fd5a122: ~/chipyard/sims/verilatorriscvuser@dd954fd5a122:~/chipyard/sims/verilator$ exit -logout -[schwarzem@a6:/scratch/schwarzem/chipyard]$ exit -exit - -Script done on 2020-12-22 19:53:47-0800 From 9b84682a62fa169a454a03635346e32464532b5e Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Wed, 13 Jan 2021 19:41:48 -0800 Subject: [PATCH 017/225] Fixed comments and simplified entrypoint --- Dockerfile | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/Dockerfile b/Dockerfile index 8d95af552b..ccb4b1bbf5 100644 --- a/Dockerfile +++ b/Dockerfile @@ -1,12 +1,11 @@ ### Note: This DockerFile is adapted from https://github.com/ucb-bar/chipyard/blob/master/.circleci/images/Dockerfile which was adapted from: https://github.com/CircleCI-Public/example-images/openjdk -# This is a full chipyard setup, which will be built manually on-demand in the Tendermint Hardware Project at https://gitlab.com/virgohardware/core/ +# This is a full chipyard setup FROM ubuntu:18.04 -MAINTAINER jacobgadikian@gmail.com +MAINTAINER https://groups.google.com/forum/#!forum/chipyard -# man directory is missing in some base images -# https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=863199 +# Install dependencies for ubuntu-req.sh RUN apt-get update && \ apt-get upgrade -y && \ apt-get install -y \ @@ -18,6 +17,7 @@ RUN apt-get update && \ RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install -y keyboard-configuration && \ sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup +# Adds a new user called riscvuser RUN groupadd --gid 3434 riscvuser \ && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ @@ -31,7 +31,7 @@ RUN git clone https://github.com/ucb-bar/chipyard.git && \ cd chipyard && \ ./scripts/ubuntu-req.sh 1>/dev/null -# Install dependencies from ubuntu-req.sh +# Run ubuntu-req.sh to install necessary dependencies RUN cd chipyard && \ export MAKEFLAGS=-"j $(nproc)" && \ ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null @@ -46,7 +46,7 @@ RUN cd chipyard && \ export MAKEFLAGS=-"j $(nproc)" && \ ./scripts/build-toolchains.sh esp-tools 1>/dev/null -ENTRYPOINT ["/home/riscvuser/chipyard/scripts/entrypoint.sh"] +ENTRYPOINT ["chipyard/scripts/entrypoint.sh"] # END IMAGE CUSTOMIZATIONS From 49a76a606bd6b266b7a66eb651bc2e0d793ea1f6 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Wed, 20 Jan 2021 18:59:38 -0800 Subject: [PATCH 018/225] Updated ci dockerfile. Moved dockerfiles to outer directory. Updated comments for entrypoint.sh --- Dockerfiles/CI-Dockerfile | 38 +++++++++++++++++++++++++++ Dockerfiles/Dockerfile | 55 +++++++++++++++++++++++++++++++++++++++ scripts/entrypoint.sh | 1 + 3 files changed, 94 insertions(+) create mode 100644 Dockerfiles/CI-Dockerfile create mode 100644 Dockerfiles/Dockerfile diff --git a/Dockerfiles/CI-Dockerfile b/Dockerfiles/CI-Dockerfile new file mode 100644 index 0000000000..96df4ade7e --- /dev/null +++ b/Dockerfiles/CI-Dockerfile @@ -0,0 +1,38 @@ +### Note: This DockerFile is adapted from https://github.com/ucb-bar/chipyard/blob/master/.circleci/images/Dockerfile which was adapted from: https://github.com/CircleCI-Public/example-images/openjdk +# This is a chipyard setup for CI, no toolchains are initialized + +FROM ubuntu:18.04 +ARG CHIPYARD_HASH + +MAINTAINER https://groups.google.com/forum/#!forum/chipyard + +# Install dependencies for ubuntu-req.sh +RUN apt-get update && \ + apt-get upgrade -y && \ + apt-get install -y \ + curl \ + git \ + sudo + +# Stopping docker keyboard-config from disrupting ubuntu-req.sh +RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install -y keyboard-configuration && \ + sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup + +# Adds a new user called riscvuser +RUN groupadd --gid 3434 riscvuser \ + && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ + && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ + && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep + +WORKDIR /home/riscvuser +USER riscvuser + +# Install Chipyard +RUN git clone https://github.com/ucb-bar/chipyard.git && \ + cd chipyard && \ + git checkout $CHIPYARD_HASH && \ + ./scripts/ubuntu-req.sh 1>/dev/null + +# END IMAGE CUSTOMIZATIONS + +CMD ["/bin/sh"] diff --git a/Dockerfiles/Dockerfile b/Dockerfiles/Dockerfile new file mode 100644 index 0000000000..ffc8a81ae9 --- /dev/null +++ b/Dockerfiles/Dockerfile @@ -0,0 +1,55 @@ +### Note: This DockerFile is adapted from https://github.com/ucb-bar/chipyard/blob/master/.circleci/images/Dockerfile which was adapted from: https://github.com/CircleCI-Public/example-images/openjdk +# This is a full chipyard setup + +FROM ubuntu:18.04 +ARG CHIPYARD_HASH + +MAINTAINER https://groups.google.com/forum/#!forum/chipyard + +# Install dependencies for ubuntu-req.sh +RUN apt-get update && \ + apt-get upgrade -y && \ + apt-get install -y \ + curl \ + git \ + sudo + +# Stopping docker keyboard-config from disrupting ubuntu-req.sh +RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install -y keyboard-configuration && \ + sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup + +# Adds a new user called riscvuser +RUN groupadd --gid 3434 riscvuser \ + && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ + && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ + && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep + +WORKDIR /home/riscvuser +USER riscvuser + +# Install Chipyard +RUN git clone https://github.com/ucb-bar/chipyard.git && \ + cd chipyard && \ + git checkout $CHIPYARD_HASH && \ + ./scripts/ubuntu-req.sh 1>/dev/null + +# Run ubuntu-req.sh to install necessary dependencies +RUN cd chipyard && \ + export MAKEFLAGS=-"j $(nproc)" && \ + ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null + +# Install riscv-tools +RUN cd chipyard && \ + export MAKEFLAGS=-"j $(nproc)" && \ + ./scripts/build-toolchains.sh riscv-tools 1>/dev/null + +# Install esp-tools +RUN cd chipyard && \ + export MAKEFLAGS=-"j $(nproc)" && \ + ./scripts/build-toolchains.sh esp-tools 1>/dev/null + +ENTRYPOINT ["chipyard/scripts/entrypoint.sh"] + +# END IMAGE CUSTOMIZATIONS + +CMD ["/bin/sh"] diff --git a/scripts/entrypoint.sh b/scripts/entrypoint.sh index c83661911b..41e5bda580 100755 --- a/scripts/entrypoint.sh +++ b/scripts/entrypoint.sh @@ -1,5 +1,6 @@ #!/bin/bash +# used with the dockerfile to set up enviroment variables by running env.sh # adapted from https://stackoverflow.com/questions/55921914/how-to-source-a-script-with-environment-variables-in-a-docker-build-process . /home/riscvuser/chipyard/env.sh From a46c4e6e3592727560117b85e65ab441761b6dd4 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Wed, 20 Jan 2021 19:00:39 -0800 Subject: [PATCH 019/225] moved Dockerfiles --- .circleci/images/Dockerfile | 216 ------------------------------------ Dockerfile | 53 --------- 2 files changed, 269 deletions(-) delete mode 100644 .circleci/images/Dockerfile delete mode 100644 Dockerfile diff --git a/.circleci/images/Dockerfile b/.circleci/images/Dockerfile deleted file mode 100644 index 7d03185026..0000000000 --- a/.circleci/images/Dockerfile +++ /dev/null @@ -1,216 +0,0 @@ -### Note: This DockerFile is adapted from https://github.com/CircleCI-Public/example-images/openjdk - -FROM openjdk:11.0.1-jdk-sid - -# man directory is missing in some base images -# https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=863199 -RUN apt-get update \ - && mkdir -p /usr/share/man/man1 \ - && apt-get install -y \ - bzip2 \ - ca-certificates \ - curl \ - git \ - gnupg \ - gzip \ - libfl2 \ - libfl-dev \ - locales \ - mercurial \ - netcat \ - net-tools \ - openssh-client \ - parallel \ - sudo \ - tar \ - unzip \ - wget \ - xvfb \ - xxd \ - zip \ - ccache \ - libgoogle-perftools-dev \ - numactl \ - zlib1g - -# Set timezone to UTC by default -RUN ln -sf /usr/share/zoneinfo/Etc/UTC /etc/localtime - -# Use unicode -RUN locale-gen C.UTF-8 || true -ENV LANG=C.UTF-8 - -# install jq -RUN JQ_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/jq-latest" \ - && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/jq $JQ_URL \ - && chmod +x /usr/bin/jq \ - && jq --version - -# Install Docker - -# Docker.com returns the URL of the latest binary when you hit a directory listing -# We curl this URL and `grep` the version out. -# The output looks like this: - -#> # To install, run the following commands as root: -#> curl -fsSLO https://download.docker.com/linux/static/stable/x86_64/docker-17.05.0-ce.tgz && tar --strip-components=1 -xvzf docker-17.05.0-ce.tgz -C /usr/local/bin -#> -#> # Then start docker in daemon mode: -#> /usr/local/bin/dockerd - -RUN set -ex \ - && export DOCKER_VERSION=$(curl --silent --fail --retry 3 https://download.docker.com/linux/static/stable/x86_64/ | grep -o -e 'docker-[.0-9]*-ce\.tgz' | sort -r | head -n 1) \ - && DOCKER_URL="https://download.docker.com/linux/static/stable/x86_64/${DOCKER_VERSION}" \ - && echo Docker URL: $DOCKER_URL \ - && curl --silent --show-error --location --fail --retry 3 --output /tmp/docker.tgz "${DOCKER_URL}" \ - && ls -lha /tmp/docker.tgz \ - && tar -xz -C /tmp -f /tmp/docker.tgz \ - && mv /tmp/docker/* /usr/bin \ - && rm -rf /tmp/docker /tmp/docker.tgz \ - && which docker \ - && (docker version || true) - -# docker compose -RUN COMPOSE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/docker-compose-latest" \ - && curl --silent --show-error --location --fail --retry 3 --output /usr/bin/docker-compose $COMPOSE_URL \ - && chmod +x /usr/bin/docker-compose \ - && docker-compose version - -# install dockerize -RUN DOCKERIZE_URL="https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/dockerize-latest.tar.gz" \ - && curl --silent --show-error --location --fail --retry 3 --output /tmp/dockerize-linux-amd64.tar.gz $DOCKERIZE_URL \ - && tar -C /usr/local/bin -xzvf /tmp/dockerize-linux-amd64.tar.gz \ - && rm -rf /tmp/dockerize-linux-amd64.tar.gz \ - && dockerize --version - -RUN groupadd --gid 3434 riscvuser \ - && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ - && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ - && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep - -# BEGIN IMAGE CUSTOMIZATIONS - -# cacerts from OpenJDK 9-slim to workaround http://bugs.java.com/view_bug.do?bug_id=8189357 -# AND https://github.com/docker-library/openjdk/issues/145 -# -# Created by running: -# docker run --rm openjdk:9-slim cat /etc/ssl/certs/java/cacerts | # aws s3 cp - s3://circle-downloads/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts --acl public-read -RUN if java -fullversion 2>&1 | grep -q '"9.'; then curl --silent --show-error --location --fail --retry 3 --output /etc/ssl/certs/java/cacerts https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/openjdk-9-slim-cacerts; fi - -# Install Maven Version: 3.6.3 -RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-maven.tar.gz https://www.apache.org/dist/maven/maven-3/3.6.3/binaries/apache-maven-3.6.3-bin.tar.gz \ - && tar xf /tmp/apache-maven.tar.gz -C /opt/ \ - && rm /tmp/apache-maven.tar.gz \ - && ln -s /opt/apache-maven-* /opt/apache-maven \ - && /opt/apache-maven/bin/mvn -version - -# Install Ant Version: 1.10.5 -RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/apache-ant.tar.gz https://archive.apache.org/dist/ant/binaries/apache-ant-1.10.5-bin.tar.gz \ - && tar xf /tmp/apache-ant.tar.gz -C /opt/ \ - && ln -s /opt/apache-ant-* /opt/apache-ant \ - && rm -rf /tmp/apache-ant.tar.gz \ - && /opt/apache-ant/bin/ant -version - -ENV ANT_HOME=/opt/apache-ant - -# Install Gradle Version: 5.0 -RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/gradle.zip https://services.gradle.org/distributions/gradle-5.0-bin.zip \ - && unzip -d /opt /tmp/gradle.zip \ - && rm /tmp/gradle.zip \ - && ln -s /opt/gradle-* /opt/gradle \ - && /opt/gradle/bin/gradle -version - -# Install sbt from https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz -RUN curl --silent --show-error --location --fail --retry 3 --output /tmp/sbt.tgz https://circle-downloads.s3.amazonaws.com/circleci-images/cache/linux-amd64/sbt-latest.tgz \ - && tar -xzf /tmp/sbt.tgz -C /opt/ \ - && rm /tmp/sbt.tgz \ - && /opt/sbt/bin/sbt sbtVersion - -# Install openjfx -RUN apt-get update -RUN apt-get install -y --no-install-recommends openjfx - -# Add build-essential -RUN apt-get install -y build-essential - -# Add RISCV toolchain necessary dependencies -RUN apt-get update -RUN apt-get install -y \ - autoconf \ - automake \ - autotools-dev \ - babeltrace \ - bc \ - curl \ - device-tree-compiler \ - expat \ - flex \ - gawk \ - gperf \ - g++ \ - libexpat-dev \ - libgmp-dev \ - libmpc-dev \ - libmpfr-dev \ - libtool \ - libusb-1.0-0-dev \ - make \ - patchutils \ - pkg-config \ - python \ - python-pexpect-doc \ - python3 \ - texinfo \ - zlib1g-dev \ - rsync - -# Use specific bison version to bypass Verilator 4.034 issues -# TODO: When Verilator is bumped, use apt to get newest bison -RUN wget https://ftp.gnu.org/gnu/bison/bison-3.5.4.tar.gz \ - && tar -xvf bison-3.5.4.tar.gz \ - && cd bison-3.5.4 \ - && ./configure && make && make install - -# Check bison version is 3.5.4 -RUN bison --version - -# Add minimal QEMU dependencies -RUN apt-get install -y \ - libfdt-dev \ - libglib2.0-dev \ - libpixman-1-dev - -# Install verilator -RUN git clone http://git.veripool.org/git/verilator \ - && cd verilator \ - && git pull \ - && git checkout v4.034 \ - && autoconf && ./configure && make && make install - -# Update PATH for Java tools -ENV PATH="/opt/sbt/bin:/opt/apache-maven/bin:/opt/apache-ant/bin:/opt/gradle/bin:$PATH" - -# Add HOME environment variable -ENV HOME="/home/riscvuser" - -# Update PATH for RISCV toolchain (note: hardcoded for CircleCI) -ENV RISCV="$HOME/riscv-tools-install" -ENV LD_LIBRARY_PATH="$RISCV/lib" -ENV PATH="$RISCV/bin:$PATH" - -WORKDIR $HOME -USER riscvuser - -# smoke test with path -RUN mvn -version \ - && ant -version \ - && gradle -version \ - && sbt sbtVersion \ - && verilator --version - -# remove extra folders -RUN rm -rf project/ - -# END IMAGE CUSTOMIZATIONS - -CMD ["/bin/sh"] diff --git a/Dockerfile b/Dockerfile deleted file mode 100644 index ccb4b1bbf5..0000000000 --- a/Dockerfile +++ /dev/null @@ -1,53 +0,0 @@ -### Note: This DockerFile is adapted from https://github.com/ucb-bar/chipyard/blob/master/.circleci/images/Dockerfile which was adapted from: https://github.com/CircleCI-Public/example-images/openjdk -# This is a full chipyard setup - -FROM ubuntu:18.04 - -MAINTAINER https://groups.google.com/forum/#!forum/chipyard - -# Install dependencies for ubuntu-req.sh -RUN apt-get update && \ - apt-get upgrade -y && \ - apt-get install -y \ - curl \ - git \ - sudo - -# Stopping docker keyboard-config from disrupting ubuntu-req.sh -RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install -y keyboard-configuration && \ - sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup - -# Adds a new user called riscvuser -RUN groupadd --gid 3434 riscvuser \ - && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ - && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ - && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep - -WORKDIR /home/riscvuser -USER riscvuser - -# Install Chipyard -RUN git clone https://github.com/ucb-bar/chipyard.git && \ - cd chipyard && \ - ./scripts/ubuntu-req.sh 1>/dev/null - -# Run ubuntu-req.sh to install necessary dependencies -RUN cd chipyard && \ - export MAKEFLAGS=-"j $(nproc)" && \ - ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null - -# Install riscv-tools -RUN cd chipyard && \ - export MAKEFLAGS=-"j $(nproc)" && \ - ./scripts/build-toolchains.sh riscv-tools 1>/dev/null - -# Install esp-tools -RUN cd chipyard && \ - export MAKEFLAGS=-"j $(nproc)" && \ - ./scripts/build-toolchains.sh esp-tools 1>/dev/null - -ENTRYPOINT ["chipyard/scripts/entrypoint.sh"] - -# END IMAGE CUSTOMIZATIONS - -CMD ["/bin/sh"] From fa2da01421f641d6d0c5c5611fb0ddbaf16e5868 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Wed, 20 Jan 2021 20:51:59 -0800 Subject: [PATCH 020/225] Changed config.yml to use new docker image --- .circleci/config.yml | 4 +--- 1 file changed, 1 insertion(+), 3 deletions(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index f18f0e629c..264d2e3316 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: ucbbar/chipyard-image:1.0.1 + - image: schwarzem/chipyard-test:1.0.0 environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit @@ -505,5 +505,3 @@ workflows: - install-riscv-toolchain - install-verilator - build-extra-tests - - From 58665ea172fd0d22e136eeeee8b7eabea70704f4 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Thu, 21 Jan 2021 20:46:01 -0800 Subject: [PATCH 021/225] Added hardcoded environment variables to CI-Dockerfile and updated config.yml with new docker image --- .circleci/config.yml | 2 +- Dockerfiles/CI-Dockerfile | 5 +++++ 2 files changed, 6 insertions(+), 1 deletion(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index 5bbaee63f3..3513794089 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: schwarzem/chipyard-test:1.0.0 + - image: schwarzem/chipyard-test:1.0.1 environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit diff --git a/Dockerfiles/CI-Dockerfile b/Dockerfiles/CI-Dockerfile index 96df4ade7e..11d064d288 100644 --- a/Dockerfiles/CI-Dockerfile +++ b/Dockerfiles/CI-Dockerfile @@ -27,6 +27,11 @@ RUN groupadd --gid 3434 riscvuser \ WORKDIR /home/riscvuser USER riscvuser +# Update PATH for RISCV toolchain (note: hardcoded for CircleCI) +ENV RISCV="/home/riscvuser/riscv-tools-install" +ENV LD_LIBRARY_PATH="$RISCV/lib" +ENV PATH="$RISCV/bin:$PATH" + # Install Chipyard RUN git clone https://github.com/ucb-bar/chipyard.git && \ cd chipyard && \ From 766443b2d4defb8383c0286c89855736c64b98eb Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Sat, 23 Jan 2021 13:42:59 -0800 Subject: [PATCH 022/225] Avoid permissions conflict on shared protocjar.webcache The Java protoc plugin (part of FIRRTL) by default caches protoc in /tmp, and does not delete it afterwards. This causes permissions failures when multiple users try to install Chipyard on the same machine, due to permissions failures over accessing the same cache in /tmp. A solution is to place the tmpdir within the Chipyard repo. No other files besides protocjar.webcache currently use that directory, so I believe this should be safe. --- variables.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/variables.mk b/variables.mk index 88ba73ee21..2843e53e54 100644 --- a/variables.mk +++ b/variables.mk @@ -146,7 +146,7 @@ sim_common_files ?= $(build_dir)/sim_files.common.f # java arguments used in sbt ######################################################################################### JAVA_HEAP_SIZE ?= 8G -JAVA_OPTS ?= -Xmx$(JAVA_HEAP_SIZE) -Xss8M -XX:MaxPermSize=256M +JAVA_OPTS ?= -Xmx$(JAVA_HEAP_SIZE) -Xss8M -XX:MaxPermSize=256M -Djava.io.tmpdir=$(base_dir)/.java_tmp ######################################################################################### # default sbt launch command From 7ef40c8d79ac0db68c51089fef837de9ce944109 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Sat, 23 Jan 2021 14:36:05 -0800 Subject: [PATCH 023/225] Add .java_tmp directory --- .java_tmp/.gitignore | 4 ++++ 1 file changed, 4 insertions(+) create mode 100644 .java_tmp/.gitignore diff --git a/.java_tmp/.gitignore b/.java_tmp/.gitignore new file mode 100644 index 0000000000..5e7d2734cf --- /dev/null +++ b/.java_tmp/.gitignore @@ -0,0 +1,4 @@ +# Ignore everything in this directory +* +# Except this file +!.gitignore From 500d2b8b974c342bd250a728b9b9bd5a3fc3945f Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Wed, 27 Jan 2021 19:00:18 -0800 Subject: [PATCH 024/225] Build both CI and main images in one dockerfile. Updated README.md --- .circleci/images/README.md | 18 ------------------ {Dockerfiles => dockerfiles}/CI-Dockerfile | 4 ++-- {Dockerfiles => dockerfiles}/Dockerfile | 22 ++++++++++++++++++---- dockerfiles/README.md | 20 ++++++++++++++++++++ 4 files changed, 40 insertions(+), 24 deletions(-) delete mode 100644 .circleci/images/README.md rename {Dockerfiles => dockerfiles}/CI-Dockerfile (85%) rename {Dockerfiles => dockerfiles}/Dockerfile (78%) create mode 100644 dockerfiles/README.md diff --git a/.circleci/images/README.md b/.circleci/images/README.md deleted file mode 100644 index a2172ba27f..0000000000 --- a/.circleci/images/README.md +++ /dev/null @@ -1,18 +0,0 @@ -General -------- -This DockerFile contains the necessary steps to build a Docker container that can run -projects with riscv-tools, chisel3, firrtl, and verilator. It installs the necessary -apt-get packages and sets the environment variables needed in CircleCI. - -Build and Deploy the Container ------------------------------- - - sudo docker build . # to test build before building it with a tag - sudo docker build -t :tag . # to build with tag (ex. 0.0.3) - sudo docker login # login into the account to push to - sudo docker push :tag # to push to repo with tag - -Path Names ----------- -Older docker images (when this Dockerfile was in `riscv-boom/riscv-boom`) can be found in the `riscvboom/riscvboom-images`. -Current up-to-date images are located in `ucbbar/chipyard-image` diff --git a/Dockerfiles/CI-Dockerfile b/dockerfiles/CI-Dockerfile similarity index 85% rename from Dockerfiles/CI-Dockerfile rename to dockerfiles/CI-Dockerfile index 11d064d288..2ebf119cb8 100644 --- a/Dockerfiles/CI-Dockerfile +++ b/dockerfiles/CI-Dockerfile @@ -1,4 +1,4 @@ -### Note: This DockerFile is adapted from https://github.com/ucb-bar/chipyard/blob/master/.circleci/images/Dockerfile which was adapted from: https://github.com/CircleCI-Public/example-images/openjdk +### Note: This DockerFile is adapted from: https://github.com/CircleCI-Public/example-images/openjdk # This is a chipyard setup for CI, no toolchains are initialized FROM ubuntu:18.04 @@ -32,7 +32,7 @@ ENV RISCV="/home/riscvuser/riscv-tools-install" ENV LD_LIBRARY_PATH="$RISCV/lib" ENV PATH="$RISCV/bin:$PATH" -# Install Chipyard +# Install Chipyard and run ubuntu-req.sh to install necessary dependencies RUN git clone https://github.com/ucb-bar/chipyard.git && \ cd chipyard && \ git checkout $CHIPYARD_HASH && \ diff --git a/Dockerfiles/Dockerfile b/dockerfiles/Dockerfile similarity index 78% rename from Dockerfiles/Dockerfile rename to dockerfiles/Dockerfile index ffc8a81ae9..ad111121df 100644 --- a/Dockerfiles/Dockerfile +++ b/dockerfiles/Dockerfile @@ -1,7 +1,9 @@ ### Note: This DockerFile is adapted from https://github.com/ucb-bar/chipyard/blob/master/.circleci/images/Dockerfile which was adapted from: https://github.com/CircleCI-Public/example-images/openjdk # This is a full chipyard setup -FROM ubuntu:18.04 +# BUILD BASE FOR CI + +FROM ubuntu:18.04 as base ARG CHIPYARD_HASH MAINTAINER https://groups.google.com/forum/#!forum/chipyard @@ -27,13 +29,24 @@ RUN groupadd --gid 3434 riscvuser \ WORKDIR /home/riscvuser USER riscvuser -# Install Chipyard +# Update PATH for RISCV toolchain (note: hardcoded for CircleCI) +ENV RISCV="/home/riscvuser/riscv-tools-install" +ENV LD_LIBRARY_PATH="$RISCV/lib" +ENV PATH="$RISCV/bin:$PATH" + +# Install Chipyard and run ubuntu-req.sh to install necessary dependencies RUN git clone https://github.com/ucb-bar/chipyard.git && \ cd chipyard && \ git checkout $CHIPYARD_HASH && \ ./scripts/ubuntu-req.sh 1>/dev/null -# Run ubuntu-req.sh to install necessary dependencies + +# BUILD IMAGE WITH TOOLCHAINS + +# Use above build as base +FROM base as base-with-tools + +# Init submodules RUN cd chipyard && \ export MAKEFLAGS=-"j $(nproc)" && \ ./scripts/init-submodules-no-riscv-tools.sh 1>/dev/null @@ -44,10 +57,11 @@ RUN cd chipyard && \ ./scripts/build-toolchains.sh riscv-tools 1>/dev/null # Install esp-tools -RUN cd chipyard && \ + RUN cd chipyard && \ export MAKEFLAGS=-"j $(nproc)" && \ ./scripts/build-toolchains.sh esp-tools 1>/dev/null +# Run script to set environment variables on entry ENTRYPOINT ["chipyard/scripts/entrypoint.sh"] # END IMAGE CUSTOMIZATIONS diff --git a/dockerfiles/README.md b/dockerfiles/README.md new file mode 100644 index 0000000000..12689704b7 --- /dev/null +++ b/dockerfiles/README.md @@ -0,0 +1,20 @@ +General +------- +This DockerFile contains the necessary steps to build a Docker container that can run +projects with riscv-tools, chisel3, firrtl, and verilator. When run up to the base stage, it installs the necessary +apt-get packages and sets the environment variables needed in CircleCI. When run up to the base-with-tools stage, it initializes and installs the necessary toolchains for running simulations and testing projects. + +Build and Deploy the Container +------------------------------ + + sudo docker build --target base -f Dockerfile . # to build the image for the CI + sudo docker build --target base-with-tools -f Dockerfile . # to build the full image + sudo docker tag :tag . # to tag the image after the build (ex. 0.0.3) + sudo docker login # login into the account to push to + sudo docker push :tag # to push to repo with tag + sudo docker run -it bash # to run an interactive version of the container + +Path Names +---------- +Older docker images (when this Dockerfile was in `riscv-boom/riscv-boom`) can be found in the `riscvboom/riscvboom-images`. +Current up-to-date images are located in `ucbbar/chipyard-image` From e13266bc8237577972e9a9dfbd7c45448f594706 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Wed, 27 Jan 2021 19:01:29 -0800 Subject: [PATCH 025/225] Install python --- scripts/ubuntu-req.sh | 1 + 1 file changed, 1 insertion(+) diff --git a/scripts/ubuntu-req.sh b/scripts/ubuntu-req.sh index 3bc22c7395..b33a6649e8 100755 --- a/scripts/ubuntu-req.sh +++ b/scripts/ubuntu-req.sh @@ -19,6 +19,7 @@ sudo apt-get install -y libgtk-3-dev gettext sudo apt-get install -y python3-pip python3.6-dev rsync libguestfs-tools expat ctags # install DTC sudo apt-get install -y device-tree-compiler +sudo apt-get install -y python # install verilator git clone http://git.veripool.org/git/verilator From 5e903723ed79ab289ecad97b613d7330b7281065 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Wed, 27 Jan 2021 21:35:55 -0800 Subject: [PATCH 026/225] Updated image for to 1.0.2 for config.yml. Fixed formatting in Dockerfile. --- .circleci/config.yml | 2 +- dockerfiles/Dockerfile | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index 3513794089..949aed6bb1 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: schwarzem/chipyard-test:1.0.1 + - image: schwarzem/chipyard-test:1.0.2 environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit diff --git a/dockerfiles/Dockerfile b/dockerfiles/Dockerfile index ad111121df..2fad661b0d 100644 --- a/dockerfiles/Dockerfile +++ b/dockerfiles/Dockerfile @@ -57,7 +57,7 @@ RUN cd chipyard && \ ./scripts/build-toolchains.sh riscv-tools 1>/dev/null # Install esp-tools - RUN cd chipyard && \ +RUN cd chipyard && \ export MAKEFLAGS=-"j $(nproc)" && \ ./scripts/build-toolchains.sh esp-tools 1>/dev/null From 99a1c5d54217a110d03b36374383fff08f55a723 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Sat, 30 Jan 2021 19:47:27 -0800 Subject: [PATCH 027/225] Update comment on GenerateReset ChipTop reset was standardized to be async for 1.4.0 --- generators/chipyard/src/main/scala/Clocks.scala | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/generators/chipyard/src/main/scala/Clocks.scala b/generators/chipyard/src/main/scala/Clocks.scala index e4d48b5900..9ca6a801e2 100644 --- a/generators/chipyard/src/main/scala/Clocks.scala +++ b/generators/chipyard/src/main/scala/Clocks.scala @@ -17,8 +17,8 @@ import chipyard.clocking._ /** * A simple reset implementation that punches out reset ports - * for standard Module classes. Three basic reset schemes - * are provided. See [[GlobalResetScheme]]. + * for standard Module classes. The ChipTop reset pin is Async. + * Synchronization is performed in the ClockGroupResetSynchronizer */ object GenerateReset { def apply(chiptop: ChipTop, clock: Clock): Reset = { From 6dcd4f9afce3a764e26508acdd5ad5cdd4e32154 Mon Sep 17 00:00:00 2001 From: alonamid Date: Mon, 1 Feb 2021 17:33:07 -0800 Subject: [PATCH 028/225] WithFireSimFAME5 to allow non Rocket/BOOM build --- generators/firechip/src/main/scala/BridgeBinders.scala | 1 + 1 file changed, 1 insertion(+) diff --git a/generators/firechip/src/main/scala/BridgeBinders.scala b/generators/firechip/src/main/scala/BridgeBinders.scala index cdb026e10a..bdbb7d4414 100644 --- a/generators/firechip/src/main/scala/BridgeBinders.scala +++ b/generators/firechip/src/main/scala/BridgeBinders.scala @@ -170,6 +170,7 @@ class WithFireSimFAME5 extends ComposeIOBinder({ annotate(EnableModelMultiThreadingAnnotation(b.module)) case r: RocketTile => annotate(EnableModelMultiThreadingAnnotation(r.module)) + case _ => Nil } (Nil, Nil) } From e95e033e5e61cc2cc99ab444b31a5aa2913b2596 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Thu, 4 Feb 2021 00:29:43 -0800 Subject: [PATCH 029/225] Updated dockerfile comments and updated dockerfile readme. Removed ci-dockerfile Added python --- dockerfiles/CI-Dockerfile | 43 --------------------------------------- dockerfiles/Dockerfile | 4 ++-- dockerfiles/README.md | 10 +++++---- scripts/centos-req.sh | 1 + 4 files changed, 9 insertions(+), 49 deletions(-) delete mode 100644 dockerfiles/CI-Dockerfile diff --git a/dockerfiles/CI-Dockerfile b/dockerfiles/CI-Dockerfile deleted file mode 100644 index 2ebf119cb8..0000000000 --- a/dockerfiles/CI-Dockerfile +++ /dev/null @@ -1,43 +0,0 @@ -### Note: This DockerFile is adapted from: https://github.com/CircleCI-Public/example-images/openjdk -# This is a chipyard setup for CI, no toolchains are initialized - -FROM ubuntu:18.04 -ARG CHIPYARD_HASH - -MAINTAINER https://groups.google.com/forum/#!forum/chipyard - -# Install dependencies for ubuntu-req.sh -RUN apt-get update && \ - apt-get upgrade -y && \ - apt-get install -y \ - curl \ - git \ - sudo - -# Stopping docker keyboard-config from disrupting ubuntu-req.sh -RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install -y keyboard-configuration && \ - sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup - -# Adds a new user called riscvuser -RUN groupadd --gid 3434 riscvuser \ - && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ - && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ - && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep - -WORKDIR /home/riscvuser -USER riscvuser - -# Update PATH for RISCV toolchain (note: hardcoded for CircleCI) -ENV RISCV="/home/riscvuser/riscv-tools-install" -ENV LD_LIBRARY_PATH="$RISCV/lib" -ENV PATH="$RISCV/bin:$PATH" - -# Install Chipyard and run ubuntu-req.sh to install necessary dependencies -RUN git clone https://github.com/ucb-bar/chipyard.git && \ - cd chipyard && \ - git checkout $CHIPYARD_HASH && \ - ./scripts/ubuntu-req.sh 1>/dev/null - -# END IMAGE CUSTOMIZATIONS - -CMD ["/bin/sh"] diff --git a/dockerfiles/Dockerfile b/dockerfiles/Dockerfile index 2fad661b0d..1a722a9cae 100644 --- a/dockerfiles/Dockerfile +++ b/dockerfiles/Dockerfile @@ -1,10 +1,10 @@ -### Note: This DockerFile is adapted from https://github.com/ucb-bar/chipyard/blob/master/.circleci/images/Dockerfile which was adapted from: https://github.com/CircleCI-Public/example-images/openjdk # This is a full chipyard setup # BUILD BASE FOR CI FROM ubuntu:18.04 as base -ARG CHIPYARD_HASH +# Default branch dev for experimental version +ARG CHIPYARD_HASH=dev MAINTAINER https://groups.google.com/forum/#!forum/chipyard diff --git a/dockerfiles/README.md b/dockerfiles/README.md index 12689704b7..be0e19171b 100644 --- a/dockerfiles/README.md +++ b/dockerfiles/README.md @@ -2,13 +2,14 @@ General ------- This DockerFile contains the necessary steps to build a Docker container that can run projects with riscv-tools, chisel3, firrtl, and verilator. When run up to the base stage, it installs the necessary -apt-get packages and sets the environment variables needed in CircleCI. When run up to the base-with-tools stage, it initializes and installs the necessary toolchains for running simulations and testing projects. +apt-get packages and sets the environment variables needed for CircleCI. When run up to the base-with-tools stage, it initializes and installs the necessary toolchains for running simulations and testing projects. Build and Deploy the Container ------------------------------ - sudo docker build --target base -f Dockerfile . # to build the image for the CI - sudo docker build --target base-with-tools -f Dockerfile . # to build the full image + sudo docker build --target base . # to build the image for the CI + sudo docker build --target base --build-args CHIPYARD_HASH= . # to build the image for the CI from a specific chipyard commit + sudo docker build --target base-with-tools . # to build the full image sudo docker tag :tag . # to tag the image after the build (ex. 0.0.3) sudo docker login # login into the account to push to sudo docker push :tag # to push to repo with tag @@ -17,4 +18,5 @@ Build and Deploy the Container Path Names ---------- Older docker images (when this Dockerfile was in `riscv-boom/riscv-boom`) can be found in the `riscvboom/riscvboom-images`. -Current up-to-date images are located in `ucbbar/chipyard-image` +Current up-to-date images are located in `ucbbar/chipyard-image`. NOTE: Less recent images in this path may not have toolchains initialized +Current up-to-date CI images are located in `ucbbar/chipyard-ci-image`. diff --git a/scripts/centos-req.sh b/scripts/centos-req.sh index 89e8644c36..1a1439d1d7 100755 --- a/scripts/centos-req.sh +++ b/scripts/centos-req.sh @@ -18,6 +18,7 @@ sudo yum install -y centos-release-scl sudo yum install -y devtoolset-8-make # install DTC sudo yum install -y dtc +sudo yum install -y python # install verilator git clone http://git.veripool.org/git/verilator From d2b3dca230977a041b8f1be1bf9d2751c84c4518 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Thu, 4 Feb 2021 00:54:00 -0800 Subject: [PATCH 030/225] Readme updated for main dockerfile --- dockerfiles/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/dockerfiles/README.md b/dockerfiles/README.md index be0e19171b..09ede71513 100644 --- a/dockerfiles/README.md +++ b/dockerfiles/README.md @@ -8,7 +8,7 @@ Build and Deploy the Container ------------------------------ sudo docker build --target base . # to build the image for the CI - sudo docker build --target base --build-args CHIPYARD_HASH= . # to build the image for the CI from a specific chipyard commit + sudo docker build --target base --build-arg CHIPYARD_HASH= . # to build the image for the CI from a specific chipyard commit sudo docker build --target base-with-tools . # to build the full image sudo docker tag :tag . # to tag the image after the build (ex. 0.0.3) sudo docker login # login into the account to push to From 7eb8f39d6efe2dd04e32f037d35f029e440ace19 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Thu, 4 Feb 2021 22:42:57 -0800 Subject: [PATCH 031/225] Uses latest chipyard ci image --- .circleci/config.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index 949aed6bb1..aac8294029 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: schwarzem/chipyard-test:1.0.2 + - image: ucbbar/chipyard-ci-image:d2b3dca environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit From 34e56d52fca8797e2f0cde9a3bdfbbce71e9abe1 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Fri, 5 Feb 2021 18:04:34 -0800 Subject: [PATCH 032/225] Moved CMD command --- dockerfiles/Dockerfile | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/dockerfiles/Dockerfile b/dockerfiles/Dockerfile index 1a722a9cae..5a505fd950 100644 --- a/dockerfiles/Dockerfile +++ b/dockerfiles/Dockerfile @@ -40,6 +40,8 @@ RUN git clone https://github.com/ucb-bar/chipyard.git && \ git checkout $CHIPYARD_HASH && \ ./scripts/ubuntu-req.sh 1>/dev/null +CMD ["/bin/sh"] + # BUILD IMAGE WITH TOOLCHAINS @@ -65,5 +67,3 @@ RUN cd chipyard && \ ENTRYPOINT ["chipyard/scripts/entrypoint.sh"] # END IMAGE CUSTOMIZATIONS - -CMD ["/bin/sh"] From 1f8b59732d5cebc01c2b22f977593e7973c1c357 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Fri, 5 Feb 2021 22:04:01 -0800 Subject: [PATCH 033/225] Uses latest version of ci-image --- .circleci/config.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index aac8294029..f147d97225 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: ucbbar/chipyard-ci-image:d2b3dca + - image: ucbbar/chipyard-ci-image:34e56d5 environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit From 0593e92cb3ce35c85f31c3a45c09146a273aab78 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Mon, 8 Feb 2021 02:11:18 -0800 Subject: [PATCH 034/225] Passing MBus clock frequency to SimDRAM --- generators/chipyard/src/main/scala/HarnessBinders.scala | 3 ++- generators/chipyard/src/main/scala/config/AbstractConfig.scala | 2 ++ generators/testchipip | 2 +- 3 files changed, 5 insertions(+), 2 deletions(-) diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index 02ab3732d8..588878d576 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -143,7 +143,8 @@ class WithBlackBoxSimMem extends OverrideHarnessBinder({ (ports zip system.memAXI4Node.edges.in).map { case (port, edge) => val memSize = p(ExtMem).get.master.size val lineSize = p(CacheBlockBytes) - val mem = Module(new SimDRAM(memSize, lineSize, edge.bundle)).suggestName("simdram") + val clockFreq = p(MemoryBusKey).dtsFrequency.get + val mem = Module(new SimDRAM(memSize, lineSize, clockFreq, edge.bundle)).suggestName("simdram") mem.io.axi <> port.bits mem.io.clock := port.clock mem.io.reset := port.reset diff --git a/generators/chipyard/src/main/scala/config/AbstractConfig.scala b/generators/chipyard/src/main/scala/config/AbstractConfig.scala index 73c2b006ae..a70ae4dfd7 100644 --- a/generators/chipyard/src/main/scala/config/AbstractConfig.scala +++ b/generators/chipyard/src/main/scala/config/AbstractConfig.scala @@ -45,6 +45,8 @@ class AbstractConfig extends Config( new chipyard.config.WithNoSubsystemDrivenClocks ++ // drive the subsystem diplomatic clocks from ChipTop instead of using implicit clocks new chipyard.config.WithInheritBusFrequencyAssignments ++ // Unspecified clocks within a bus will receive the bus frequency if set new chipyard.config.WithPeripheryBusFrequencyAsDefault ++ // Unspecified frequencies with match the pbus frequency (which is always set) + new chipyard.config.WithMemoryBusFrequency(100.0) ++ // Default 100 MHz mbus + new chipyard.config.WithPeripheryBusFrequency(100.0) ++ // Default 100 MHz pbus new freechips.rocketchip.subsystem.WithJtagDTM ++ // set the debug module to expose a JTAG port new freechips.rocketchip.subsystem.WithNoMMIOPort ++ // no top-level MMIO master port (overrides default set in rocketchip) new freechips.rocketchip.subsystem.WithNoSlavePort ++ // no top-level MMIO slave port (overrides default set in rocketchip) diff --git a/generators/testchipip b/generators/testchipip index 6572beb03b..f27055929a 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit 6572beb03bc6eb0575269eaf4cc960b72b3ddef3 +Subproject commit f27055929a2d4c091bfe10c3b64761e281844a2b From c85ffd2a59397fc6e7495527772202589fde43a3 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Mon, 8 Feb 2021 03:14:48 -0800 Subject: [PATCH 035/225] Add support for manually adjusting DRAM latency with a ShiftQueue --- .../src/main/scala/HarnessBinders.scala | 24 +++++++++++++++++-- 1 file changed, 22 insertions(+), 2 deletions(-) diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index 588878d576..5428e3423f 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -1,7 +1,8 @@ package chipyard.harness import chisel3._ -import chisel3.experimental.{Analog, BaseModule} +import chisel3.util._ +import chisel3.experimental.{Analog, BaseModule, DataMirror, Direction} import freechips.rocketchip.config.{Field, Config, Parameters} import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImpLike} @@ -10,6 +11,7 @@ import freechips.rocketchip.devices.debug._ import freechips.rocketchip.jtag.{JTAGIO} import freechips.rocketchip.system.{SimAXIMem} import freechips.rocketchip.subsystem._ +import freechips.rocketchip.util._ import sifive.blocks.devices.gpio._ import sifive.blocks.devices.uart._ @@ -137,7 +139,7 @@ class WithSimAXIMem extends OverrideHarnessBinder({ } }) -class WithBlackBoxSimMem extends OverrideHarnessBinder({ +class WithBlackBoxSimMem(additionalLatency: Int = 0) extends OverrideHarnessBinder({ (system: CanHaveMasterAXI4MemPort, th: HasHarnessSignalReferences, ports: Seq[ClockedAndResetIO[AXI4Bundle]]) => { val p: Parameters = chipyard.iobinders.GetSystemParameters(system) (ports zip system.memAXI4Node.edges.in).map { case (port, edge) => @@ -146,6 +148,24 @@ class WithBlackBoxSimMem extends OverrideHarnessBinder({ val clockFreq = p(MemoryBusKey).dtsFrequency.get val mem = Module(new SimDRAM(memSize, lineSize, clockFreq, edge.bundle)).suggestName("simdram") mem.io.axi <> port.bits + // Bug in Chisel implementation. See https://github.com/chipsalliance/chisel3/pull/1781 + def Decoupled[T <: Data](irr: IrrevocableIO[T]): DecoupledIO[T] = { + require(DataMirror.directionOf(irr.bits) == Direction.Output, "Only safe to cast produced Irrevocable bits to Decoupled.") + val d = Wire(new DecoupledIO(chiselTypeOf(irr.bits))) + d.bits := irr.bits + d.valid := irr.valid + irr.ready := d.ready + d + } + if (additionalLatency > 0) { + withClockAndReset (port.clock, port.reset) { + mem.io.axi.aw <> ShiftQueue(Decoupled(port.bits.aw), additionalLatency) + mem.io.axi.w <> ShiftQueue(Decoupled(port.bits.w ), additionalLatency) + port.bits.b <> ShiftQueue(Decoupled(mem.io.axi.b), additionalLatency) + mem.io.axi.ar <> ShiftQueue(Decoupled(port.bits.ar), additionalLatency) + port.bits.r <> ShiftQueue(Decoupled(mem.io.axi.r), additionalLatency) + } + } mem.io.clock := port.clock mem.io.reset := port.reset } From 235b1e5dfd33b4a980a80463aec72ea0bd7a8648 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Mon, 8 Feb 2021 09:03:15 -0800 Subject: [PATCH 036/225] Give TraceGenConfigs an explicit mbus clock --- generators/chipyard/src/main/scala/config/TracegenConfigs.scala | 2 ++ 1 file changed, 2 insertions(+) diff --git a/generators/chipyard/src/main/scala/config/TracegenConfigs.scala b/generators/chipyard/src/main/scala/config/TracegenConfigs.scala index f9980bf671..3f9e27d138 100644 --- a/generators/chipyard/src/main/scala/config/TracegenConfigs.scala +++ b/generators/chipyard/src/main/scala/config/TracegenConfigs.scala @@ -11,6 +11,8 @@ class AbstractTraceGenConfig extends Config( new chipyard.config.WithTracegenSystem ++ new chipyard.config.WithNoSubsystemDrivenClocks ++ new chipyard.config.WithPeripheryBusFrequencyAsDefault ++ + new chipyard.config.WithMemoryBusFrequency(100.0) ++ + new chipyard.config.WithPeripheryBusFrequency(100.0) ++ new freechips.rocketchip.subsystem.WithCoherentBusTopology ++ new freechips.rocketchip.groundtest.GroundTestBaseConfig) From 84cfc733de8be56ef81085bd576a33e8b5474cee Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Mon, 8 Feb 2021 14:16:27 -0800 Subject: [PATCH 037/225] Removed default argument --- dockerfiles/Dockerfile | 9 ++++----- 1 file changed, 4 insertions(+), 5 deletions(-) diff --git a/dockerfiles/Dockerfile b/dockerfiles/Dockerfile index 5a505fd950..7bb5e3cefc 100644 --- a/dockerfiles/Dockerfile +++ b/dockerfiles/Dockerfile @@ -1,10 +1,9 @@ -# This is a full chipyard setup +### This is a full chipyard setup # BUILD BASE FOR CI FROM ubuntu:18.04 as base -# Default branch dev for experimental version -ARG CHIPYARD_HASH=dev +ARG CHIPYARD_HASH MAINTAINER https://groups.google.com/forum/#!forum/chipyard @@ -40,8 +39,6 @@ RUN git clone https://github.com/ucb-bar/chipyard.git && \ git checkout $CHIPYARD_HASH && \ ./scripts/ubuntu-req.sh 1>/dev/null -CMD ["/bin/sh"] - # BUILD IMAGE WITH TOOLCHAINS @@ -67,3 +64,5 @@ RUN cd chipyard && \ ENTRYPOINT ["chipyard/scripts/entrypoint.sh"] # END IMAGE CUSTOMIZATIONS + +CMD ["/bin/sh"] From 75bc39ab5a34931aeed15ae83370a8f5538d112c Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Mon, 8 Feb 2021 14:22:38 -0800 Subject: [PATCH 038/225] Uses latest ci image --- .circleci/config.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index f147d97225..aedf6038db 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: ucbbar/chipyard-ci-image:34e56d5 + - image: ucbbar/chipyard-ci-image:84cfc73 environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit From 323394f43743730bcbfedb60e056386d60df2197 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Mon, 8 Feb 2021 14:45:04 -0800 Subject: [PATCH 039/225] Increased timeout limit --- .circleci/config.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index aedf6038db..500b774ac7 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -112,7 +112,7 @@ commands: default: "run-tests.sh" timeout: type: string - default: "10m" + default: "15m" steps: - setup-tools: tools-version: "<< parameters.tools-version >>" From 4c11e170b856407df2b7fb1c5f6e1c2e59887af6 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Tue, 9 Feb 2021 00:47:10 -0800 Subject: [PATCH 040/225] Use series of pipe Queues instead of ShiftQueue for adding AXI4 memory delay --- .../chipyard/src/main/scala/HarnessBinders.scala | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index 5428e3423f..643b2065ad 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -159,11 +159,11 @@ class WithBlackBoxSimMem(additionalLatency: Int = 0) extends OverrideHarnessBind } if (additionalLatency > 0) { withClockAndReset (port.clock, port.reset) { - mem.io.axi.aw <> ShiftQueue(Decoupled(port.bits.aw), additionalLatency) - mem.io.axi.w <> ShiftQueue(Decoupled(port.bits.w ), additionalLatency) - port.bits.b <> ShiftQueue(Decoupled(mem.io.axi.b), additionalLatency) - mem.io.axi.ar <> ShiftQueue(Decoupled(port.bits.ar), additionalLatency) - port.bits.r <> ShiftQueue(Decoupled(mem.io.axi.r), additionalLatency) + mem.io.axi.aw <> (0 until additionalLatency).foldLeft(Decoupled(port.bits.aw))((t, _) => Queue(t, 1, pipe=true)) + mem.io.axi.w <> (0 until additionalLatency).foldLeft(Decoupled(port.bits.w ))((t, _) => Queue(t, 1, pipe=true)) + port.bits.b <> (0 until additionalLatency).foldLeft(Decoupled(mem.io.axi.b))((t, _) => Queue(t, 1, pipe=true)) + mem.io.axi.ar <> (0 until additionalLatency).foldLeft(Decoupled(port.bits.ar))((t, _) => Queue(t, 1, pipe=true)) + port.bits.r <> (0 until additionalLatency).foldLeft(Decoupled(mem.io.axi.r))((t, _) => Queue(t, 1, pipe=true)) } } mem.io.clock := port.clock From 025d43cc086df576fbcc3084122f8b6e291575f2 Mon Sep 17 00:00:00 2001 From: Albert Ou Date: Tue, 9 Feb 2021 13:04:09 -0800 Subject: [PATCH 041/225] scripts: Fix parsing of --ignore-qemu option --- scripts/build-toolchains.sh | 1 - 1 file changed, 1 deletion(-) diff --git a/scripts/build-toolchains.sh b/scripts/build-toolchains.sh index 92cd24dc0a..5e299e21a2 100755 --- a/scripts/build-toolchains.sh +++ b/scripts/build-toolchains.sh @@ -48,7 +48,6 @@ do shift RISCV=$(realpath $1) ;; --ignore-qemu ) - shift IGNOREQEMU="true" ;; riscv-tools | esp-tools) TOOLCHAIN=$1 ;; From 3bb81bd707897126596105361ed317c1e4a4b99c Mon Sep 17 00:00:00 2001 From: chick Date: Tue, 9 Feb 2021 13:56:01 -0800 Subject: [PATCH 042/225] Fixes syntax in sentence in barstools docs describing flow --- docs/Tools/Barstools.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/Tools/Barstools.rst b/docs/Tools/Barstools.rst index 6bd8f0363d..f669ba8abf 100644 --- a/docs/Tools/Barstools.rst +++ b/docs/Tools/Barstools.rst @@ -100,7 +100,7 @@ Separating the Top module from the TestHarness module Unlike the FireSim and Software simulation flows, a VLSI flow needs to separate the test harness and the chip (a.k.a. DUT) into separate files. This is necessary to facilitate post-synthesis and post-place-and-route simulation, as the module names in the RTL and gate-level verilog files would collide. -Simulations after you the design goes through a VLSI flow will use the verilog netlist generated from the flow and will need an untouched test harness to drive it. +Simulations, after your design goes through a VLSI flow, will use the verilog netlist generated from the flow and will need an untouched test harness to drive it. Separating these components into separate files makes this straightforward. Without the separation the file that included the test harness would also redefine the DUT which is often disallowed in simulation tools. To do this, there is a FIRRTL ``App`` in :ref:`Tools/Barstools:Barstools` called ``GenerateTopAndHarness``, which runs the appropriate transforms to elaborate the modules separately. From 17eed0dd198b578ee61ed66c83e2340046b53c59 Mon Sep 17 00:00:00 2001 From: chick Date: Tue, 9 Feb 2021 17:30:34 -0800 Subject: [PATCH 043/225] test barstools pr using ci for chipyard --- tools/barstools | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tools/barstools b/tools/barstools index 689ebdc06e..e650d5ba22 160000 --- a/tools/barstools +++ b/tools/barstools @@ -1 +1 @@ -Subproject commit 689ebdc06e29028861f3282d9af6f2304541c9db +Subproject commit e650d5ba22676cde57ff99239b57f96330950b99 From 91d29cbdafaec1924a775555ed098dd7ea9fb9fa Mon Sep 17 00:00:00 2001 From: chick Date: Tue, 9 Feb 2021 17:39:30 -0800 Subject: [PATCH 044/225] Revert "test barstools pr using ci for chipyard" This reverts commit 17eed0dd198b578ee61ed66c83e2340046b53c59. --- tools/barstools | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tools/barstools b/tools/barstools index e650d5ba22..689ebdc06e 160000 --- a/tools/barstools +++ b/tools/barstools @@ -1 +1 @@ -Subproject commit e650d5ba22676cde57ff99239b57f96330950b99 +Subproject commit 689ebdc06e29028861f3282d9af6f2304541c9db From 6e851473c3a3a44cb30854873d4e3938a8adff8b Mon Sep 17 00:00:00 2001 From: chick Date: Thu, 11 Feb 2021 13:05:50 -0800 Subject: [PATCH 045/225] [DO NOT MERGE] This is to test changes to barstools --- tools/barstools | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tools/barstools b/tools/barstools index 689ebdc06e..f37385ca58 160000 --- a/tools/barstools +++ b/tools/barstools @@ -1 +1 @@ -Subproject commit 689ebdc06e29028861f3282d9af6f2304541c9db +Subproject commit f37385ca587ecbde2b7a85113a1061e1b9fd9bab From 851df86aba06b984680feaf27c8a5c036b5df2cc Mon Sep 17 00:00:00 2001 From: Tynan McAuley Date: Thu, 11 Feb 2021 14:55:36 -0800 Subject: [PATCH 046/225] docs: Fix dual-BOOM-single-Rocket documentation There were two problems here: 1. The docs indicated that this should be a dual-BOOM and single-Rocket config, but the config actually had two Rocket cores. 2. Since the doc include string was 'DualBoomAndRocket', it was accidentally matching against the 'DualBoomAndRocketOneHwacha' section, which comes first in the file. So, I created a new 'DualLargeBoomAndSingleRocketConfig' config which only has one Rocket core, and changed the doc include string to 'DualBoomAndSingleRocket'. --- docs/Customization/Heterogeneous-SoCs.rst | 4 ++-- .../chipyard/src/main/scala/config/HeteroConfigs.scala | 9 +++++++-- 2 files changed, 9 insertions(+), 4 deletions(-) diff --git a/docs/Customization/Heterogeneous-SoCs.rst b/docs/Customization/Heterogeneous-SoCs.rst index 6ff88129c3..df5043c3b0 100644 --- a/docs/Customization/Heterogeneous-SoCs.rst +++ b/docs/Customization/Heterogeneous-SoCs.rst @@ -17,8 +17,8 @@ The following example shows a dual core BOOM with a single core Rocket. .. literalinclude:: ../../generators/chipyard/src/main/scala/config/HeteroConfigs.scala :language: scala - :start-after: DOC include start: DualBoomAndRocket - :end-before: DOC include end: DualBoomAndRocket + :start-after: DOC include start: DualBoomAndSingleRocket + :end-before: DOC include end: DualBoomAndSingleRocket Adding Hwachas diff --git a/generators/chipyard/src/main/scala/config/HeteroConfigs.scala b/generators/chipyard/src/main/scala/config/HeteroConfigs.scala index 862ee64385..55d2f77074 100644 --- a/generators/chipyard/src/main/scala/config/HeteroConfigs.scala +++ b/generators/chipyard/src/main/scala/config/HeteroConfigs.scala @@ -31,12 +31,17 @@ class LargeBoomAndHwachaRocketConfig extends Config( // DOC include end: DualBoomAndRocketOneHwacha -// DOC include start: DualBoomAndRocket class DualLargeBoomAndDualRocketConfig extends Config( new boom.common.WithNLargeBooms(2) ++ // add 2 boom cores new freechips.rocketchip.subsystem.WithNBigCores(2) ++ // add 2 rocket cores new chipyard.config.AbstractConfig) -// DOC include end: DualBoomAndRocket + +// DOC include start: DualBoomAndSingleRocket +class DualLargeBoomAndSingleRocketConfig extends Config( + new boom.common.WithNLargeBooms(2) ++ // add 2 boom cores + new freechips.rocketchip.subsystem.WithNBigCores(1) ++ // add 1 rocket core + new chipyard.config.AbstractConfig) +// DOC include end: DualBoomAndSingleRocket class LargeBoomAndRocketWithControlCoreConfig extends Config( new freechips.rocketchip.subsystem.WithNSmallCores(1) ++ // Add a small "control" core From 01948f6cb51203d7453b01bb9dc22e8083857dba Mon Sep 17 00:00:00 2001 From: Tynan McAuley Date: Thu, 11 Feb 2021 15:06:29 -0800 Subject: [PATCH 047/225] docs: Fix dual-BOOM-Rocket-Hwacha documentation The docs indicate that this should be a dual-BOOM and single-Rocket config, with the Hwacha attached to the Rocket. However, the 'LargeBoomAndHwachaRocketConfig' config only has a single Rocket core. Added the 'DualLargeBoomAndHwachaRocketConfig' config to accurately reflect what's stated in the docs. Additionally, this fixes hart numbering to place the Hwacha accelerator on the Rocket core rather than on the BOOM core. --- docs/Customization/Heterogeneous-SoCs.rst | 2 +- .../src/main/scala/config/HeteroConfigs.scala | 13 ++++++++++--- 2 files changed, 11 insertions(+), 4 deletions(-) diff --git a/docs/Customization/Heterogeneous-SoCs.rst b/docs/Customization/Heterogeneous-SoCs.rst index df5043c3b0..380ccb102c 100644 --- a/docs/Customization/Heterogeneous-SoCs.rst +++ b/docs/Customization/Heterogeneous-SoCs.rst @@ -48,7 +48,7 @@ An example is shown below with two BOOM cores, and one Rocket tile with a RoCC a :start-after: DOC include start: DualBoomAndRocketOneHwacha :end-before: DOC include end: DualBoomAndRocketOneHwacha -The ``WithMultiRoCCHwacha`` config fragment assigns a Hwacha accelerator to a particular ``hartId`` (in this case, the ``hartId`` of ``2`` corresponds to the Rocket core). +The ``WithMultiRoCCHwacha`` config fragment assigns a Hwacha accelerator to a particular ``hartId`` (in this case, the ``hartId`` of ``0`` corresponds to the Rocket core). Finally, the ``WithMultiRoCC`` config fragment is called. This config fragment sets the ``BuildRoCC`` key to use the ``MultiRoCCKey`` instead of the default. This must be used after all the RoCC parameters are set because it needs to override the ``BuildRoCC`` parameter. diff --git a/generators/chipyard/src/main/scala/config/HeteroConfigs.scala b/generators/chipyard/src/main/scala/config/HeteroConfigs.scala index 55d2f77074..28cdc4cb20 100644 --- a/generators/chipyard/src/main/scala/config/HeteroConfigs.scala +++ b/generators/chipyard/src/main/scala/config/HeteroConfigs.scala @@ -20,16 +20,23 @@ class HwachaLargeBoomAndHwachaRocketConfig extends Config( new chipyard.config.AbstractConfig) // DOC include end: BoomAndRocketWithHwacha -// DOC include start: DualBoomAndRocketOneHwacha class LargeBoomAndHwachaRocketConfig extends Config( new chipyard.config.WithMultiRoCC ++ // support heterogeneous rocc - new chipyard.config.WithMultiRoCCHwacha(1) ++ // put hwacha on hart-1 (rocket) + new chipyard.config.WithMultiRoCCHwacha(0) ++ // put hwacha on hart-0 (rocket) new hwacha.DefaultHwachaConfig ++ // set default hwacha config keys new boom.common.WithNLargeBooms(1) ++ // add 1 boom core new freechips.rocketchip.subsystem.WithNBigCores(1) ++ // add 1 rocket core new chipyard.config.AbstractConfig) -// DOC include end: DualBoomAndRocketOneHwacha +// DOC include start: DualBoomAndRocketOneHwacha +class DualLargeBoomAndHwachaRocketConfig extends Config( + new chipyard.config.WithMultiRoCC ++ // support heterogeneous rocc + new chipyard.config.WithMultiRoCCHwacha(0) ++ // put hwacha on hart-0 (rocket) + new hwacha.DefaultHwachaConfig ++ // set default hwacha config keys + new boom.common.WithNLargeBooms(2) ++ // add 2 boom cores + new freechips.rocketchip.subsystem.WithNBigCores(1) ++ // add 1 rocket core + new chipyard.config.AbstractConfig) +// DOC include end: DualBoomAndRocketOneHwacha class DualLargeBoomAndDualRocketConfig extends Config( new boom.common.WithNLargeBooms(2) ++ // add 2 boom cores From 4824662323876d09398356ebc9eaeb4b16bb6e8e Mon Sep 17 00:00:00 2001 From: Tynan McAuley Date: Thu, 11 Feb 2021 16:21:36 -0800 Subject: [PATCH 048/225] docs: Document hart ID ordering --- docs/Customization/Heterogeneous-SoCs.rst | 23 +++++++++++++++++++++++ 1 file changed, 23 insertions(+) diff --git a/docs/Customization/Heterogeneous-SoCs.rst b/docs/Customization/Heterogeneous-SoCs.rst index 380ccb102c..7e31108bb0 100644 --- a/docs/Customization/Heterogeneous-SoCs.rst +++ b/docs/Customization/Heterogeneous-SoCs.rst @@ -56,6 +56,29 @@ If this is used earlier in the configuration sequence, then MultiRoCC does not w This config fragment can be changed to put more accelerators on more cores by changing the arguments to cover more ``hartId``'s (i.e. ``WithMultiRoCCHwacha(0,1,3,6,...)``). +Since config fragments are applied from right-to-left (or bottom-to-top as they are formatted here), the right-most config fragment specifying a core (which is ``freechips.rocketchip.subsystem.WithNBigCores`` in the example above) gets the first hart ID. +Consider this config: + +.. code-block:: scala + + class RocketThenBoomHartIdTestConfig extends Config( + new boom.common.WithNLargeBooms(2) ++ + new freechips.rocketchip.subsystem.WithNBigCores(3) ++ + new chipyard.config.AbstractConfig) + +This specifies an SoC with three Rocket cores and two BOOM cores. +The Rocket cores would have hart IDs 0, 1, and 2, while the BOOM cores would have hard IDs 3 and 4. +On the other hand, consider this config which reverses the order of those two fragments: + +.. code-block:: scala + + class BoomThenRocketHartIdTestConfig extends Config( + new freechips.rocketchip.subsystem.WithNBigCores(3) ++ + new boom.common.WithNLargeBooms(2) ++ + new chipyard.config.AbstractConfig) + +This also specifies an SoC with three Rocket cores and two BOOM cores, but because the BOOM config fragment is evaluated before the Rocket config fragment, the hart IDs are reversed. +The BOOM cores would have hart IDs 0 and 1, while the Rocket cores would have hard IDs 2, 3, and 4. .. [1] Note, in this section "core" and "tile" are used interchangeably but there is subtle distinction between a "core" and "tile" ("tile" contains a "core", L1D/I$, PTW). For many places in the documentation, we usually use "core" to mean "tile" (doesn't make a large difference but worth the mention). From d7be658ca5766e8fbaee5fcca6f0cde268a6e3e0 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Sat, 13 Feb 2021 23:39:09 -0800 Subject: [PATCH 049/225] Added information about docker image --- docs/Chipyard-Basics/Initial-Repo-Setup.rst | 16 ++++++++++++++++ 1 file changed, 16 insertions(+) diff --git a/docs/Chipyard-Basics/Initial-Repo-Setup.rst b/docs/Chipyard-Basics/Initial-Repo-Setup.rst index 78301088d8..53bc12d4cb 100644 --- a/docs/Chipyard-Basics/Initial-Repo-Setup.rst +++ b/docs/Chipyard-Basics/Initial-Repo-Setup.rst @@ -66,6 +66,22 @@ You can put this in your ``.bashrc`` or equivalent environment setup file to get These variables need to be set for the ``make`` system to work properly. +Pre-built Docker Image +------------------------------------------- + +An alternative to setting up the Chipyard repository locally is to pull the pre-built Docker image from Docker Hub. The image comes with all necessary dependencies and toolchains installed. +First pull the Docker image. Run: + +.. code-block:: shell + + sudo docker pull ucbbar/chipyard-image + +To run the Docker container in an interactive shell, run: + +.. code-block:: shell + + sudo docker run -it ucbbar/chipyard-image bash + What's Next? ------------------------------------------- From 788b22a26218b02f3da373a19bc95746a6299421 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Sun, 14 Feb 2021 18:32:41 -0800 Subject: [PATCH 050/225] Added more details on docker image --- docs/Chipyard-Basics/Initial-Repo-Setup.rst | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/docs/Chipyard-Basics/Initial-Repo-Setup.rst b/docs/Chipyard-Basics/Initial-Repo-Setup.rst index 53bc12d4cb..eedb19595a 100644 --- a/docs/Chipyard-Basics/Initial-Repo-Setup.rst +++ b/docs/Chipyard-Basics/Initial-Repo-Setup.rst @@ -69,12 +69,12 @@ These variables need to be set for the ``make`` system to work properly. Pre-built Docker Image ------------------------------------------- -An alternative to setting up the Chipyard repository locally is to pull the pre-built Docker image from Docker Hub. The image comes with all necessary dependencies and toolchains installed. -First pull the Docker image. Run: +An alternative to setting up the Chipyard repository locally is to pull the pre-built Docker image from Docker Hub. The image comes with all dependencies installed, chipyard cloned, and toolchains initialized. Each image comes with a tag that corresponds to the version of Chipyard cloned/set-up in that image. Not including a tag during the pull will pull the image with the latest version of chipyard. +First, pull the Docker image. Run: .. code-block:: shell - sudo docker pull ucbbar/chipyard-image + sudo docker pull ucbbar/chipyard-image: To run the Docker container in an interactive shell, run: From 0f3a70306fab5890f1c430383a14d9848ba8d21f Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Sun, 14 Feb 2021 18:33:05 -0800 Subject: [PATCH 051/225] Increased timeout to 20m --- .circleci/config.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index 500b774ac7..46c9f156f6 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -112,7 +112,7 @@ commands: default: "run-tests.sh" timeout: type: string - default: "15m" + default: "20m" steps: - setup-tools: tools-version: "<< parameters.tools-version >>" From f06afa2a750db1a895c1e5d394a51c6b7de9d3f3 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Mon, 15 Feb 2021 00:29:46 -0800 Subject: [PATCH 052/225] Increased timeout to 20m for chipyard-hetero tests --- .circleci/config.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index 46c9f156f6..b3293e8d7c 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -231,7 +231,7 @@ jobs: - run-tests: group-key: "group-cores" project-key: "chipyard-hetero" - timeout: "15m" + timeout: "20m" chipyard-boom-run-tests: executor: main-env steps: From 18aa600ae132dd2d4a440ada4ab76330dbd8f4eb Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Mon, 15 Feb 2021 13:13:10 -0800 Subject: [PATCH 053/225] Fix Chipyard spelling in docs [ci skip] --- docs/Chipyard-Basics/Initial-Repo-Setup.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/Chipyard-Basics/Initial-Repo-Setup.rst b/docs/Chipyard-Basics/Initial-Repo-Setup.rst index eedb19595a..10d50f7ad2 100644 --- a/docs/Chipyard-Basics/Initial-Repo-Setup.rst +++ b/docs/Chipyard-Basics/Initial-Repo-Setup.rst @@ -69,7 +69,7 @@ These variables need to be set for the ``make`` system to work properly. Pre-built Docker Image ------------------------------------------- -An alternative to setting up the Chipyard repository locally is to pull the pre-built Docker image from Docker Hub. The image comes with all dependencies installed, chipyard cloned, and toolchains initialized. Each image comes with a tag that corresponds to the version of Chipyard cloned/set-up in that image. Not including a tag during the pull will pull the image with the latest version of chipyard. +An alternative to setting up the Chipyard repository locally is to pull the pre-built Docker image from Docker Hub. The image comes with all dependencies installed, Chipyard cloned, and toolchains initialized. Each image comes with a tag that corresponds to the version of Chipyard cloned/set-up in that image. Not including a tag during the pull will pull the image with the latest version of Chipyard. First, pull the Docker image. Run: .. code-block:: shell From 3723a53ea3da9f7c5f25c9e3b69a10beee4714b2 Mon Sep 17 00:00:00 2001 From: chick Date: Thu, 18 Feb 2021 09:53:18 -0800 Subject: [PATCH 054/225] trying new fixes for barstools, get all transforms in right order --- tools/barstools | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tools/barstools b/tools/barstools index f37385ca58..bbc8800840 160000 --- a/tools/barstools +++ b/tools/barstools @@ -1 +1 @@ -Subproject commit f37385ca587ecbde2b7a85113a1061e1b9fd9bab +Subproject commit bbc8800840b32a4fb53487519a0f9223a198a352 From 2736e948ab5c9a7edb62d274b87179ef31e0679b Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Thu, 18 Feb 2021 12:43:09 -0800 Subject: [PATCH 055/225] Bump Gemmini for FP configs --- .../chipyard/src/main/scala/config/RocketConfigs.scala | 6 ++++++ generators/gemmini | 2 +- toolchains/esp-tools/riscv-isa-sim | 2 +- 3 files changed, 8 insertions(+), 2 deletions(-) diff --git a/generators/chipyard/src/main/scala/config/RocketConfigs.scala b/generators/chipyard/src/main/scala/config/RocketConfigs.scala index 308ebc39cf..7c4de6f5f0 100644 --- a/generators/chipyard/src/main/scala/config/RocketConfigs.scala +++ b/generators/chipyard/src/main/scala/config/RocketConfigs.scala @@ -34,6 +34,12 @@ class GemminiRocketConfig extends Config( new chipyard.config.AbstractConfig) // DOC include end: GemminiRocketConfig +class FPGemminiRocketConfig extends Config( + new gemmini.GemminiFP32DefaultConfig ++ // use FP32Gemmini systolic array GEMM accelerator + new freechips.rocketchip.subsystem.WithNBigCores(1) ++ + new chipyard.config.AbstractConfig) + + // DOC include start: DmiRocket class dmiRocketConfig extends Config( new chipyard.harness.WithSerialAdapterTiedOff ++ // don't attach an external SimSerial diff --git a/generators/gemmini b/generators/gemmini index e6e14f7117..1a6ed24387 160000 --- a/generators/gemmini +++ b/generators/gemmini @@ -1 +1 @@ -Subproject commit e6e14f711760b976d8eb00c32d0fe2423aeda211 +Subproject commit 1a6ed243874c8306e747d0f80b7773d3e2e1a3cc diff --git a/toolchains/esp-tools/riscv-isa-sim b/toolchains/esp-tools/riscv-isa-sim index fa94e84d4f..a4ed25a96f 160000 --- a/toolchains/esp-tools/riscv-isa-sim +++ b/toolchains/esp-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit fa94e84d4ff3e23ba909a63376b294e444234752 +Subproject commit a4ed25a96fdb47642b39d893b7e1ca36d07700aa From 6024feb27a35addf5f66bad1136b11e5cd1d54a5 Mon Sep 17 00:00:00 2001 From: chick Date: Thu, 18 Feb 2021 18:21:29 -0800 Subject: [PATCH 056/225] try latest changes to circle ci settings --- .circleci/config.yml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index b708165877..09339c6e45 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: ucbbar/chipyard-image:1.0.1 + - image: ucbbar/chipyard-ci-image:84cfc73 environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit @@ -112,7 +112,7 @@ commands: default: "run-tests.sh" timeout: type: string - default: "10m" + default: "20m" steps: - setup-tools: tools-version: "<< parameters.tools-version >>" @@ -231,7 +231,7 @@ jobs: - run-tests: group-key: "group-cores" project-key: "chipyard-hetero" - timeout: "15m" + timeout: "20m" chipyard-boom-run-tests: executor: main-env steps: From d6475349dd3bac2bdac2cf045e965e88b6233a3f Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Thu, 18 Feb 2021 18:56:47 -0800 Subject: [PATCH 057/225] Added more details on which tools are initialized in the docker image --- docs/Chipyard-Basics/Initial-Repo-Setup.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/Chipyard-Basics/Initial-Repo-Setup.rst b/docs/Chipyard-Basics/Initial-Repo-Setup.rst index 10d50f7ad2..a3de22b880 100644 --- a/docs/Chipyard-Basics/Initial-Repo-Setup.rst +++ b/docs/Chipyard-Basics/Initial-Repo-Setup.rst @@ -69,7 +69,7 @@ These variables need to be set for the ``make`` system to work properly. Pre-built Docker Image ------------------------------------------- -An alternative to setting up the Chipyard repository locally is to pull the pre-built Docker image from Docker Hub. The image comes with all dependencies installed, Chipyard cloned, and toolchains initialized. Each image comes with a tag that corresponds to the version of Chipyard cloned/set-up in that image. Not including a tag during the pull will pull the image with the latest version of Chipyard. +An alternative to setting up the Chipyard repository locally is to pull the pre-built Docker image from Docker Hub. The image comes with all dependencies installed, Chipyard cloned, and toolchains initialized. This image sets up baseline Chipyard (not including FireMarshal, FireSim, and Hammer initializations). Each image comes with a tag that corresponds to the version of Chipyard cloned/set-up in that image. Not including a tag during the pull will pull the image with the latest version of Chipyard. First, pull the Docker image. Run: .. code-block:: shell From 9c650dea7c2e2b587a72d486bb3f1c462858c3b7 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Thu, 18 Feb 2021 18:58:11 -0800 Subject: [PATCH 058/225] Removed apt-get upgrade and edited for image size --- dockerfiles/Dockerfile | 15 +++++++-------- 1 file changed, 7 insertions(+), 8 deletions(-) diff --git a/dockerfiles/Dockerfile b/dockerfiles/Dockerfile index 7bb5e3cefc..d9fcfa0f47 100644 --- a/dockerfiles/Dockerfile +++ b/dockerfiles/Dockerfile @@ -9,15 +9,13 @@ MAINTAINER https://groups.google.com/forum/#!forum/chipyard # Install dependencies for ubuntu-req.sh RUN apt-get update && \ - apt-get upgrade -y && \ - apt-get install -y \ + DEBIAN_FRONTEND=noninteractive apt-get install -y --no-install-recommends \ curl \ git \ - sudo - -# Stopping docker keyboard-config from disrupting ubuntu-req.sh -RUN sudo DEBIAN_FRONTEND=noninteractive apt-get install -y keyboard-configuration && \ - sudo DEBIAN_FRONTEND=noninteractive apt-get install -y console-setup + sudo \ + ca-certificates \ + keyboard-configuration \ + console-setup # Adds a new user called riscvuser RUN groupadd --gid 3434 riscvuser \ @@ -37,7 +35,8 @@ ENV PATH="$RISCV/bin:$PATH" RUN git clone https://github.com/ucb-bar/chipyard.git && \ cd chipyard && \ git checkout $CHIPYARD_HASH && \ - ./scripts/ubuntu-req.sh 1>/dev/null + ./scripts/ubuntu-req.sh 1>/dev/null && \ + sudo rm -rf /var/lib/apt/lists/* # BUILD IMAGE WITH TOOLCHAINS From b12fa04dbb29d43bcd9ef897925ca3dea9eb1d51 Mon Sep 17 00:00:00 2001 From: Ella Schwarz Date: Thu, 18 Feb 2021 19:40:04 -0800 Subject: [PATCH 059/225] Updated docker image --- .circleci/config.yml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index b3293e8d7c..7dcbc80957 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: ucbbar/chipyard-ci-image:84cfc73 + - image: ucbbar/chipyard-ci-image:9c650dea environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit @@ -112,7 +112,7 @@ commands: default: "run-tests.sh" timeout: type: string - default: "20m" + default: "25m" steps: - setup-tools: tools-version: "<< parameters.tools-version >>" From 0ca13a4ac43daffb1753d3c57c5fdf305ea42572 Mon Sep 17 00:00:00 2001 From: chick Date: Fri, 19 Feb 2021 14:34:25 -0800 Subject: [PATCH 060/225] Revert "try latest changes to circle ci settings" This reverts commit 6024feb27a35addf5f66bad1136b11e5cd1d54a5. --- .circleci/config.yml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index 09339c6e45..b708165877 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: ucbbar/chipyard-ci-image:84cfc73 + - image: ucbbar/chipyard-image:1.0.1 environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit @@ -112,7 +112,7 @@ commands: default: "run-tests.sh" timeout: type: string - default: "20m" + default: "10m" steps: - setup-tools: tools-version: "<< parameters.tools-version >>" @@ -231,7 +231,7 @@ jobs: - run-tests: group-key: "group-cores" project-key: "chipyard-hetero" - timeout: "20m" + timeout: "15m" chipyard-boom-run-tests: executor: main-env steps: From 12d45533bf8d11313923704e5f29421df627d0e1 Mon Sep 17 00:00:00 2001 From: chick Date: Fri, 19 Feb 2021 14:38:56 -0800 Subject: [PATCH 061/225] undo circleci docker image change test; up 2 timeouts --- .circleci/config.yml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index b708165877..8d81c12ea7 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -112,7 +112,7 @@ commands: default: "run-tests.sh" timeout: type: string - default: "10m" + default: "20m" steps: - setup-tools: tools-version: "<< parameters.tools-version >>" @@ -231,7 +231,7 @@ jobs: - run-tests: group-key: "group-cores" project-key: "chipyard-hetero" - timeout: "15m" + timeout: "20m" chipyard-boom-run-tests: executor: main-env steps: From 5b6a2b3fc0a9d8420610fd2c8d83521a4d7f0a48 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Mon, 22 Feb 2021 11:46:30 -0800 Subject: [PATCH 062/225] Bump barstools --- tools/barstools | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tools/barstools b/tools/barstools index bbc8800840..a3711c4e19 160000 --- a/tools/barstools +++ b/tools/barstools @@ -1 +1 @@ -Subproject commit bbc8800840b32a4fb53487519a0f9223a198a352 +Subproject commit a3711c4e19911b57b21bdcf8d459d19795f3201d From 08555dbafc007adff03540add7e3349df971c031 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Mon, 22 Feb 2021 11:47:14 -0800 Subject: [PATCH 063/225] Use origin/dev config.yml --- .circleci/config.yml | 5 ++--- 1 file changed, 2 insertions(+), 3 deletions(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index 8d81c12ea7..7dcbc80957 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: ucbbar/chipyard-image:1.0.1 + - image: ucbbar/chipyard-ci-image:9c650dea environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit @@ -112,7 +112,7 @@ commands: default: "run-tests.sh" timeout: type: string - default: "20m" + default: "25m" steps: - setup-tools: tools-version: "<< parameters.tools-version >>" @@ -520,4 +520,3 @@ workflows: - prepare-chipyard-fpga: requires: - install-riscv-toolchain - From 8cd104ddc2542f1728b6c53dcdecfcd14ee734fc Mon Sep 17 00:00:00 2001 From: Darius Rad Date: Mon, 22 Feb 2021 17:20:29 -0500 Subject: [PATCH 064/225] Support specifying architecture when building tools. Add --arch option to build-toolchains.sh to support building other toolchain variants (e.g., rv32gc). --- scripts/build-toolchains.sh | 24 +++++++++++++++++++----- 1 file changed, 19 insertions(+), 5 deletions(-) diff --git a/scripts/build-toolchains.sh b/scripts/build-toolchains.sh index 5e299e21a2..ec2920a2d8 100755 --- a/scripts/build-toolchains.sh +++ b/scripts/build-toolchains.sh @@ -21,6 +21,7 @@ usage() { echo " --prefix PREFIX : Install destination. If unset, defaults to $(pwd)/riscv-tools-install" echo " or $(pwd)/esp-tools-install" echo " --ignore-qemu : Ignore installing QEMU" + echo " --arch -a : Architecture (e.g., rv64gc)" echo " --help -h : Display this message" exit "$1" } @@ -37,6 +38,7 @@ TOOLCHAIN="riscv-tools" EC2FASTINSTALL="false" IGNOREQEMU="" RISCV="" +ARCH="" # getopts does not support long options, and is inflexible while [ "$1" != "" ]; @@ -49,6 +51,9 @@ do RISCV=$(realpath $1) ;; --ignore-qemu ) IGNOREQEMU="true" ;; + -a | --arch ) + shift + ARCH=$1 ;; riscv-tools | esp-tools) TOOLCHAIN=$1 ;; ec2fast ) @@ -65,6 +70,15 @@ if [ -z "$RISCV" ] ; then RISCV="$(pwd)/$INSTALL_DIR" fi +if [ -z "$ARCH" ] ; then + XLEN=64 +elif [[ "$ARCH" =~ ^rv(32|64)((i?m?a?f?d?|g?)c?)$ ]]; then + XLEN=${BASH_REMATCH[1]} +else + error "invalid arch $ARCH" + usage 1 +fi + echo "Installing toolchain to $RISCV" # install risc-v tools @@ -118,7 +132,7 @@ else esac module_prepare riscv-gnu-toolchain qemu - module_build riscv-gnu-toolchain --prefix="${RISCV}" --with-cmodel=medany + module_build riscv-gnu-toolchain --prefix="${RISCV}" --with-cmodel=medany ${ARCH:+--with-arch=${ARCH}} echo '==> Building GNU/Linux toolchain' module_make riscv-gnu-toolchain linux fi @@ -129,15 +143,15 @@ echo '==> Installing libfesvr static library' module_make riscv-isa-sim libfesvr.a cp -p "${SRCDIR}/riscv-isa-sim/build/libfesvr.a" "${RISCV}/lib/" -CC= CXX= module_all riscv-pk --prefix="${RISCV}" --host=riscv64-unknown-elf -module_all riscv-tests --prefix="${RISCV}/riscv64-unknown-elf" +CC= CXX= module_all riscv-pk --prefix="${RISCV}" --host=riscv${XLEN}-unknown-elf +module_all riscv-tests --prefix="${RISCV}/riscv${XLEN}-unknown-elf" --with-xlen=${XLEN} # Common tools (not in any particular toolchain dir) -CC= CXX= SRCDIR="$(pwd)/toolchains" module_all libgloss --prefix="${RISCV}/riscv64-unknown-elf" --host=riscv64-unknown-elf +CC= CXX= SRCDIR="$(pwd)/toolchains" module_all libgloss --prefix="${RISCV}/riscv${XLEN}-unknown-elf" --host=riscv${XLEN}-unknown-elf if [ -z "$IGNOREQEMU" ] ; then -SRCDIR="$(pwd)/toolchains" module_all qemu --prefix="${RISCV}" --target-list=riscv64-softmmu +SRCDIR="$(pwd)/toolchains" module_all qemu --prefix="${RISCV}" --target-list=riscv${XLEN}-softmmu fi # make Dromajo From 9957538d383417425b325e3acc49ee4ba58d4389 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 25 Feb 2021 13:54:53 -0800 Subject: [PATCH 065/225] Enable support for pullup R's on GPIOs --- .../scala/vcu118/bringup/BringupGPIOs.scala | 26 +++++++++---------- .../scala/vcu118/bringup/CustomOverlays.scala | 7 ++--- 2 files changed, 17 insertions(+), 16 deletions(-) diff --git a/fpga/src/main/scala/vcu118/bringup/BringupGPIOs.scala b/fpga/src/main/scala/vcu118/bringup/BringupGPIOs.scala index 1e11dfa2d4..40c33bfa4e 100644 --- a/fpga/src/main/scala/vcu118/bringup/BringupGPIOs.scala +++ b/fpga/src/main/scala/vcu118/bringup/BringupGPIOs.scala @@ -3,21 +3,21 @@ package chipyard.fpga.vcu118.bringup import scala.collection.mutable.{LinkedHashMap} object BringupGPIOs { - // map of the pin name (akin to die pin name) to (fpga package pin, IOSTANDARD) + // map of the pin name (akin to die pin name) to (fpga package pin, IOSTANDARD, add pullup resistor?) val pinMapping = LinkedHashMap( // these connect to LEDs and switches on the VCU118 (and use 1.2V) - "led0" -> ("AT32", "LVCMOS12"), // 0 - "led1" -> ("AV34", "LVCMOS12"), // 1 - "led2" -> ("AY30", "LVCMOS12"), // 2 - "led3" -> ("BB32", "LVCMOS12"), // 3 - "led4" -> ("BF32", "LVCMOS12"), // 4 - "led5" -> ("AU37", "LVCMOS12"), // 5 - "led6" -> ("AV36", "LVCMOS12"), // 6 - "led7" -> ("BA37", "LVCMOS12"), // 7 - "sw0" -> ("B17", "LVCMOS12"), // 8 - "sw1" -> ("G16", "LVCMOS12"), // 9 - "sw2" -> ("J16", "LVCMOS12"), // 10 - "sw3" -> ("D21", "LVCMOS12") // 11 + "led0" -> ("AT32", "LVCMOS12", false), // 0 + "led1" -> ("AV34", "LVCMOS12", false), // 1 + "led2" -> ("AY30", "LVCMOS12", false), // 2 + "led3" -> ("BB32", "LVCMOS12", false), // 3 + "led4" -> ("BF32", "LVCMOS12", false), // 4 + "led5" -> ("AU37", "LVCMOS12", false), // 5 + "led6" -> ("AV36", "LVCMOS12", false), // 6 + "led7" -> ("BA37", "LVCMOS12", false), // 7 + "sw0" -> ("B17", "LVCMOS12", false), // 8 + "sw1" -> ("G16", "LVCMOS12", false), // 9 + "sw2" -> ("J16", "LVCMOS12", false), // 10 + "sw3" -> ("D21", "LVCMOS12", false) // 11 ) // return list of names (ordered) diff --git a/fpga/src/main/scala/vcu118/bringup/CustomOverlays.scala b/fpga/src/main/scala/vcu118/bringup/CustomOverlays.scala index a47a6a3b50..43d559f0d6 100644 --- a/fpga/src/main/scala/vcu118/bringup/CustomOverlays.scala +++ b/fpga/src/main/scala/vcu118/bringup/CustomOverlays.scala @@ -94,14 +94,15 @@ class BringupGPIOVCU118PlacedOverlay(val shell: VCU118ShellBasicOverlays, name: require(gpioNames.length == io.gpio.length) val packagePinsWithIOStdWithPackageIOs = (gpioNames zip io.gpio).map { case (name, io) => - val (pin, iostd) = BringupGPIOs.pinMapping(name) - (pin, iostd, IOPin(io)) + val (pin, iostd, pullupEnable) = BringupGPIOs.pinMapping(name) + (pin, iostd, pullupEnable, IOPin(io)) } - packagePinsWithIOStdWithPackageIOs foreach { case (pin, iostd, io) => { + packagePinsWithIOStdWithPackageIOs foreach { case (pin, iostd, pullupEnable, io) => { shell.xdc.addPackagePin(io, pin) shell.xdc.addIOStandard(io, iostd) if (iostd == "LVCMOS12") { shell.xdc.addDriveStrength(io, "8") } + if (pullupEnable) { shell.xdc.addPullup(io) } } } } } } From 6145b1df4062e4cabe56fc55be71510f2c366cb7 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 25 Feb 2021 21:25:03 -0800 Subject: [PATCH 066/225] Use "tile" instead of "core" to assign freq's --- generators/chipyard/src/main/scala/ConfigFragments.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index 667e514896..3f18604f57 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -193,7 +193,7 @@ class WithTLBackingMemory extends Config((site, here, up) => { case ExtTLMem => up(ExtMem, site) // enable TL backing memory }) -class WithTileFrequency(fMHz: Double) extends ClockNameContainsAssignment("core", fMHz) +class WithTileFrequency(fMHz: Double) extends ClockNameContainsAssignment("tile", fMHz) class WithPeripheryBusFrequencyAsDefault extends Config((site, here, up) => { case DefaultClockFrequencyKey => (site(PeripheryBusKey).dtsFrequency.get / (1000 * 1000)).toDouble From 1e2f778a6705033d67ccbcc932e66083e4646f15 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Thu, 25 Feb 2021 23:00:39 -0800 Subject: [PATCH 067/225] Bump gemmini for config changes --- generators/chipyard/src/main/scala/ConfigFragments.scala | 7 ++++--- generators/gemmini | 2 +- toolchains/esp-tools/riscv-isa-sim | 2 +- 3 files changed, 6 insertions(+), 5 deletions(-) diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index 667e514896..a861f249ad 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -20,7 +20,7 @@ import testchipip._ import tracegen.{TraceGenSystem} import hwacha.{Hwacha} -import gemmini.{Gemmini, GemminiConfigs} +import gemmini._ import boom.common.{BoomTileAttachParams} import cva6.{CVA6TileAttachParams} @@ -108,11 +108,12 @@ class WithMultiRoCCHwacha(harts: Int*) extends Config( }) ) -class WithMultiRoCCGemmini(harts: Int*) extends Config((site, here, up) => { +class WithMultiRoCCGemmini[T <: Data : Arithmetic, U <: Data, V <: Data]( + harts: Int*)(gemminiConfig: GemminiArrayConfig[T,U,V] = GemminiConfigs.defaultConfig) extends Config((site, here, up) => { case MultiRoCCKey => up(MultiRoCCKey, site) ++ harts.distinct.map { i => (i -> Seq((p: Parameters) => { implicit val q = p - val gemmini = LazyModule(new Gemmini(OpcodeSet.custom3, GemminiConfigs.defaultConfig)) + val gemmini = LazyModule(new Gemmini(gemminiConfig)) gemmini })) } diff --git a/generators/gemmini b/generators/gemmini index 1a6ed24387..9a92fa07e7 160000 --- a/generators/gemmini +++ b/generators/gemmini @@ -1 +1 @@ -Subproject commit 1a6ed243874c8306e747d0f80b7773d3e2e1a3cc +Subproject commit 9a92fa07e7432376331e5f013cc00695d6ac783a diff --git a/toolchains/esp-tools/riscv-isa-sim b/toolchains/esp-tools/riscv-isa-sim index a4ed25a96f..cd7d15b889 160000 --- a/toolchains/esp-tools/riscv-isa-sim +++ b/toolchains/esp-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit a4ed25a96fdb47642b39d893b7e1ca36d07700aa +Subproject commit cd7d15b889844f730fa8e6d5688555ec584f876d From e205460986140d5ba02dacb7c58e842a84d8b035 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Fri, 26 Feb 2021 17:00:40 -0800 Subject: [PATCH 068/225] Bump esp-isa-sim --- toolchains/esp-tools/riscv-isa-sim | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/toolchains/esp-tools/riscv-isa-sim b/toolchains/esp-tools/riscv-isa-sim index cd7d15b889..86265d02e8 160000 --- a/toolchains/esp-tools/riscv-isa-sim +++ b/toolchains/esp-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit cd7d15b889844f730fa8e6d5688555ec584f876d +Subproject commit 86265d02e8abea3b367114393d6b0661fd35b156 From 79eccceadd8478d9ea38236468b559e6248e3626 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Sat, 27 Feb 2021 22:55:25 +0000 Subject: [PATCH 069/225] Small comments to Clocks.scala --- generators/chipyard/src/main/scala/Clocks.scala | 3 +++ 1 file changed, 3 insertions(+) diff --git a/generators/chipyard/src/main/scala/Clocks.scala b/generators/chipyard/src/main/scala/Clocks.scala index 9ca6a801e2..0ba31abbb7 100644 --- a/generators/chipyard/src/main/scala/Clocks.scala +++ b/generators/chipyard/src/main/scala/Clocks.scala @@ -75,15 +75,18 @@ object ClockingSchemeGenerators { } val aggregator = LazyModule(new ClockGroupAggregator("allClocks")).node + // provides the implicit clock to the system (chiptop.implicitClockSinkNode := ClockGroup() := aggregator) + // provides the system clock (ex. the bus clocks) (systemAsyncClockGroup :*= resetSetter :*= ClockGroupNamePrefixer() :*= aggregator) val referenceClockSource = ClockSourceNode(Seq(ClockSourceParameters())) + // provides all the divided clocks (from the top-level clock) (aggregator := ClockGroupFrequencySpecifier(p(ClockFrequencyAssignersKey), p(DefaultClockFrequencyKey)) := ClockGroupResetSynchronizer() From a3e22c78de66131c07e528db7aef2614a803f492 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Sun, 28 Feb 2021 22:27:18 +0000 Subject: [PATCH 070/225] First attempt at getting Offchip AXI port --- .../src/main/scala/ConfigFragments.scala | 18 +++++- .../src/main/scala/HarnessBinders.scala | 23 ++++++++ .../chipyard/src/main/scala/IOBinders.scala | 30 +++++++++- .../main/scala/config/AbstractConfig.scala | 43 +++++++++++++++ .../src/main/scala/config/RocketConfigs.scala | 52 +++++++++++++++++- .../src/main/scala/BridgeBinders.scala | 28 ++++++++++ .../src/main/scala/TargetConfigs.scala | 55 +++++++++++++++++++ generators/testchipip | 2 +- 8 files changed, 247 insertions(+), 4 deletions(-) diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index 667e514896..f2d77a5f73 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -193,7 +193,23 @@ class WithTLBackingMemory extends Config((site, here, up) => { case ExtTLMem => up(ExtMem, site) // enable TL backing memory }) -class WithTileFrequency(fMHz: Double) extends ClockNameContainsAssignment("core", fMHz) +class WithOffchipBackingMemory extends Config((site, here, up) => { + case ExtMem => None + case SerialTLKey => Some(SerialTLParams( + memParams = { + val memPortParams = up(ExtMem, site).get + + require(memPortParams.nMemoryChannels == 1) + + memPortParams.master + }, + width = 4, + isMemoryDevice = true + )) +}) + +class WithTileFrequency(fMHz: Double) extends ClockNameContainsAssignment("tile", fMHz) +class WithSpecificTileFrequency(hartId: Int, fMHz: Double) extends chipyard.ClockNameContainsAssignment(s"tile_$hartId", fMHz) class WithPeripheryBusFrequencyAsDefault extends Config((site, here, up) => { case DefaultClockFrequencyKey => (site(PeripheryBusKey).dtsFrequency.get / (1000 * 1000)).toDouble diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index 643b2065ad..95382f6e2a 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -139,6 +139,29 @@ class WithSimAXIMem extends OverrideHarnessBinder({ } }) +class WithOffchipNetwork(offchipFreqMHz: Double = 1000) extends OverrideHarnessBinder({ + (system: CanHavePeripheryTLSerial, th: HasHarnessSignalReferences, ports: Seq[ClockedAndResetIO[ClockedIO[SerialIO]]]) => { + implicit val p = chipyard.iobinders.GetSystemParameters(system) + + ports.map({ port => + val offchipNetwork = SerialAdapter.connectOffChipNetwork(system.serdesser.get, port, th.harnessReset) + val success = SerialAdapter.connectSimSerial(offchipNetwork.module.io.tsi_ser, port.bits.clock, th.harnessReset.asBool) + when (success) { th.success := true.B } + + // connect SimAxiMem + (offchipNetwork.mem_axi4 zip offchipNetwork.memAXI4Node.edges.in).map { case (off_port, edge) => + val memSize = p(SerialTLKey).get.memParams.size + val lineSize = p(CacheBlockBytes) + val mem = Module(new SimDRAM(memSize, lineSize, offchipFreqMHz.toInt*1000000, edge.bundle)).suggestName("simdram") + mem.io.axi <> off_port + // use the clk from the ClockAndResetIO + mem.io.clock := port.clock + mem.io.reset := port.reset + } + }) + } +}) + class WithBlackBoxSimMem(additionalLatency: Int = 0) extends OverrideHarnessBinder({ (system: CanHaveMasterAXI4MemPort, th: HasHarnessSignalReferences, ports: Seq[ClockedAndResetIO[AXI4Bundle]]) => { val p: Parameters = chipyard.iobinders.GetSystemParameters(system) diff --git a/generators/chipyard/src/main/scala/IOBinders.scala b/generators/chipyard/src/main/scala/IOBinders.scala index ab6ccdf8d2..eff75ae260 100644 --- a/generators/chipyard/src/main/scala/IOBinders.scala +++ b/generators/chipyard/src/main/scala/IOBinders.scala @@ -11,7 +11,7 @@ import freechips.rocketchip.subsystem._ import freechips.rocketchip.system.{SimAXIMem} import freechips.rocketchip.amba.axi4.{AXI4Bundle, AXI4SlaveNode, AXI4MasterNode, AXI4EdgeParameters} import freechips.rocketchip.util._ -import freechips.rocketchip.prci.{ClockSinkNode, ClockSinkParameters} +import freechips.rocketchip.prci.{ClockSinkNode, ClockSinkParameters, ClockParameters, ClockGroup} import freechips.rocketchip.groundtest.{GroundTestSubsystemModuleImp, GroundTestSubsystem} import sifive.blocks.devices.gpio._ @@ -260,6 +260,34 @@ class WithSerialTLIOCells extends OverrideIOBinder({ }).getOrElse((Nil, Nil)) }) +class WithSerialTLAndOffchipClockPunchthrough(offchipFreqMHz: Double = 1000) extends OverrideLazyIOBinder({ + (system: CanHavePeripheryTLSerial) => { + implicit val p: Parameters = GetSystemParameters(system) + + val serial_clked_tl = system.serial_tl + val sys = system.asInstanceOf[BaseSubsystem] + + val externalDRAMClockSinkNode = ClockSinkNode(Seq(ClockSinkParameters(take = Some(ClockParameters(freqMHz = offchipFreqMHz))))) + (externalDRAMClockSinkNode + := ClockGroup()(p, ValName("OffchipClocking")) + := sys.asyncClockGroupsNode) + def clockBundle = externalDRAMClockSinkNode.in.head._1 + + InModuleBody { + // 1st clock+reset is for offchip, 2nd clock (attached to serial io is the serial clock) + val port: Option[ClockedAndResetIO[ClockedIO[SerialIO]]] = serial_clked_tl.map({ s_io => + val p = IO(new ClockedAndResetIO(DataMirror.internal.chiselTypeClone[ClockedIO[SerialIO]](s_io))).suggestName(s"serial_tl_offchip_clk") + p.bits <> s_io + p.clock := clockBundle.clock + p.reset := clockBundle.reset + p + }) + + // return the ports and no IO cells + (Seq(port.get), Nil) + } + } +}) class WithAXI4MemPunchthrough extends OverrideLazyIOBinder({ (system: CanHaveMasterAXI4MemPort) => { diff --git a/generators/chipyard/src/main/scala/config/AbstractConfig.scala b/generators/chipyard/src/main/scala/config/AbstractConfig.scala index a70ae4dfd7..043bd45ee2 100644 --- a/generators/chipyard/src/main/scala/config/AbstractConfig.scala +++ b/generators/chipyard/src/main/scala/config/AbstractConfig.scala @@ -55,3 +55,46 @@ class AbstractConfig extends Config( new chipyard.WithMulticlockCoherentBusTopology ++ // hierarchical buses including mbus+l2 new freechips.rocketchip.system.BaseConfig) // "base" rocketchip system +class AbstractOffChipConfig extends Config( + // The HarnessBinders control generation of hardware in the TestHarness + new chipyard.harness.WithUARTAdapter ++ // add UART adapter to display UART on stdout, if uart is present + new chipyard.harness.WithOffchipNetwork ++ // add SimDRAM DRAM model for axi4 backing memory over the SerDes link, if axi4 mem is enabled + new chipyard.harness.WithSimDebug ++ // add SimJTAG or SimDTM adapters if debug module is enabled + new chipyard.harness.WithGPIOTiedOff ++ // tie-off chiptop GPIOs, if GPIOs are present + new chipyard.harness.WithSimSPIFlashModel ++ // add simulated SPI flash memory, if SPI is enabled + new chipyard.harness.WithSimAXIMMIO ++ // add SimAXIMem for axi4 mmio port, if enabled + new chipyard.harness.WithTieOffInterrupts ++ // tie-off interrupt ports, if present + new chipyard.harness.WithTieOffL2FBusAXI ++ // tie-off external AXI4 master, if present + + // The IOBinders instantiate ChipTop IOs to match desired digital IOs + // IOCells are generated for "Chip-like" IOs, while simulation-only IOs are directly punched through + new chipyard.iobinders.WithAXI4MemPunchthrough ++ + new chipyard.iobinders.WithAXI4MMIOPunchthrough ++ + new chipyard.iobinders.WithL2FBusAXI4Punchthrough ++ + new chipyard.iobinders.WithBlockDeviceIOPunchthrough ++ + new chipyard.iobinders.WithNICIOPunchthrough ++ + new chipyard.iobinders.WithSerialTLAndOffchipClockPunchthrough ++ + new chipyard.iobinders.WithDebugIOCells ++ + new chipyard.iobinders.WithUARTIOCells ++ + new chipyard.iobinders.WithGPIOCells ++ + new chipyard.iobinders.WithUARTIOCells ++ + new chipyard.iobinders.WithSPIIOCells ++ + new chipyard.iobinders.WithTraceIOPunchthrough ++ + new chipyard.iobinders.WithExtInterruptIOCells ++ + + new chipyard.config.WithOffchipBackingMemory ++ + new chipyard.config.WithBootROM ++ // use default bootrom + new chipyard.config.WithUART ++ // add a UART + new chipyard.config.WithL2TLBs(1024) ++ // use L2 TLBs + new chipyard.config.WithNoSubsystemDrivenClocks ++ // drive the subsystem diplomatic clocks from ChipTop instead of using implicit clocks + new chipyard.config.WithInheritBusFrequencyAssignments ++ // Unspecified clocks within a bus will receive the bus frequency if set + new chipyard.config.WithPeripheryBusFrequencyAsDefault ++ // Unspecified frequencies with match the pbus frequency (which is always set) + new chipyard.config.WithMemoryBusFrequency(100.0) ++ // Default 100 MHz mbus + new chipyard.config.WithPeripheryBusFrequency(100.0) ++ // Default 100 MHz pbus + new freechips.rocketchip.subsystem.WithJtagDTM ++ // set the debug module to expose a JTAG port + new freechips.rocketchip.subsystem.WithNoMMIOPort ++ // no top-level MMIO master port (overrides default set in rocketchip) + new freechips.rocketchip.subsystem.WithNoSlavePort ++ // no top-level MMIO slave port (overrides default set in rocketchip) + new freechips.rocketchip.subsystem.WithInclusiveCache ++ // use Sifive L2 cache + new freechips.rocketchip.subsystem.WithNExtTopInterrupts(0) ++ // no external interrupts + new chipyard.WithMulticlockCoherentBusTopology ++ // hierarchical buses including mbus+l2 + new freechips.rocketchip.system.BaseConfig) // "base" rocketchip system diff --git a/generators/chipyard/src/main/scala/config/RocketConfigs.scala b/generators/chipyard/src/main/scala/config/RocketConfigs.scala index 7c4de6f5f0..2e6eb40fd4 100644 --- a/generators/chipyard/src/main/scala/config/RocketConfigs.scala +++ b/generators/chipyard/src/main/scala/config/RocketConfigs.scala @@ -1,7 +1,8 @@ package chipyard import freechips.rocketchip.config.{Config} -import freechips.rocketchip.diplomacy.{AsynchronousCrossing} +import freechips.rocketchip.diplomacy.{AsynchronousCrossing, RationalCrossing} +import freechips.rocketchip.util.{SlowToFast} // -------------- // Rocket Configs @@ -212,3 +213,52 @@ class LBWIFRocketConfig extends Config( new freechips.rocketchip.subsystem.WithNoMemPort ++ // remove AXI4 backing memory new freechips.rocketchip.subsystem.WithNBigCores(1) ++ new chipyard.config.AbstractConfig) + +// DEBUG: To check if UART works (with everything default but serdes slow and ramp up to 1GHz) +class DebugOffchipConfig extends Config( + new testchipip.WithSerialTLWidth(32) ++ + new testchipip.WithAsynchronousSerialSlaveCrossing ++ // SerDes <-async-> mbus. Remember SerDes master tied to fbus + new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ // fbus slow -> sbus fast + new chipyard.config.WithFrontBusFrequency(3200 / 4) ++ // controls SerDes freq. + + new chipyard.config.WithPeripheryBusFrequencyAsDefault ++ // everything default to 3.2GHz + new chipyard.config.WithPeripheryBusFrequency(3200) ++ + new chipyard.config.WithMemoryBusFrequency(3200) ++ + + new freechips.rocketchip.subsystem.WithNBigCores(1) ++ // add 1 rocket cores + new chipyard.config.AbstractOffChipConfig) // new offchip network where AXI is in harness + +// have pbus=3.2GHz,/1, but others are different (fbus=/4, other=/2) +class DebugOffchip2Config extends Config( + new chipyard.config.WithCbusToPbusCrossingType(RationalCrossing(SlowToFast)) ++ + new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ + + new chipyard.config.WithSystemBusFrequencyAsDefault ++ + new chipyard.config.WithSystemBusFrequency(3200 / 2) ++ + + new chipyard.config.WithFrontBusFrequency(3200 / 4) ++ + new chipyard.config.WithPeripheryBusFrequency(3200) ++ + new chipyard.config.WithMemoryBusFrequency(3200) ++ + + new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ // fbus slow -> sbus fast + new testchipip.WithAsynchronousSerialSlaveCrossing ++ // Add Async crossing between serial and MBUS. Its master-side is tied to the FBUS + + new freechips.rocketchip.subsystem.WithNBigCores(1) ++ // add 1 rocket cores + new chipyard.config.AbstractOffChipConfig) + +// fbus=/2, other=/1 +class DebugOffchip3Config extends Config( + new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ + + new chipyard.config.WithSystemBusFrequencyAsDefault ++ + new chipyard.config.WithSystemBusFrequency(4000) ++ + new chipyard.config.WithPeripheryBusFrequency(4000) ++ + new chipyard.config.WithMemoryBusFrequency(4000) ++ + + new chipyard.config.WithFrontBusFrequency(4000 / 2) ++ + + new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ // fbus slow -> sbus fast + new testchipip.WithAsynchronousSerialSlaveCrossing ++ // Add Async crossing between serial and MBUS. Its master-side is tied to the FBUS + + new freechips.rocketchip.subsystem.WithNBigCores(1) ++ // add 1 rocket cores + new chipyard.config.AbstractOffChipConfig) diff --git a/generators/firechip/src/main/scala/BridgeBinders.scala b/generators/firechip/src/main/scala/BridgeBinders.scala index bdbb7d4414..34a18f8543 100644 --- a/generators/firechip/src/main/scala/BridgeBinders.scala +++ b/generators/firechip/src/main/scala/BridgeBinders.scala @@ -103,6 +103,34 @@ class WithBlockDeviceBridge extends OverrideHarnessBinder({ } }) +class WithOffchipNetworkSerialAXIBridge extends OverrideHarnessBinder({ + (system: CanHavePeripheryTLSerial, th: FireSim, ports: Seq[ClockedAndResetIO[ClockedIO[SerialIO]]]) => { + implicit val p = GetSystemParameters(system) + + ports.map({ port => + val offchipNetwork = SerialAdapter.connectOffChipNetwork(system.serdesser.get, port, th.harnessReset) + SerialBridge(port.bits.clock, offchipNetwork.module.io.tsi_ser, p(ExtMem).map(_ => MainMemoryConsts.globalName)) + + // connect SimAxiMem + (offchipNetwork.mem_axi4 zip offchipNetwork.memAXI4Node.edges.in).map { case (axi4, edge) => + val nastiKey = NastiParameters(axi4.r.bits.data.getWidth, + axi4.ar.bits.addr.getWidth, + axi4.ar.bits.id.getWidth) + system match { + case s: BaseSubsystem => FASEDBridge(port.clock, axi4, port.reset.asBool, + CompleteConfig(p(firesim.configs.MemModelKey), + nastiKey, + Some(AXI4EdgeSummary(edge)), + Some(MainMemoryConsts.globalName))) + case _ => throw new Exception("Attempting to attach FASED Bridge to misconfigured design") + } + } + }) + + Nil + } +}) + class WithFASEDBridge extends OverrideHarnessBinder({ (system: CanHaveMasterAXI4MemPort, th: FireSim, ports: Seq[ClockedAndResetIO[AXI4Bundle]]) => { implicit val p: Parameters = GetSystemParameters(system) diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index 92cd02c3d3..619137fdfd 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -215,3 +215,58 @@ class FireSim16LargeBoomConfig extends Config( new WithFireSimConfigTweaks ++ new boom.common.WithNLargeBooms(16) ++ new chipyard.config.AbstractConfig) + +class WithOffchipAXINoClksSetup(pbusFreqMHz: BigInt = 3200) extends Config( + // normal bridges + new offchip bridge + new WithNICBridge ++ + new WithUARTBridge ++ + new WithBlockDeviceBridge ++ + new WithOffchipNetworkSerialAXIBridge ++ // NEW BRIDGE COMBINING SERIAL/AXI + new WithFireSimMultiCycleRegfile ++ + new WithFireSimFAME5 ++ + //new WithTracerVBridge ++ + new WithFireSimIOCellModels ++ + + // new tweaks + // Required: Bake in the default FASED memory model + new WithDefaultMemModel ++ + // Required*: Uses FireSim ClockBridge and PeekPokeBridge to drive the system with a single clock/reset + new WithFireSimSimpleClocks ++ + // Required*: When using FireSim-as-top to provide a correct path to the target bootrom source + new WithBootROM ++ + // Required: Existing FAME-1 transform cannot handle black-box clock gates + new WithoutClockGating ++ + // Required*: Removes thousands of assertions that would be synthesized (* pending PriorityMux bugfix) + new WithoutTLMonitors ++ + // Optional: Adds IO to attach tracerV bridges + //new chipyard.config.WithTraceIO ++ + // Optional: Request 16 GiB of target-DRAM by default (can safely request up to 32 GiB on F1) + new freechips.rocketchip.subsystem.WithExtMemSize((1 << 30) * 16L) ++ + // Optional: Removing this will require using an initramfs under linux + new testchipip.WithBlockDevice ++ + // Required*: Scale default baud rate with periphery bus frequency + // Rough math... + // NEW: + // pbus @ 500MHz.... baud @ 576000 = 115200 * 5 (somehow the default was 100M) + // OLD: pbus @ 3200MHz, HW baud @ 3686400L AKA 115200 * 32 + // OLD: Linux @ 115200, SBI @ 115200 + // scale down to 100MHz before multipling up + new chipyard.config.WithUART((pbusFreqMHz / 100) * BigInt(115200L)) ++ + // Required: Do not support debug module w. JTAG until FIRRTL stops emitting @(posedge ~clock) + new chipyard.config.WithNoDebug +) + +class FireSimDebugOffchipConfig extends Config( + new WithOffchipAXINoClksSetup(3200) ++ + new chipyard.DebugOffchipConfig +) + +class FireSimDebugOffchip2Config extends Config( + new WithOffchipAXINoClksSetup(3200) ++ + new chipyard.DebugOffchip2Config +) + +class FireSimDebugOffchip3Config extends Config( + new WithOffchipAXINoClksSetup(4000) ++ + new chipyard.DebugOffchip3Config +) diff --git a/generators/testchipip b/generators/testchipip index f27055929a..abc5be8ef1 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit f27055929a2d4c091bfe10c3b64761e281844a2b +Subproject commit abc5be8ef1dc9bb5614ef81fbb021b51a21679dd From ffcb3156c9a826b64968998012c25258b351ef2f Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Mon, 1 Mar 2021 00:14:15 -0800 Subject: [PATCH 071/225] Add WithMultiRoCCFromBuildRoCC to make heterogeneous accelerator configs easier --- .../chipyard/src/main/scala/ConfigFragments.scala | 11 +++++++++++ 1 file changed, 11 insertions(+) diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index 667e514896..465a518866 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -83,6 +83,17 @@ class WithMultiRoCC extends Config((site, here, up) => { case BuildRoCC => site(MultiRoCCKey).getOrElse(site(TileKey).hartId, Nil) }) +/** + * Assigns what was previously in the BuildRoCC key to specific harts with MultiRoCCKey + * Must be paired with WithMultiRoCC + */ +class WithMultiRoCCFromBuildRoCC(harts: Int*) extends Config((site, here, up) => { + case BuildRoCC => Nil + case MultiRoCCKey => up(MultiRoCCKey, site) ++ harts.distinct.map { i => + (i -> up(BuildRoCC, site)) + } +}) + /** * Config fragment to add Hwachas to cores based on hart * From 1d287bede5fc4012b1ce8120fd00ffab98d8348e Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Wed, 3 Mar 2021 02:43:38 +0000 Subject: [PATCH 072/225] Enlarge serial width | Bugfix loadmem disable | Add TracerV --- .../chipyard/src/main/scala/config/RocketConfigs.scala | 2 +- generators/firechip/src/main/scala/BridgeBinders.scala | 3 ++- generators/firechip/src/main/scala/TargetConfigs.scala | 10 +++++++++- 3 files changed, 12 insertions(+), 3 deletions(-) diff --git a/generators/chipyard/src/main/scala/config/RocketConfigs.scala b/generators/chipyard/src/main/scala/config/RocketConfigs.scala index 2e6eb40fd4..4d93f8e974 100644 --- a/generators/chipyard/src/main/scala/config/RocketConfigs.scala +++ b/generators/chipyard/src/main/scala/config/RocketConfigs.scala @@ -216,7 +216,7 @@ class LBWIFRocketConfig extends Config( // DEBUG: To check if UART works (with everything default but serdes slow and ramp up to 1GHz) class DebugOffchipConfig extends Config( - new testchipip.WithSerialTLWidth(32) ++ + new testchipip.WithSerialTLWidth(64) ++ new testchipip.WithAsynchronousSerialSlaveCrossing ++ // SerDes <-async-> mbus. Remember SerDes master tied to fbus new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ // fbus slow -> sbus fast new chipyard.config.WithFrontBusFrequency(3200 / 4) ++ // controls SerDes freq. diff --git a/generators/firechip/src/main/scala/BridgeBinders.scala b/generators/firechip/src/main/scala/BridgeBinders.scala index 34a18f8543..6f74b7708d 100644 --- a/generators/firechip/src/main/scala/BridgeBinders.scala +++ b/generators/firechip/src/main/scala/BridgeBinders.scala @@ -109,7 +109,8 @@ class WithOffchipNetworkSerialAXIBridge extends OverrideHarnessBinder({ ports.map({ port => val offchipNetwork = SerialAdapter.connectOffChipNetwork(system.serdesser.get, port, th.harnessReset) - SerialBridge(port.bits.clock, offchipNetwork.module.io.tsi_ser, p(ExtMem).map(_ => MainMemoryConsts.globalName)) + SerialBridge(port.bits.clock, offchipNetwork.module.io.tsi_ser, p(SerialTLKey).map(v => MainMemoryConsts.globalName)) + p(SerialTLKey).map(v => require(v.isMemoryDevice)) // connect SimAxiMem (offchipNetwork.mem_axi4 zip offchipNetwork.memAXI4Node.edges.in).map { case (axi4, edge) => diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index 619137fdfd..de9e9cf767 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -251,22 +251,30 @@ class WithOffchipAXINoClksSetup(pbusFreqMHz: BigInt = 3200) extends Config( // OLD: pbus @ 3200MHz, HW baud @ 3686400L AKA 115200 * 32 // OLD: Linux @ 115200, SBI @ 115200 // scale down to 100MHz before multipling up - new chipyard.config.WithUART((pbusFreqMHz / 100) * BigInt(115200L)) ++ + //new chipyard.config.WithUART((pbusFreqMHz / 100) * BigInt(115200L)) ++ + new chipyard.config.WithUART(BigInt(3686400L)) ++ // Required: Do not support debug module w. JTAG until FIRRTL stops emitting @(posedge ~clock) new chipyard.config.WithNoDebug ) +class WithTracerV extends Config( + new WithTracerVBridge ++ + new chipyard.config.WithTraceIO) + class FireSimDebugOffchipConfig extends Config( + new WithTracerV ++ new WithOffchipAXINoClksSetup(3200) ++ new chipyard.DebugOffchipConfig ) class FireSimDebugOffchip2Config extends Config( + new WithTracerV ++ new WithOffchipAXINoClksSetup(3200) ++ new chipyard.DebugOffchip2Config ) class FireSimDebugOffchip3Config extends Config( + new WithTracerV ++ new WithOffchipAXINoClksSetup(4000) ++ new chipyard.DebugOffchip3Config ) From f850df7a9f1e9ecd5d5d4f8fa694c9c9d36cb0c4 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Tue, 2 Mar 2021 22:58:05 -0800 Subject: [PATCH 073/225] General renaming / cleanup --- .../src/main/scala/ConfigFragments.scala | 9 +- .../src/main/scala/HarnessBinders.scala | 39 ++++--- .../chipyard/src/main/scala/IOBinders.scala | 34 +++--- .../main/scala/config/AbstractConfig.scala | 44 -------- .../src/main/scala/config/RocketConfigs.scala | 75 +++++++------ .../src/main/scala/BridgeBinders.scala | 44 ++++---- .../src/main/scala/TargetConfigs.scala | 101 ++++++------------ generators/testchipip | 2 +- 8 files changed, 142 insertions(+), 206 deletions(-) diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index f2d77a5f73..8f9ab0299e 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -193,19 +193,16 @@ class WithTLBackingMemory extends Config((site, here, up) => { case ExtTLMem => up(ExtMem, site) // enable TL backing memory }) -class WithOffchipBackingMemory extends Config((site, here, up) => { +class WithSerialTLBackingMemory extends Config((site, here, up) => { case ExtMem => None - case SerialTLKey => Some(SerialTLParams( + case SerialTLKey => up(SerialTLKey, site).map { k => k.copy( memParams = { val memPortParams = up(ExtMem, site).get - require(memPortParams.nMemoryChannels == 1) - memPortParams.master }, - width = 4, isMemoryDevice = true - )) + )} }) class WithTileFrequency(fMHz: Double) extends ClockNameContainsAssignment("tile", fMHz) diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index 95382f6e2a..54110ebe0d 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -139,25 +139,30 @@ class WithSimAXIMem extends OverrideHarnessBinder({ } }) -class WithOffchipNetwork(offchipFreqMHz: Double = 1000) extends OverrideHarnessBinder({ - (system: CanHavePeripheryTLSerial, th: HasHarnessSignalReferences, ports: Seq[ClockedAndResetIO[ClockedIO[SerialIO]]]) => { +class WithSimAXIMemOverSerialTL extends OverrideHarnessBinder({ + (system: CanHavePeripheryTLSerial, th: HasHarnessSignalReferences, ports: Seq[SerialAndPassthroughClockResetIO]) => { implicit val p = chipyard.iobinders.GetSystemParameters(system) - ports.map({ port => - val offchipNetwork = SerialAdapter.connectOffChipNetwork(system.serdesser.get, port, th.harnessReset) - val success = SerialAdapter.connectSimSerial(offchipNetwork.module.io.tsi_ser, port.bits.clock, th.harnessReset.asBool) - when (success) { th.success := true.B } - - // connect SimAxiMem - (offchipNetwork.mem_axi4 zip offchipNetwork.memAXI4Node.edges.in).map { case (off_port, edge) => - val memSize = p(SerialTLKey).get.memParams.size - val lineSize = p(CacheBlockBytes) - val mem = Module(new SimDRAM(memSize, lineSize, offchipFreqMHz.toInt*1000000, edge.bundle)).suggestName("simdram") - mem.io.axi <> off_port - // use the clk from the ClockAndResetIO - mem.io.clock := port.clock - mem.io.reset := port.reset - } + p(SerialTLKey).map({ sVal => + require(sVal.axiDomainClockFreqMHz.isDefined) + val freqRequested = sVal.axiDomainClockFreqMHz.get + + ports.map({ port => + val harnessMultiClockAXIRAM = SerialAdapter.connectHarnessMultiClockAXIRAM(system.serdesser.get, port, th.harnessReset) + val success = SerialAdapter.connectSimSerial(harnessMultiClockAXIRAM.module.io.tsi_ser, port.clocked_serial.clock, th.harnessReset.asBool) + when (success) { th.success := true.B } + + // connect SimDRAM from the AXI port coming from the harness multi clock axi ram + (harnessMultiClockAXIRAM.mem_axi4 zip harnessMultiClockAXIRAM.memAXI4Node.edges.in).map { case (axi_port, edge) => + val memSize = sVal.memParams.size + val lineSize = p(CacheBlockBytes) + val mem = Module(new SimDRAM(memSize, lineSize, (freqRequested.toInt)*1000000, edge.bundle)).suggestName("simdram") + mem.io.axi <> axi_port + // use the clk from the ClockAndResetIO + mem.io.clock := port.passthrough_clock_reset.clock + mem.io.reset := port.passthrough_clock_reset.reset + } + }) }) } }) diff --git a/generators/chipyard/src/main/scala/IOBinders.scala b/generators/chipyard/src/main/scala/IOBinders.scala index eff75ae260..26189ec542 100644 --- a/generators/chipyard/src/main/scala/IOBinders.scala +++ b/generators/chipyard/src/main/scala/IOBinders.scala @@ -260,33 +260,35 @@ class WithSerialTLIOCells extends OverrideIOBinder({ }).getOrElse((Nil, Nil)) }) -class WithSerialTLAndOffchipClockPunchthrough(offchipFreqMHz: Double = 1000) extends OverrideLazyIOBinder({ - (system: CanHavePeripheryTLSerial) => { +class WithSerialTLAndPassthroughClockPunchthrough extends OverrideLazyIOBinder({ + (system: CanHavePeripheryTLSerial) => system.serial_tl.map({ s => implicit val p: Parameters = GetSystemParameters(system) - val serial_clked_tl = system.serial_tl val sys = system.asInstanceOf[BaseSubsystem] - val externalDRAMClockSinkNode = ClockSinkNode(Seq(ClockSinkParameters(take = Some(ClockParameters(freqMHz = offchipFreqMHz))))) - (externalDRAMClockSinkNode - := ClockGroup()(p, ValName("OffchipClocking")) + require(p(SerialTLKey).isDefined) + val sVal = p(SerialTLKey).get + + require(sVal.axiDomainClockFreqMHz.isDefined) + val freqRequested = sVal.axiDomainClockFreqMHz.get + + // request clock to pass along + val externalAXIDomainClkSinkNode = ClockSinkNode(Seq(ClockSinkParameters(take = Some(ClockParameters(freqMHz = freqRequested))))) + (externalAXIDomainClkSinkNode + := ClockGroup()(p, ValName("axi_mem_clock_domain")) := sys.asyncClockGroupsNode) - def clockBundle = externalDRAMClockSinkNode.in.head._1 + def clockBundle = externalAXIDomainClkSinkNode.in.head._1 InModuleBody { // 1st clock+reset is for offchip, 2nd clock (attached to serial io is the serial clock) - val port: Option[ClockedAndResetIO[ClockedIO[SerialIO]]] = serial_clked_tl.map({ s_io => - val p = IO(new ClockedAndResetIO(DataMirror.internal.chiselTypeClone[ClockedIO[SerialIO]](s_io))).suggestName(s"serial_tl_offchip_clk") - p.bits <> s_io - p.clock := clockBundle.clock - p.reset := clockBundle.reset - p - }) + val port = IO(new SerialAndPassthroughClockResetIO(sVal.width)).suggestName(s"serial_tl_passthrough_clk") + port.clocked_serial <> s + port.passthrough_clock_reset <> clockBundle // return the ports and no IO cells - (Seq(port.get), Nil) + (Seq(port), Nil) } - } + }).getOrElse(InModuleBody{(Nil, Nil)}).asInstanceOf[ModuleValue[IOBinderTuple]] }) class WithAXI4MemPunchthrough extends OverrideLazyIOBinder({ diff --git a/generators/chipyard/src/main/scala/config/AbstractConfig.scala b/generators/chipyard/src/main/scala/config/AbstractConfig.scala index 043bd45ee2..da84bd0501 100644 --- a/generators/chipyard/src/main/scala/config/AbstractConfig.scala +++ b/generators/chipyard/src/main/scala/config/AbstractConfig.scala @@ -54,47 +54,3 @@ class AbstractConfig extends Config( new freechips.rocketchip.subsystem.WithNExtTopInterrupts(0) ++ // no external interrupts new chipyard.WithMulticlockCoherentBusTopology ++ // hierarchical buses including mbus+l2 new freechips.rocketchip.system.BaseConfig) // "base" rocketchip system - -class AbstractOffChipConfig extends Config( - // The HarnessBinders control generation of hardware in the TestHarness - new chipyard.harness.WithUARTAdapter ++ // add UART adapter to display UART on stdout, if uart is present - new chipyard.harness.WithOffchipNetwork ++ // add SimDRAM DRAM model for axi4 backing memory over the SerDes link, if axi4 mem is enabled - new chipyard.harness.WithSimDebug ++ // add SimJTAG or SimDTM adapters if debug module is enabled - new chipyard.harness.WithGPIOTiedOff ++ // tie-off chiptop GPIOs, if GPIOs are present - new chipyard.harness.WithSimSPIFlashModel ++ // add simulated SPI flash memory, if SPI is enabled - new chipyard.harness.WithSimAXIMMIO ++ // add SimAXIMem for axi4 mmio port, if enabled - new chipyard.harness.WithTieOffInterrupts ++ // tie-off interrupt ports, if present - new chipyard.harness.WithTieOffL2FBusAXI ++ // tie-off external AXI4 master, if present - - // The IOBinders instantiate ChipTop IOs to match desired digital IOs - // IOCells are generated for "Chip-like" IOs, while simulation-only IOs are directly punched through - new chipyard.iobinders.WithAXI4MemPunchthrough ++ - new chipyard.iobinders.WithAXI4MMIOPunchthrough ++ - new chipyard.iobinders.WithL2FBusAXI4Punchthrough ++ - new chipyard.iobinders.WithBlockDeviceIOPunchthrough ++ - new chipyard.iobinders.WithNICIOPunchthrough ++ - new chipyard.iobinders.WithSerialTLAndOffchipClockPunchthrough ++ - new chipyard.iobinders.WithDebugIOCells ++ - new chipyard.iobinders.WithUARTIOCells ++ - new chipyard.iobinders.WithGPIOCells ++ - new chipyard.iobinders.WithUARTIOCells ++ - new chipyard.iobinders.WithSPIIOCells ++ - new chipyard.iobinders.WithTraceIOPunchthrough ++ - new chipyard.iobinders.WithExtInterruptIOCells ++ - - new chipyard.config.WithOffchipBackingMemory ++ - new chipyard.config.WithBootROM ++ // use default bootrom - new chipyard.config.WithUART ++ // add a UART - new chipyard.config.WithL2TLBs(1024) ++ // use L2 TLBs - new chipyard.config.WithNoSubsystemDrivenClocks ++ // drive the subsystem diplomatic clocks from ChipTop instead of using implicit clocks - new chipyard.config.WithInheritBusFrequencyAssignments ++ // Unspecified clocks within a bus will receive the bus frequency if set - new chipyard.config.WithPeripheryBusFrequencyAsDefault ++ // Unspecified frequencies with match the pbus frequency (which is always set) - new chipyard.config.WithMemoryBusFrequency(100.0) ++ // Default 100 MHz mbus - new chipyard.config.WithPeripheryBusFrequency(100.0) ++ // Default 100 MHz pbus - new freechips.rocketchip.subsystem.WithJtagDTM ++ // set the debug module to expose a JTAG port - new freechips.rocketchip.subsystem.WithNoMMIOPort ++ // no top-level MMIO master port (overrides default set in rocketchip) - new freechips.rocketchip.subsystem.WithNoSlavePort ++ // no top-level MMIO slave port (overrides default set in rocketchip) - new freechips.rocketchip.subsystem.WithInclusiveCache ++ // use Sifive L2 cache - new freechips.rocketchip.subsystem.WithNExtTopInterrupts(0) ++ // no external interrupts - new chipyard.WithMulticlockCoherentBusTopology ++ // hierarchical buses including mbus+l2 - new freechips.rocketchip.system.BaseConfig) // "base" rocketchip system diff --git a/generators/chipyard/src/main/scala/config/RocketConfigs.scala b/generators/chipyard/src/main/scala/config/RocketConfigs.scala index 4d93f8e974..11bf9c4f7f 100644 --- a/generators/chipyard/src/main/scala/config/RocketConfigs.scala +++ b/generators/chipyard/src/main/scala/config/RocketConfigs.scala @@ -214,37 +214,37 @@ class LBWIFRocketConfig extends Config( new freechips.rocketchip.subsystem.WithNBigCores(1) ++ new chipyard.config.AbstractConfig) -// DEBUG: To check if UART works (with everything default but serdes slow and ramp up to 1GHz) -class DebugOffchipConfig extends Config( - new testchipip.WithSerialTLWidth(64) ++ - new testchipip.WithAsynchronousSerialSlaveCrossing ++ // SerDes <-async-> mbus. Remember SerDes master tied to fbus - new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ // fbus slow -> sbus fast - new chipyard.config.WithFrontBusFrequency(3200 / 4) ++ // controls SerDes freq. - - new chipyard.config.WithPeripheryBusFrequencyAsDefault ++ // everything default to 3.2GHz - new chipyard.config.WithPeripheryBusFrequency(3200) ++ - new chipyard.config.WithMemoryBusFrequency(3200) ++ - - new freechips.rocketchip.subsystem.WithNBigCores(1) ++ // add 1 rocket cores - new chipyard.config.AbstractOffChipConfig) // new offchip network where AXI is in harness - -// have pbus=3.2GHz,/1, but others are different (fbus=/4, other=/2) -class DebugOffchip2Config extends Config( - new chipyard.config.WithCbusToPbusCrossingType(RationalCrossing(SlowToFast)) ++ - new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ - - new chipyard.config.WithSystemBusFrequencyAsDefault ++ - new chipyard.config.WithSystemBusFrequency(3200 / 2) ++ - - new chipyard.config.WithFrontBusFrequency(3200 / 4) ++ - new chipyard.config.WithPeripheryBusFrequency(3200) ++ - new chipyard.config.WithMemoryBusFrequency(3200) ++ - - new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ // fbus slow -> sbus fast - new testchipip.WithAsynchronousSerialSlaveCrossing ++ // Add Async crossing between serial and MBUS. Its master-side is tied to the FBUS - - new freechips.rocketchip.subsystem.WithNBigCores(1) ++ // add 1 rocket cores - new chipyard.config.AbstractOffChipConfig) +//// DEBUG: To check if UART works (with everything default but serdes slow and ramp up to 1GHz) +//class DebugOffchipConfig extends Config( +// new testchipip.WithSerialTLWidth(64) ++ +// new testchipip.WithAsynchronousSerialSlaveCrossing ++ // SerDes <-async-> mbus. Remember SerDes master tied to fbus +// new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ // fbus slow -> sbus fast +// new chipyard.config.WithFrontBusFrequency(3200 / 4) ++ // controls SerDes freq. +// +// new chipyard.config.WithPeripheryBusFrequencyAsDefault ++ // everything default to 3.2GHz +// new chipyard.config.WithPeripheryBusFrequency(3200) ++ +// new chipyard.config.WithMemoryBusFrequency(3200) ++ +// +// new freechips.rocketchip.subsystem.WithNBigCores(1) ++ // add 1 rocket cores +// new chipyard.config.AbstractOffChipConfig) // new offchip network where AXI is in harness +// +//// have pbus=3.2GHz,/1, but others are different (fbus=/4, other=/2) +//class DebugOffchip2Config extends Config( +// new chipyard.config.WithCbusToPbusCrossingType(RationalCrossing(SlowToFast)) ++ +// new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ +// +// new chipyard.config.WithSystemBusFrequencyAsDefault ++ +// new chipyard.config.WithSystemBusFrequency(3200 / 2) ++ +// +// new chipyard.config.WithFrontBusFrequency(3200 / 4) ++ +// new chipyard.config.WithPeripheryBusFrequency(3200) ++ +// new chipyard.config.WithMemoryBusFrequency(3200) ++ +// +// new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ // fbus slow -> sbus fast +// new testchipip.WithAsynchronousSerialSlaveCrossing ++ // Add Async crossing between serial and MBUS. Its master-side is tied to the FBUS +// +// new freechips.rocketchip.subsystem.WithNBigCores(1) ++ // add 1 rocket cores +// new chipyard.config.AbstractOffChipConfig) // fbus=/2, other=/1 class DebugOffchip3Config extends Config( @@ -257,8 +257,13 @@ class DebugOffchip3Config extends Config( new chipyard.config.WithFrontBusFrequency(4000 / 2) ++ - new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ // fbus slow -> sbus fast - new testchipip.WithAsynchronousSerialSlaveCrossing ++ // Add Async crossing between serial and MBUS. Its master-side is tied to the FBUS + new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ + new testchipip.WithAsynchronousSerialSlaveCrossing ++ - new freechips.rocketchip.subsystem.WithNBigCores(1) ++ // add 1 rocket cores - new chipyard.config.AbstractOffChipConfig) + new chipyard.harness.WithSimAXIMemOverSerialTL ++ // add SimDRAM DRAM model for axi4 backing memory over the SerDes link, if axi4 mem is enabled + new chipyard.iobinders.WithSerialTLAndPassthroughClockPunchthrough ++ // add new clock for axi domain over serdes and passthrough ios + //new testchipip.WithAXIDomainFreq(1000.0) ++ // set offchip axi domain clock freq (match FireSim DRAM) + new chipyard.config.WithSerialTLBackingMemory ++ // remove axi4 mem port in favor of SerialTL memory + + new freechips.rocketchip.subsystem.WithNBigCores(1) ++ + new chipyard.config.AbstractConfig) diff --git a/generators/firechip/src/main/scala/BridgeBinders.scala b/generators/firechip/src/main/scala/BridgeBinders.scala index 6f74b7708d..eb48cc4706 100644 --- a/generators/firechip/src/main/scala/BridgeBinders.scala +++ b/generators/firechip/src/main/scala/BridgeBinders.scala @@ -103,29 +103,33 @@ class WithBlockDeviceBridge extends OverrideHarnessBinder({ } }) -class WithOffchipNetworkSerialAXIBridge extends OverrideHarnessBinder({ - (system: CanHavePeripheryTLSerial, th: FireSim, ports: Seq[ClockedAndResetIO[ClockedIO[SerialIO]]]) => { +class WithAXIOverSerialTLCombinedBridges extends OverrideHarnessBinder({ + (system: CanHavePeripheryTLSerial, th: FireSim, ports: Seq[SerialAndPassthroughClockResetIO]]]) => { implicit val p = GetSystemParameters(system) - ports.map({ port => - val offchipNetwork = SerialAdapter.connectOffChipNetwork(system.serdesser.get, port, th.harnessReset) - SerialBridge(port.bits.clock, offchipNetwork.module.io.tsi_ser, p(SerialTLKey).map(v => MainMemoryConsts.globalName)) - p(SerialTLKey).map(v => require(v.isMemoryDevice)) - - // connect SimAxiMem - (offchipNetwork.mem_axi4 zip offchipNetwork.memAXI4Node.edges.in).map { case (axi4, edge) => - val nastiKey = NastiParameters(axi4.r.bits.data.getWidth, - axi4.ar.bits.addr.getWidth, - axi4.ar.bits.id.getWidth) - system match { - case s: BaseSubsystem => FASEDBridge(port.clock, axi4, port.reset.asBool, - CompleteConfig(p(firesim.configs.MemModelKey), - nastiKey, - Some(AXI4EdgeSummary(edge)), - Some(MainMemoryConsts.globalName))) - case _ => throw new Exception("Attempting to attach FASED Bridge to misconfigured design") + p(SerialTLKey).map({ sVal => + // require having memory over the serdes link + require(sVal.isMemoryDevice) + + ports.map({ port => + val offchipNetwork = SerialAdapter.connectHarnessMultiClockAXIRAM(system.serdesser.get, port, th.harnessReset) + SerialBridge(port.clocked_serial.clock, offchipNetwork.module.io.tsi_ser, MainMemoryConsts.globalName) + + // connect SimAxiMem + (offchipNetwork.mem_axi4 zip offchipNetwork.memAXI4Node.edges.in).map { case (axi4, edge) => + val nastiKey = NastiParameters(axi4.r.bits.data.getWidth, + axi4.ar.bits.addr.getWidth, + axi4.ar.bits.id.getWidth) + system match { + case s: BaseSubsystem => FASEDBridge(port.passthrough_clock_reset.clock, axi4, port.passthrough_clock_reset.reset.asBool, + CompleteConfig(p(firesim.configs.MemModelKey), + nastiKey, + Some(AXI4EdgeSummary(edge)), + Some(MainMemoryConsts.globalName))) + case _ => throw new Exception("Attempting to attach FASED Bridge to misconfigured design") + } } - } + }) }) Nil diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index de9e9cf767..51f18227f9 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -59,26 +59,13 @@ class WithNIC extends icenet.WithIceNIC(inBufFlits = 8192, ctrlQueueDepth = 64) class WithNVDLALarge extends nvidia.blocks.dla.WithNVDLA("large") class WithNVDLASmall extends nvidia.blocks.dla.WithNVDLA("small") - -// Tweaks that are generally applied to all firesim configs -class WithFireSimConfigTweaks extends Config( +class WithFireSimConfigTweaksWithoutClocking extends Config( // Required: Bake in the default FASED memory model new WithDefaultMemModel ++ // Required*: Uses FireSim ClockBridge and PeekPokeBridge to drive the system with a single clock/reset new WithFireSimSimpleClocks ++ // Required*: When using FireSim-as-top to provide a correct path to the target bootrom source new WithBootROM ++ - // Optional*: Removing this will require adjusting the UART baud rate and - // potential target-software changes to properly capture UART output - new chipyard.config.WithPeripheryBusFrequency(3200.0) ++ - // Optional: These three configs put the DRAM memory system in it's own clock domian. - // Removing the first config will result in the FASED timing model running - // at the pbus freq (above, 3.2 GHz), which is outside the range of valid DDR3 speedgrades. - // 1 GHz matches the FASED default, using some other frequency will require - // runnings the FASED runtime configuration generator to generate faithful DDR3 timing values. - new chipyard.config.WithMemoryBusFrequency(1000.0) ++ - new chipyard.config.WithAsynchrousMemoryBusCrossing ++ - new testchipip.WithAsynchronousSerialSlaveCrossing ++ // Required: Existing FAME-1 transform cannot handle black-box clock gates new WithoutClockGating ++ // Required*: Removes thousands of assertions that would be synthesized (* pending PriorityMux bugfix) @@ -99,6 +86,23 @@ class WithFireSimConfigTweaks extends Config( new chipyard.config.WithNoDebug ) +// Tweaks that are generally applied to all firesim configs +class WithFireSimConfigTweaks extends Config( + // Optional*: Removing this will require adjusting the UART baud rate and + // potential target-software changes to properly capture UART output + new chipyard.config.WithPeripheryBusFrequency(3200.0) ++ + // Optional: These three configs put the DRAM memory system in it's own clock domian. + // Removing the first config will result in the FASED timing model running + // at the pbus freq (above, 3.2 GHz), which is outside the range of valid DDR3 speedgrades. + // 1 GHz matches the FASED default, using some other frequency will require + // runnings the FASED runtime configuration generator to generate faithful DDR3 timing values. + new chipyard.config.WithMemoryBusFrequency(1000.0) ++ + new chipyard.config.WithAsynchrousMemoryBusCrossing ++ + new testchipip.WithAsynchronousSerialSlaveCrossing ++ + // Tweaks that are independent from multi-clock + new WithFireSimConfigTweaksWithoutClocking +) + /******************************************************************************* * Full TARGET_CONFIG configurations. These set parameters of the target being * simulated. @@ -216,65 +220,28 @@ class FireSim16LargeBoomConfig extends Config( new boom.common.WithNLargeBooms(16) ++ new chipyard.config.AbstractConfig) +class WithAXIOverSerialTLCombinedBridges extends OverrideHarnessBinder({ class WithOffchipAXINoClksSetup(pbusFreqMHz: BigInt = 3200) extends Config( - // normal bridges + new offchip bridge - new WithNICBridge ++ - new WithUARTBridge ++ - new WithBlockDeviceBridge ++ - new WithOffchipNetworkSerialAXIBridge ++ // NEW BRIDGE COMBINING SERIAL/AXI - new WithFireSimMultiCycleRegfile ++ - new WithFireSimFAME5 ++ - //new WithTracerVBridge ++ - new WithFireSimIOCellModels ++ - - // new tweaks - // Required: Bake in the default FASED memory model - new WithDefaultMemModel ++ - // Required*: Uses FireSim ClockBridge and PeekPokeBridge to drive the system with a single clock/reset - new WithFireSimSimpleClocks ++ - // Required*: When using FireSim-as-top to provide a correct path to the target bootrom source - new WithBootROM ++ - // Required: Existing FAME-1 transform cannot handle black-box clock gates - new WithoutClockGating ++ - // Required*: Removes thousands of assertions that would be synthesized (* pending PriorityMux bugfix) - new WithoutTLMonitors ++ - // Optional: Adds IO to attach tracerV bridges - //new chipyard.config.WithTraceIO ++ - // Optional: Request 16 GiB of target-DRAM by default (can safely request up to 32 GiB on F1) - new freechips.rocketchip.subsystem.WithExtMemSize((1 << 30) * 16L) ++ - // Optional: Removing this will require using an initramfs under linux - new testchipip.WithBlockDevice ++ - // Required*: Scale default baud rate with periphery bus frequency - // Rough math... - // NEW: - // pbus @ 500MHz.... baud @ 576000 = 115200 * 5 (somehow the default was 100M) - // OLD: pbus @ 3200MHz, HW baud @ 3686400L AKA 115200 * 32 - // OLD: Linux @ 115200, SBI @ 115200 - // scale down to 100MHz before multipling up //new chipyard.config.WithUART((pbusFreqMHz / 100) * BigInt(115200L)) ++ new chipyard.config.WithUART(BigInt(3686400L)) ++ - // Required: Do not support debug module w. JTAG until FIRRTL stops emitting @(posedge ~clock) - new chipyard.config.WithNoDebug ) -class WithTracerV extends Config( - new WithTracerVBridge ++ - new chipyard.config.WithTraceIO) - -class FireSimDebugOffchipConfig extends Config( - new WithTracerV ++ - new WithOffchipAXINoClksSetup(3200) ++ - new chipyard.DebugOffchipConfig -) - -class FireSimDebugOffchip2Config extends Config( - new WithTracerV ++ - new WithOffchipAXINoClksSetup(3200) ++ - new chipyard.DebugOffchip2Config -) +//class FireSimDebugOffchipConfig extends Config( +// new WithTracerV ++ +// new WithOffchipAXINoClksSetup(3200) ++ +// new chipyard.DebugOffchipConfig +//) +// +//class FireSimDebugOffchip2Config extends Config( +// new WithTracerV ++ +// new WithOffchipAXINoClksSetup(3200) ++ +// new chipyard.DebugOffchip2Config +//) class FireSimDebugOffchip3Config extends Config( - new WithTracerV ++ - new WithOffchipAXINoClksSetup(4000) ++ + new WithAXIOverSerialTLCombinedBridges ++ // use combined bridge to connect to axi mem over serial + new WithDefaultFireSimBridges ++ + new WithDefaultMemModel ++ + new WithFireSimConfigTweaksWithoutClocking ++ // don't inherit firesim clocking new chipyard.DebugOffchip3Config ) diff --git a/generators/testchipip b/generators/testchipip index abc5be8ef1..b66dd655a3 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit abc5be8ef1dc9bb5614ef81fbb021b51a21679dd +Subproject commit b66dd655a3605ff0b3327b133513e27b590d926e From c52fce79ae2348b515e54600cfd602976a513437 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Wed, 3 Mar 2021 07:25:49 +0000 Subject: [PATCH 074/225] Fix FireChip compilation | Remove extra DefaultSerialTL in bridges --- .../src/main/scala/BridgeBinders.scala | 6 ++--- .../src/main/scala/TargetConfigs.scala | 22 ++++++++++--------- 2 files changed, 15 insertions(+), 13 deletions(-) diff --git a/generators/firechip/src/main/scala/BridgeBinders.scala b/generators/firechip/src/main/scala/BridgeBinders.scala index eb48cc4706..b6b3caf906 100644 --- a/generators/firechip/src/main/scala/BridgeBinders.scala +++ b/generators/firechip/src/main/scala/BridgeBinders.scala @@ -98,13 +98,13 @@ class WithUARTBridge extends OverrideHarnessBinder({ class WithBlockDeviceBridge extends OverrideHarnessBinder({ (system: CanHavePeripheryBlockDevice, th: FireSim, ports: Seq[ClockedIO[BlockDeviceIO]]) => { implicit val p: Parameters = GetSystemParameters(system) - ports.map { b => BlockDevBridge(b.clock, b.bits, th.harnessReset.toBool) } + ports.map { b => BlockDevBridge(b.clock, b.bits, th.harnessReset.asBool) } Nil } }) class WithAXIOverSerialTLCombinedBridges extends OverrideHarnessBinder({ - (system: CanHavePeripheryTLSerial, th: FireSim, ports: Seq[SerialAndPassthroughClockResetIO]]]) => { + (system: CanHavePeripheryTLSerial, th: FireSim, ports: Seq[SerialAndPassthroughClockResetIO]) => { implicit val p = GetSystemParameters(system) p(SerialTLKey).map({ sVal => @@ -113,7 +113,7 @@ class WithAXIOverSerialTLCombinedBridges extends OverrideHarnessBinder({ ports.map({ port => val offchipNetwork = SerialAdapter.connectHarnessMultiClockAXIRAM(system.serdesser.get, port, th.harnessReset) - SerialBridge(port.clocked_serial.clock, offchipNetwork.module.io.tsi_ser, MainMemoryConsts.globalName) + SerialBridge(port.clocked_serial.clock, offchipNetwork.module.io.tsi_ser, Some(MainMemoryConsts.globalName)) // connect SimAxiMem (offchipNetwork.mem_axi4 zip offchipNetwork.memAXI4Node.edges.in).map { case (axi4, edge) => diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index 51f18227f9..58c28de111 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -74,10 +74,6 @@ class WithFireSimConfigTweaksWithoutClocking extends Config( new chipyard.config.WithTraceIO ++ // Optional: Request 16 GiB of target-DRAM by default (can safely request up to 32 GiB on F1) new freechips.rocketchip.subsystem.WithExtMemSize((1 << 30) * 16L) ++ - // Required: Adds IO to attach SerialBridge. The SerialBridges is responsible - // for signalling simulation termination under simulation success. This fragment can - // be removed if you supply an auxiliary bridge that signals simulation termination - new testchipip.WithDefaultSerialTL ++ // Optional: Removing this will require using an initramfs under linux new testchipip.WithBlockDevice ++ // Required*: Scale default baud rate with periphery bus frequency @@ -220,24 +216,30 @@ class FireSim16LargeBoomConfig extends Config( new boom.common.WithNLargeBooms(16) ++ new chipyard.config.AbstractConfig) -class WithAXIOverSerialTLCombinedBridges extends OverrideHarnessBinder({ -class WithOffchipAXINoClksSetup(pbusFreqMHz: BigInt = 3200) extends Config( - //new chipyard.config.WithUART((pbusFreqMHz / 100) * BigInt(115200L)) ++ - new chipyard.config.WithUART(BigInt(3686400L)) ++ -) +// unsure if this needs to scale +//new chipyard.config.WithUART((pbusFreqMHz / 100) * BigInt(115200L)) ++ //class FireSimDebugOffchipConfig extends Config( // new WithTracerV ++ // new WithOffchipAXINoClksSetup(3200) ++ // new chipyard.DebugOffchipConfig //) -// + //class FireSimDebugOffchip2Config extends Config( // new WithTracerV ++ // new WithOffchipAXINoClksSetup(3200) ++ // new chipyard.DebugOffchip2Config //) +class FireSimDebugOffchip2Config extends Config( + new chipyard.config.WithUART((4000 / 100) * BigInt(115200L)) ++ + new WithAXIOverSerialTLCombinedBridges ++ // use combined bridge to connect to axi mem over serial + new WithDefaultFireSimBridges ++ + new WithDefaultMemModel ++ + new WithFireSimConfigTweaksWithoutClocking ++ // don't inherit firesim clocking + new chipyard.DebugOffchip3Config +) + class FireSimDebugOffchip3Config extends Config( new WithAXIOverSerialTLCombinedBridges ++ // use combined bridge to connect to axi mem over serial new WithDefaultFireSimBridges ++ From 3d962180be010dec6d845bb03f7fd5c5cde9d5b7 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Wed, 3 Mar 2021 19:44:55 +0000 Subject: [PATCH 075/225] Cleanup | Fix BlockDevice clocking issues --- .../src/main/scala/config/RocketConfigs.scala | 39 ++----------------- .../src/main/scala/TargetConfigs.scala | 39 ++++--------------- generators/testchipip | 2 +- 3 files changed, 13 insertions(+), 67 deletions(-) diff --git a/generators/chipyard/src/main/scala/config/RocketConfigs.scala b/generators/chipyard/src/main/scala/config/RocketConfigs.scala index 11bf9c4f7f..612b7ae687 100644 --- a/generators/chipyard/src/main/scala/config/RocketConfigs.scala +++ b/generators/chipyard/src/main/scala/config/RocketConfigs.scala @@ -214,40 +214,7 @@ class LBWIFRocketConfig extends Config( new freechips.rocketchip.subsystem.WithNBigCores(1) ++ new chipyard.config.AbstractConfig) -//// DEBUG: To check if UART works (with everything default but serdes slow and ramp up to 1GHz) -//class DebugOffchipConfig extends Config( -// new testchipip.WithSerialTLWidth(64) ++ -// new testchipip.WithAsynchronousSerialSlaveCrossing ++ // SerDes <-async-> mbus. Remember SerDes master tied to fbus -// new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ // fbus slow -> sbus fast -// new chipyard.config.WithFrontBusFrequency(3200 / 4) ++ // controls SerDes freq. -// -// new chipyard.config.WithPeripheryBusFrequencyAsDefault ++ // everything default to 3.2GHz -// new chipyard.config.WithPeripheryBusFrequency(3200) ++ -// new chipyard.config.WithMemoryBusFrequency(3200) ++ -// -// new freechips.rocketchip.subsystem.WithNBigCores(1) ++ // add 1 rocket cores -// new chipyard.config.AbstractOffChipConfig) // new offchip network where AXI is in harness -// -//// have pbus=3.2GHz,/1, but others are different (fbus=/4, other=/2) -//class DebugOffchip2Config extends Config( -// new chipyard.config.WithCbusToPbusCrossingType(RationalCrossing(SlowToFast)) ++ -// new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ -// -// new chipyard.config.WithSystemBusFrequencyAsDefault ++ -// new chipyard.config.WithSystemBusFrequency(3200 / 2) ++ -// -// new chipyard.config.WithFrontBusFrequency(3200 / 4) ++ -// new chipyard.config.WithPeripheryBusFrequency(3200) ++ -// new chipyard.config.WithMemoryBusFrequency(3200) ++ -// -// new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ // fbus slow -> sbus fast -// new testchipip.WithAsynchronousSerialSlaveCrossing ++ // Add Async crossing between serial and MBUS. Its master-side is tied to the FBUS -// -// new freechips.rocketchip.subsystem.WithNBigCores(1) ++ // add 1 rocket cores -// new chipyard.config.AbstractOffChipConfig) - -// fbus=/2, other=/1 -class DebugOffchip3Config extends Config( +class MulticlockAXIOverSerialConfig extends Config( new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ new chipyard.config.WithSystemBusFrequencyAsDefault ++ @@ -262,8 +229,10 @@ class DebugOffchip3Config extends Config( new chipyard.harness.WithSimAXIMemOverSerialTL ++ // add SimDRAM DRAM model for axi4 backing memory over the SerDes link, if axi4 mem is enabled new chipyard.iobinders.WithSerialTLAndPassthroughClockPunchthrough ++ // add new clock for axi domain over serdes and passthrough ios - //new testchipip.WithAXIDomainFreq(1000.0) ++ // set offchip axi domain clock freq (match FireSim DRAM) new chipyard.config.WithSerialTLBackingMemory ++ // remove axi4 mem port in favor of SerialTL memory + new testchipip.WithBlockDeviceLocations( + freechips.rocketchip.subsystem.PBUS, + freechips.rocketchip.subsystem.PBUS) ++ // put block device fully on PBUS to avoid clock crossings new freechips.rocketchip.subsystem.WithNBigCores(1) ++ new chipyard.config.AbstractConfig) diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index 58c28de111..a7626f324c 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -204,6 +204,14 @@ class FireSimMulticlockRocketConfig extends Config( new freechips.rocketchip.subsystem.WithRationalRocketTiles ++ // Add rational crossings between RocketTile and uncore new FireSimRocketConfig) +class FireSimMulticlockAXIOverSerialConfig extends Config( + new WithAXIOverSerialTLCombinedBridges ++ // use combined bridge to connect to axi mem over serial + new WithDefaultFireSimBridges ++ + new WithDefaultMemModel ++ + new WithFireSimConfigTweaksWithoutClocking ++ // don't inherit firesim clocking + new chipyard.MulticlockAXIOverSerialConfig +) + //********************************************************************************** // System with 16 LargeBOOMs that can be simulated with Golden Gate optimizations // - Requires MTModels and MCRams mixins as prefixes to the platform config @@ -216,34 +224,3 @@ class FireSim16LargeBoomConfig extends Config( new boom.common.WithNLargeBooms(16) ++ new chipyard.config.AbstractConfig) -// unsure if this needs to scale -//new chipyard.config.WithUART((pbusFreqMHz / 100) * BigInt(115200L)) ++ - -//class FireSimDebugOffchipConfig extends Config( -// new WithTracerV ++ -// new WithOffchipAXINoClksSetup(3200) ++ -// new chipyard.DebugOffchipConfig -//) - -//class FireSimDebugOffchip2Config extends Config( -// new WithTracerV ++ -// new WithOffchipAXINoClksSetup(3200) ++ -// new chipyard.DebugOffchip2Config -//) - -class FireSimDebugOffchip2Config extends Config( - new chipyard.config.WithUART((4000 / 100) * BigInt(115200L)) ++ - new WithAXIOverSerialTLCombinedBridges ++ // use combined bridge to connect to axi mem over serial - new WithDefaultFireSimBridges ++ - new WithDefaultMemModel ++ - new WithFireSimConfigTweaksWithoutClocking ++ // don't inherit firesim clocking - new chipyard.DebugOffchip3Config -) - -class FireSimDebugOffchip3Config extends Config( - new WithAXIOverSerialTLCombinedBridges ++ // use combined bridge to connect to axi mem over serial - new WithDefaultFireSimBridges ++ - new WithDefaultMemModel ++ - new WithFireSimConfigTweaksWithoutClocking ++ // don't inherit firesim clocking - new chipyard.DebugOffchip3Config -) diff --git a/generators/testchipip b/generators/testchipip index b66dd655a3..531ffb7020 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit b66dd655a3605ff0b3327b133513e27b590d926e +Subproject commit 531ffb7020ef012c5d9dd8642df515d2fbadd7a8 From 3d9cd61d1602f513b1ed4a52cc1ebad51f95e49d Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Wed, 3 Mar 2021 22:38:44 -0800 Subject: [PATCH 076/225] Slightly cleaner implementation --- .../src/main/scala/HarnessBinders.scala | 26 +++++++++---- .../chipyard/src/main/scala/IOBinders.scala | 38 ++++++++++++------- generators/testchipip | 2 +- 3 files changed, 44 insertions(+), 22 deletions(-) diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index 54110ebe0d..505cded76f 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -144,8 +144,19 @@ class WithSimAXIMemOverSerialTL extends OverrideHarnessBinder({ implicit val p = chipyard.iobinders.GetSystemParameters(system) p(SerialTLKey).map({ sVal => - require(sVal.axiDomainClockFreqMHz.isDefined) - val freqRequested = sVal.axiDomainClockFreqMHz.get + // currently only the harness AXI port supports a passthrough clock + require(sVal.axiMemOverSerialTLParams.isDefined) + val axiDomainParams = sVal.axiMemOverSerialTLParams.get + + val memFreq = axiDomainParams.axiClockParams match { + case Some(clkParams) => { + BigInt(clkParams.clockFreqMHz.toInt)*1000000 + } + case None => { + // get freq. from what the master bus specifies + system.asInstanceOf[HasTileLinkLocations].locateTLBusWrapper(p(SerialTLAttachKey).masterWhere).dtsFrequency.get + } + } ports.map({ port => val harnessMultiClockAXIRAM = SerialAdapter.connectHarnessMultiClockAXIRAM(system.serdesser.get, port, th.harnessReset) @@ -153,14 +164,13 @@ class WithSimAXIMemOverSerialTL extends OverrideHarnessBinder({ when (success) { th.success := true.B } // connect SimDRAM from the AXI port coming from the harness multi clock axi ram - (harnessMultiClockAXIRAM.mem_axi4 zip harnessMultiClockAXIRAM.memAXI4Node.edges.in).map { case (axi_port, edge) => + (harnessMultiClockAXIRAM.mem_axi4 zip harnessMultiClockAXIRAM.memNode.edges.in).map { case (axi_port, edge) => val memSize = sVal.memParams.size val lineSize = p(CacheBlockBytes) - val mem = Module(new SimDRAM(memSize, lineSize, (freqRequested.toInt)*1000000, edge.bundle)).suggestName("simdram") - mem.io.axi <> axi_port - // use the clk from the ClockAndResetIO - mem.io.clock := port.passthrough_clock_reset.clock - mem.io.reset := port.passthrough_clock_reset.reset + val mem = Module(new SimDRAM(memSize, lineSize, memFreq, edge.bundle)).suggestName("simdram") + mem.io.axi <> axi_port.bits + mem.io.clock := axi_port.clock + mem.io.reset := axi_port.reset } }) }) diff --git a/generators/chipyard/src/main/scala/IOBinders.scala b/generators/chipyard/src/main/scala/IOBinders.scala index 26189ec542..5621382ede 100644 --- a/generators/chipyard/src/main/scala/IOBinders.scala +++ b/generators/chipyard/src/main/scala/IOBinders.scala @@ -11,7 +11,7 @@ import freechips.rocketchip.subsystem._ import freechips.rocketchip.system.{SimAXIMem} import freechips.rocketchip.amba.axi4.{AXI4Bundle, AXI4SlaveNode, AXI4MasterNode, AXI4EdgeParameters} import freechips.rocketchip.util._ -import freechips.rocketchip.prci.{ClockSinkNode, ClockSinkParameters, ClockParameters, ClockGroup} +import freechips.rocketchip.prci.{ClockSinkNode, ClockSinkParameters, ClockParameters, ClockGroup, ClockBundle, ClockBundleParameters} import freechips.rocketchip.groundtest.{GroundTestSubsystemModuleImp, GroundTestSubsystem} import sifive.blocks.devices.gpio._ @@ -261,7 +261,7 @@ class WithSerialTLIOCells extends OverrideIOBinder({ }) class WithSerialTLAndPassthroughClockPunchthrough extends OverrideLazyIOBinder({ - (system: CanHavePeripheryTLSerial) => system.serial_tl.map({ s => + (system: CanHavePeripheryTLSerial) => system.serial_tl.map({ serial_io => implicit val p: Parameters = GetSystemParameters(system) val sys = system.asInstanceOf[BaseSubsystem] @@ -269,20 +269,32 @@ class WithSerialTLAndPassthroughClockPunchthrough extends OverrideLazyIOBinder({ require(p(SerialTLKey).isDefined) val sVal = p(SerialTLKey).get - require(sVal.axiDomainClockFreqMHz.isDefined) - val freqRequested = sVal.axiDomainClockFreqMHz.get - - // request clock to pass along - val externalAXIDomainClkSinkNode = ClockSinkNode(Seq(ClockSinkParameters(take = Some(ClockParameters(freqMHz = freqRequested))))) - (externalAXIDomainClkSinkNode - := ClockGroup()(p, ValName("axi_mem_clock_domain")) - := sys.asyncClockGroupsNode) - def clockBundle = externalAXIDomainClkSinkNode.in.head._1 + // currently only the harness AXI port supports a passthrough clock + require(sVal.axiMemOverSerialTLParams.isDefined) + val axiDomainParams = sVal.axiMemOverSerialTLParams.get + + val clockSinkNode = axiDomainParams.axiClockParams.map({ clkParams => + // request clock to pass along + val node = ClockSinkNode(Seq(ClockSinkParameters(take = Some(ClockParameters(freqMHz = clkParams.clockFreqMHz))))) + (node + := ClockGroup()(p, ValName("mem_over_serialtl_domain")) + := sys.asyncClockGroupsNode) + node + }) + + def clockBundle = clockSinkNode match { + case Some(node) => node.in.head._1 + case None => { + val dontCareClockBundle = new ClockBundle(ClockBundleParameters()) + dontCareClockBundle.clock := DontCare + dontCareClockBundle.reset := DontCare + dontCareClockBundle + } + } InModuleBody { - // 1st clock+reset is for offchip, 2nd clock (attached to serial io is the serial clock) val port = IO(new SerialAndPassthroughClockResetIO(sVal.width)).suggestName(s"serial_tl_passthrough_clk") - port.clocked_serial <> s + port.clocked_serial <> serial_io port.passthrough_clock_reset <> clockBundle // return the ports and no IO cells diff --git a/generators/testchipip b/generators/testchipip index 531ffb7020..3de5c07d05 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit 531ffb7020ef012c5d9dd8642df515d2fbadd7a8 +Subproject commit 3de5c07d054ec301499e75374f3ae2c2cea3930c From d2a6dd68226c07ace8c5670c8c48cb39d54c7171 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 4 Mar 2021 23:31:49 -0800 Subject: [PATCH 077/225] Add support for harness pll --- .../chipyard/src/main/scala/ChipTop.scala | 2 +- .../chipyard/src/main/scala/Clocks.scala | 12 +++- .../chipyard/src/main/scala/TestHarness.scala | 60 +++++++++++++++++-- .../clocking/DividerOnlyClockGenerator.scala | 2 +- 4 files changed, 67 insertions(+), 9 deletions(-) diff --git a/generators/chipyard/src/main/scala/ChipTop.scala b/generators/chipyard/src/main/scala/ChipTop.scala index 61a043b6ed..205135a2ed 100644 --- a/generators/chipyard/src/main/scala/ChipTop.scala +++ b/generators/chipyard/src/main/scala/ChipTop.scala @@ -28,7 +28,7 @@ class ChipTop(implicit p: Parameters) extends LazyModule with BindingScope // The system module specified by BuildSystem lazy val lazySystem = LazyModule(p(BuildSystem)(p)).suggestName("system") - // The implicitClockSinkNode provides the implicit clock and reset for the System + // The implicitClockSinkNode provides the implicit clock and reset for the system (connected by clocking scheme) val implicitClockSinkNode = ClockSinkNode(Seq(ClockSinkParameters(name = Some("implicit_clock")))) // Generate Clocks and Reset diff --git a/generators/chipyard/src/main/scala/Clocks.scala b/generators/chipyard/src/main/scala/Clocks.scala index 0ba31abbb7..65346ea6b2 100644 --- a/generators/chipyard/src/main/scala/Clocks.scala +++ b/generators/chipyard/src/main/scala/Clocks.scala @@ -47,6 +47,12 @@ case object ClockingSchemeKey extends Field[ChipTop => Unit](ClockingSchemeGener */ case object ClockFrequencyAssignersKey extends Field[Seq[(String) => Option[Double]]](Seq.empty) case object DefaultClockFrequencyKey extends Field[Double]() +case object ReferenceClockTrackerKey extends Field[ReferenceClockTracker](new ReferenceClockTracker) +class ReferenceClockTracker { + private var _refFreqMHz: Option[Double] = None + def set(freqMHz: Double): Unit = { _refFreqMHz = Some(freqMHz) } + def get: Option[Double] = { _refFreqMHz } +} class ClockNameMatchesAssignment(name: String, fMHz: Double) extends Config((site, here, up) => { case ClockFrequencyAssignersKey => up(ClockFrequencyAssignersKey, site) ++ @@ -86,20 +92,22 @@ object ClockingSchemeGenerators { :*= aggregator) val referenceClockSource = ClockSourceNode(Seq(ClockSourceParameters())) + val dividerOnlyClkGenerator = DividerOnlyClockGenerator() // provides all the divided clocks (from the top-level clock) (aggregator := ClockGroupFrequencySpecifier(p(ClockFrequencyAssignersKey), p(DefaultClockFrequencyKey)) := ClockGroupResetSynchronizer() - := DividerOnlyClockGenerator() + := dividerOnlyClkGenerator.node := referenceClockSource) - InModuleBody { val clock_wire = Wire(Input(Clock())) val reset_wire = GenerateReset(chiptop, clock_wire) val (clock_io, clockIOCell) = IOCell.generateIOFromSignal(clock_wire, "clock") chiptop.iocells ++= clockIOCell + p(ReferenceClockTrackerKey).set(dividerOnlyClkGenerator.module.referenceFreq) + referenceClockSource.out.unzip._1.map { o => o.clock := clock_wire o.reset := reset_wire diff --git a/generators/chipyard/src/main/scala/TestHarness.scala b/generators/chipyard/src/main/scala/TestHarness.scala index c638c0813c..34e2b1ca5c 100644 --- a/generators/chipyard/src/main/scala/TestHarness.scala +++ b/generators/chipyard/src/main/scala/TestHarness.scala @@ -1,12 +1,16 @@ package chipyard import chisel3._ -import scala.collection.mutable.{ArrayBuffer} + +import scala.collection.mutable.{ArrayBuffer, HashMap} import freechips.rocketchip.diplomacy.{LazyModule} import freechips.rocketchip.config.{Field, Parameters} +import freechips.rocketchip.util.{ResetCatchAndSync} +import freechips.rocketchip.prci.{ClockBundle, ClockBundleParameters, ClockSinkParameters, ClockParameters} import chipyard.harness.{ApplyHarnessBinders, HarnessBinders} import chipyard.iobinders.HasIOBinders +import chipyard.clocking.{SimplePllConfiguration, ClockDividerN} // ------------------------------- // Chipyard Test Harness @@ -25,6 +29,46 @@ trait HasHarnessSignalReferences { def success: Bool } +class HarnessClockInstantiator { + private var _clockMap: HashMap[String, (Double, ClockBundle)] = HashMap.empty + + // request a clock bundle at a particular frequency + def getClockBundleWire(name: String, freqRequested: Double): ClockBundle = { + val clockBundle = Wire(new ClockBundle(ClockBundleParameters())) + _clockMap(name) = (freqRequested, clockBundle) + clockBundle + } + + // connect all clock wires specified to a divider only PLL + def instantiateHarnessDividerPLL(refClock: ClockBundle): Unit = { + val sinks = _clockMap.map({ case (name, (freq, bundle)) => + ClockSinkParameters(take=Some(ClockParameters(freqMHz=freq/1000000)),name=Some(name)) + }).toSeq + + val pllConfig = new SimplePllConfiguration("harnessDividerOnlyClockGenerator", sinks) + pllConfig.emitSummaries() + + val dividedClocks = HashMap[Int, Clock]() + def instantiateDivider(div: Int): Clock = { + val divider = Module(new ClockDividerN(div)) + divider.suggestName(s"ClockDivideBy${div}") + divider.io.clk_in := refClock.clock + dividedClocks(div) = divider.io.clk_out + divider.io.clk_out + } + + // connect wires to clock source + for (sinkParams <- sinks) { + val div = pllConfig.sinkDividerMap(sinkParams) + val divClock = dividedClocks.getOrElse(div, instantiateDivider(div)) + _clockMap(sinkParams.name.get)._2.clock := divClock + _clockMap(sinkParams.name.get)._2.reset := ResetCatchAndSync(divClock, refClock.reset.asBool) + } + } +} + +case object HarnessClockInstantiatorKey extends Field[HarnessClockInstantiator](new HarnessClockInstantiator) + class TestHarness(implicit val p: Parameters) extends Module with HasHarnessSignalReferences { val io = IO(new Bundle { val success = Output(Bool()) @@ -34,17 +78,23 @@ class TestHarness(implicit val p: Parameters) extends Module with HasHarnessSign val dut = Module(lazyDut.module) io.success := false.B - val harnessClock = clock - val harnessReset = WireInit(reset) + val (harnessClock, harnessReset, dutReset) = { + val freqMHz = p(ReferenceClockTrackerKey).get.getOrElse(100.0) // default to 100MHz + val bundle = p(HarnessClockInstantiatorKey).getClockBundleWire("implicit_harness_clock", freqMHz*1000000.0) + (bundle.clock, WireInit(bundle.reset), bundle.reset.asAsyncReset) + } val success = io.success - val dutReset = reset.asAsyncReset - lazyDut match { case d: HasTestHarnessFunctions => d.harnessFunctions.foreach(_(this)) } lazyDut match { case d: HasIOBinders => ApplyHarnessBinders(this, d.lazySystem, d.portMap) } + + val implicitHarnessClockBundle = Wire(new ClockBundle(ClockBundleParameters())) + implicitHarnessClockBundle.clock := clock + implicitHarnessClockBundle.reset := reset + p(HarnessClockInstantiatorKey).instantiateHarnessDividerPLL(implicitHarnessClockBundle) } diff --git a/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala b/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala index 2b66619661..00cb6814f5 100644 --- a/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala +++ b/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala @@ -146,5 +146,5 @@ class DividerOnlyClockGenerator(pllName: String)(implicit p: Parameters, valName } object DividerOnlyClockGenerator { - def apply()(implicit p: Parameters, valName: ValName) = LazyModule(new DividerOnlyClockGenerator(valName.name)).node + def apply()(implicit p: Parameters, valName: ValName) = LazyModule(new DividerOnlyClockGenerator(valName.name)) } From 60a616e3202166731e2ad6036b1e4644cde5db04 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Fri, 5 Mar 2021 00:08:02 -0800 Subject: [PATCH 078/225] 1st pass at connecting to harness PLL | Put UART adapter on harnessClock/Reset --- .../src/main/scala/HarnessBinders.scala | 28 +++++++----- .../chipyard/src/main/scala/IOBinders.scala | 45 +------------------ .../chipyard/src/main/scala/TestHarness.scala | 1 + .../src/main/scala/config/RocketConfigs.scala | 4 -- generators/testchipip | 2 +- 5 files changed, 19 insertions(+), 61 deletions(-) diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index 505cded76f..39d469a682 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -21,7 +21,7 @@ import barstools.iocell.chisel._ import testchipip._ -import chipyard.HasHarnessSignalReferences +import chipyard.{HasHarnessSignalReferences, HarnessClockInstantiatorKey} import chipyard.iobinders.GetSystemParameters import tracegen.{TraceGenSystemModuleImp} @@ -83,7 +83,9 @@ class WithGPIOTiedOff extends OverrideHarnessBinder({ // DOC include start: WithUARTAdapter class WithUARTAdapter extends OverrideHarnessBinder({ (system: HasPeripheryUARTModuleImp, th: HasHarnessSignalReferences, ports: Seq[UARTPortIO]) => { - UARTAdapter.connect(ports)(system.p) + withClockAndReset(th.harnessClock, th.harnessReset) { + UARTAdapter.connect(ports)(system.p) + } } }) // DOC include end: WithUARTAdapter @@ -140,7 +142,7 @@ class WithSimAXIMem extends OverrideHarnessBinder({ }) class WithSimAXIMemOverSerialTL extends OverrideHarnessBinder({ - (system: CanHavePeripheryTLSerial, th: HasHarnessSignalReferences, ports: Seq[SerialAndPassthroughClockResetIO]) => { + (system: CanHavePeripheryTLSerial, th: HasHarnessSignalReferences, ports: Seq[ClockedIO[SerialIO]]) => { implicit val p = chipyard.iobinders.GetSystemParameters(system) p(SerialTLKey).map({ sVal => @@ -148,26 +150,28 @@ class WithSimAXIMemOverSerialTL extends OverrideHarnessBinder({ require(sVal.axiMemOverSerialTLParams.isDefined) val axiDomainParams = sVal.axiMemOverSerialTLParams.get - val memFreq = axiDomainParams.axiClockParams match { - case Some(clkParams) => { - BigInt(clkParams.clockFreqMHz.toInt)*1000000 - } + val memFreq: Double = axiDomainParams.axiClockParams match { + case Some(clkParams) => clkParams.clockFreqMHz * 1000000 case None => { - // get freq. from what the master bus specifies - system.asInstanceOf[HasTileLinkLocations].locateTLBusWrapper(p(SerialTLAttachKey).masterWhere).dtsFrequency.get + // get freq. from what the master of the serial link specifies + system.asInstanceOf[HasTileLinkLocations].locateTLBusWrapper(p(SerialTLAttachKey).masterWhere).dtsFrequency.get.toDouble } } ports.map({ port => - val harnessMultiClockAXIRAM = SerialAdapter.connectHarnessMultiClockAXIRAM(system.serdesser.get, port, th.harnessReset) - val success = SerialAdapter.connectSimSerial(harnessMultiClockAXIRAM.module.io.tsi_ser, port.clocked_serial.clock, th.harnessReset.asBool) + val harnessMultiClockAXIRAM = SerialAdapter.connectHarnessMultiClockAXIRAM( + system.serdesser.get, + port, + p(HarnessClockInstantiatorKey).getClockBundleWire("mem_over_serial_tl_clock", memFreq), + th.harnessReset) + val success = SerialAdapter.connectSimSerial(harnessMultiClockAXIRAM.module.io.tsi_ser, port.clock, th.harnessReset.asBool) when (success) { th.success := true.B } // connect SimDRAM from the AXI port coming from the harness multi clock axi ram (harnessMultiClockAXIRAM.mem_axi4 zip harnessMultiClockAXIRAM.memNode.edges.in).map { case (axi_port, edge) => val memSize = sVal.memParams.size val lineSize = p(CacheBlockBytes) - val mem = Module(new SimDRAM(memSize, lineSize, memFreq, edge.bundle)).suggestName("simdram") + val mem = Module(new SimDRAM(memSize, lineSize, BigInt(memFreq.toInt), edge.bundle)).suggestName("simdram") mem.io.axi <> axi_port.bits mem.io.clock := axi_port.clock mem.io.reset := axi_port.reset diff --git a/generators/chipyard/src/main/scala/IOBinders.scala b/generators/chipyard/src/main/scala/IOBinders.scala index 5621382ede..c55d86e0e3 100644 --- a/generators/chipyard/src/main/scala/IOBinders.scala +++ b/generators/chipyard/src/main/scala/IOBinders.scala @@ -11,7 +11,7 @@ import freechips.rocketchip.subsystem._ import freechips.rocketchip.system.{SimAXIMem} import freechips.rocketchip.amba.axi4.{AXI4Bundle, AXI4SlaveNode, AXI4MasterNode, AXI4EdgeParameters} import freechips.rocketchip.util._ -import freechips.rocketchip.prci.{ClockSinkNode, ClockSinkParameters, ClockParameters, ClockGroup, ClockBundle, ClockBundleParameters} +import freechips.rocketchip.prci.{ClockSinkNode, ClockSinkParameters} import freechips.rocketchip.groundtest.{GroundTestSubsystemModuleImp, GroundTestSubsystem} import sifive.blocks.devices.gpio._ @@ -260,49 +260,6 @@ class WithSerialTLIOCells extends OverrideIOBinder({ }).getOrElse((Nil, Nil)) }) -class WithSerialTLAndPassthroughClockPunchthrough extends OverrideLazyIOBinder({ - (system: CanHavePeripheryTLSerial) => system.serial_tl.map({ serial_io => - implicit val p: Parameters = GetSystemParameters(system) - - val sys = system.asInstanceOf[BaseSubsystem] - - require(p(SerialTLKey).isDefined) - val sVal = p(SerialTLKey).get - - // currently only the harness AXI port supports a passthrough clock - require(sVal.axiMemOverSerialTLParams.isDefined) - val axiDomainParams = sVal.axiMemOverSerialTLParams.get - - val clockSinkNode = axiDomainParams.axiClockParams.map({ clkParams => - // request clock to pass along - val node = ClockSinkNode(Seq(ClockSinkParameters(take = Some(ClockParameters(freqMHz = clkParams.clockFreqMHz))))) - (node - := ClockGroup()(p, ValName("mem_over_serialtl_domain")) - := sys.asyncClockGroupsNode) - node - }) - - def clockBundle = clockSinkNode match { - case Some(node) => node.in.head._1 - case None => { - val dontCareClockBundle = new ClockBundle(ClockBundleParameters()) - dontCareClockBundle.clock := DontCare - dontCareClockBundle.reset := DontCare - dontCareClockBundle - } - } - - InModuleBody { - val port = IO(new SerialAndPassthroughClockResetIO(sVal.width)).suggestName(s"serial_tl_passthrough_clk") - port.clocked_serial <> serial_io - port.passthrough_clock_reset <> clockBundle - - // return the ports and no IO cells - (Seq(port), Nil) - } - }).getOrElse(InModuleBody{(Nil, Nil)}).asInstanceOf[ModuleValue[IOBinderTuple]] -}) - class WithAXI4MemPunchthrough extends OverrideLazyIOBinder({ (system: CanHaveMasterAXI4MemPort) => { implicit val p: Parameters = GetSystemParameters(system) diff --git a/generators/chipyard/src/main/scala/TestHarness.scala b/generators/chipyard/src/main/scala/TestHarness.scala index 34e2b1ca5c..981f75695a 100644 --- a/generators/chipyard/src/main/scala/TestHarness.scala +++ b/generators/chipyard/src/main/scala/TestHarness.scala @@ -57,6 +57,7 @@ class HarnessClockInstantiator { divider.io.clk_out } + // TODO: on the implicit clock just create a passthrough (don't instantiate a divider + reset catch) // connect wires to clock source for (sinkParams <- sinks) { val div = pllConfig.sinkDividerMap(sinkParams) diff --git a/generators/chipyard/src/main/scala/config/RocketConfigs.scala b/generators/chipyard/src/main/scala/config/RocketConfigs.scala index 612b7ae687..7f7fe16526 100644 --- a/generators/chipyard/src/main/scala/config/RocketConfigs.scala +++ b/generators/chipyard/src/main/scala/config/RocketConfigs.scala @@ -228,11 +228,7 @@ class MulticlockAXIOverSerialConfig extends Config( new testchipip.WithAsynchronousSerialSlaveCrossing ++ new chipyard.harness.WithSimAXIMemOverSerialTL ++ // add SimDRAM DRAM model for axi4 backing memory over the SerDes link, if axi4 mem is enabled - new chipyard.iobinders.WithSerialTLAndPassthroughClockPunchthrough ++ // add new clock for axi domain over serdes and passthrough ios new chipyard.config.WithSerialTLBackingMemory ++ // remove axi4 mem port in favor of SerialTL memory - new testchipip.WithBlockDeviceLocations( - freechips.rocketchip.subsystem.PBUS, - freechips.rocketchip.subsystem.PBUS) ++ // put block device fully on PBUS to avoid clock crossings new freechips.rocketchip.subsystem.WithNBigCores(1) ++ new chipyard.config.AbstractConfig) diff --git a/generators/testchipip b/generators/testchipip index 3de5c07d05..927709c09e 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit 3de5c07d054ec301499e75374f3ae2c2cea3930c +Subproject commit 927709c09e404c64108bb909f894e35c9a63396c From 2b7e359326a77980b5f61969d6bcf76523ef4727 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Fri, 5 Mar 2021 12:25:59 -0800 Subject: [PATCH 079/225] Cleanup config + fragments | Remove reference clk div/rst catch in harness [ci skip] --- .../src/main/scala/ConfigFragments.scala | 9 +++++-- .../src/main/scala/HarnessBinders.scala | 4 +-- .../chipyard/src/main/scala/TestHarness.scala | 14 ++++++++--- .../src/main/scala/config/RocketConfigs.scala | 25 ++++++++++--------- 4 files changed, 31 insertions(+), 21 deletions(-) diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index 8f9ab0299e..10c5d7e305 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -205,8 +205,13 @@ class WithSerialTLBackingMemory extends Config((site, here, up) => { )} }) -class WithTileFrequency(fMHz: Double) extends ClockNameContainsAssignment("tile", fMHz) -class WithSpecificTileFrequency(hartId: Int, fMHz: Double) extends chipyard.ClockNameContainsAssignment(s"tile_$hartId", fMHz) +class WithTileFrequency(fMHz: Double, hartId: Option[Int] = None) extends ClockNameContainsAssignment({ + hartId match { + case Some(id) => s"tile_$id" + case None => "tile" + } + }, + fMHz) class WithPeripheryBusFrequencyAsDefault extends Config((site, here, up) => { case DefaultClockFrequencyKey => (site(PeripheryBusKey).dtsFrequency.get / (1000 * 1000)).toDouble diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index 39d469a682..bdff89955b 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -83,9 +83,7 @@ class WithGPIOTiedOff extends OverrideHarnessBinder({ // DOC include start: WithUARTAdapter class WithUARTAdapter extends OverrideHarnessBinder({ (system: HasPeripheryUARTModuleImp, th: HasHarnessSignalReferences, ports: Seq[UARTPortIO]) => { - withClockAndReset(th.harnessClock, th.harnessReset) { - UARTAdapter.connect(ports)(system.p) - } + UARTAdapter.connect(ports)(system.p) } }) // DOC include end: WithUARTAdapter diff --git a/generators/chipyard/src/main/scala/TestHarness.scala b/generators/chipyard/src/main/scala/TestHarness.scala index 981f75695a..c0c2808882 100644 --- a/generators/chipyard/src/main/scala/TestHarness.scala +++ b/generators/chipyard/src/main/scala/TestHarness.scala @@ -57,13 +57,19 @@ class HarnessClockInstantiator { divider.io.clk_out } - // TODO: on the implicit clock just create a passthrough (don't instantiate a divider + reset catch) // connect wires to clock source for (sinkParams <- sinks) { - val div = pllConfig.sinkDividerMap(sinkParams) - val divClock = dividedClocks.getOrElse(div, instantiateDivider(div)) + // bypass the reference freq. (don't create a divider + reset sync) + val (divClock, divReset) = if (sinkParams.take.get.freqMHz != pllConfig.referenceFreqMHz) { + val div = pllConfig.sinkDividerMap(sinkParams) + val divClock = dividedClocks.getOrElse(div, instantiateDivider(div)) + (divClock, ResetCatchAndSync(divClock, refClock.reset.asBool)) + } else { + (refClock.clock, refClock.reset) + } + _clockMap(sinkParams.name.get)._2.clock := divClock - _clockMap(sinkParams.name.get)._2.reset := ResetCatchAndSync(divClock, refClock.reset.asBool) + _clockMap(sinkParams.name.get)._2.reset := divReset } } } diff --git a/generators/chipyard/src/main/scala/config/RocketConfigs.scala b/generators/chipyard/src/main/scala/config/RocketConfigs.scala index 7f7fe16526..cbb8f06bc2 100644 --- a/generators/chipyard/src/main/scala/config/RocketConfigs.scala +++ b/generators/chipyard/src/main/scala/config/RocketConfigs.scala @@ -1,8 +1,7 @@ package chipyard import freechips.rocketchip.config.{Config} -import freechips.rocketchip.diplomacy.{AsynchronousCrossing, RationalCrossing} -import freechips.rocketchip.util.{SlowToFast} +import freechips.rocketchip.diplomacy.{AsynchronousCrossing} // -------------- // Rocket Configs @@ -215,20 +214,22 @@ class LBWIFRocketConfig extends Config( new chipyard.config.AbstractConfig) class MulticlockAXIOverSerialConfig extends Config( - new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ - new chipyard.config.WithSystemBusFrequencyAsDefault ++ - new chipyard.config.WithSystemBusFrequency(4000) ++ - new chipyard.config.WithPeripheryBusFrequency(4000) ++ - new chipyard.config.WithMemoryBusFrequency(4000) ++ - - new chipyard.config.WithFrontBusFrequency(4000 / 2) ++ - - new chipyard.config.WithFbusToSbusCrossingType(RationalCrossing(SlowToFast)) ++ + new chipyard.config.WithSystemBusFrequency(500) ++ + new chipyard.config.WithPeripheryBusFrequency(500) ++ + new chipyard.config.WithMemoryBusFrequency(500) ++ + new chipyard.config.WithFrontBusFrequency(50) ++ + new chipyard.config.WithTileFrequency(1000, Some(1)) ++ + new chipyard.config.WithTileFrequency(250, Some(0)) ++ + + new chipyard.config.WithFbusToSbusCrossingType(AsynchronousCrossing()) ++ new testchipip.WithAsynchronousSerialSlaveCrossing ++ + new freechips.rocketchip.subsystem.WithAsynchronousRocketTiles( + AsynchronousCrossing().depth, + AsynchronousCrossing().sourceSync) ++ new chipyard.harness.WithSimAXIMemOverSerialTL ++ // add SimDRAM DRAM model for axi4 backing memory over the SerDes link, if axi4 mem is enabled new chipyard.config.WithSerialTLBackingMemory ++ // remove axi4 mem port in favor of SerialTL memory - new freechips.rocketchip.subsystem.WithNBigCores(1) ++ + new freechips.rocketchip.subsystem.WithNBigCores(2) ++ new chipyard.config.AbstractConfig) From 562d8e51165e310f7992112193116becb0c1da88 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Fri, 5 Mar 2021 16:31:18 -0800 Subject: [PATCH 080/225] Distinguish between implicit clock/reset and reference harnessClock/Reset | Don't use parameter system to pass referenceFreq --- .../chipyard/src/main/scala/ChipTop.scala | 5 ++++- .../chipyard/src/main/scala/Clocks.scala | 9 ++------ .../chipyard/src/main/scala/TestHarness.scala | 21 ++++++++++++++----- .../src/main/scala/config/RocketConfigs.scala | 8 +++---- 4 files changed, 26 insertions(+), 17 deletions(-) diff --git a/generators/chipyard/src/main/scala/ChipTop.scala b/generators/chipyard/src/main/scala/ChipTop.scala index 205135a2ed..e6cfa8cbb6 100644 --- a/generators/chipyard/src/main/scala/ChipTop.scala +++ b/generators/chipyard/src/main/scala/ChipTop.scala @@ -15,6 +15,9 @@ import barstools.iocell.chisel._ case object BuildSystem extends Field[Parameters => LazyModule]((p: Parameters) => new DigitalTop()(p)) +trait HasReferenceClockFreq { + var refClockFreqMHz: Option[Double] = None +} /** * The base class used for building chips. This constructor instantiates a module specified by the BuildSystem parameter, @@ -24,7 +27,7 @@ case object BuildSystem extends Field[Parameters => LazyModule]((p: Parameters) */ class ChipTop(implicit p: Parameters) extends LazyModule with BindingScope - with HasTestHarnessFunctions with HasIOBinders { + with HasTestHarnessFunctions with HasReferenceClockFreq with HasIOBinders { // The system module specified by BuildSystem lazy val lazySystem = LazyModule(p(BuildSystem)(p)).suggestName("system") diff --git a/generators/chipyard/src/main/scala/Clocks.scala b/generators/chipyard/src/main/scala/Clocks.scala index 65346ea6b2..00c074175b 100644 --- a/generators/chipyard/src/main/scala/Clocks.scala +++ b/generators/chipyard/src/main/scala/Clocks.scala @@ -47,12 +47,6 @@ case object ClockingSchemeKey extends Field[ChipTop => Unit](ClockingSchemeGener */ case object ClockFrequencyAssignersKey extends Field[Seq[(String) => Option[Double]]](Seq.empty) case object DefaultClockFrequencyKey extends Field[Double]() -case object ReferenceClockTrackerKey extends Field[ReferenceClockTracker](new ReferenceClockTracker) -class ReferenceClockTracker { - private var _refFreqMHz: Option[Double] = None - def set(freqMHz: Double): Unit = { _refFreqMHz = Some(freqMHz) } - def get: Option[Double] = { _refFreqMHz } -} class ClockNameMatchesAssignment(name: String, fMHz: Double) extends Config((site, here, up) => { case ClockFrequencyAssignersKey => up(ClockFrequencyAssignersKey, site) ++ @@ -106,7 +100,8 @@ object ClockingSchemeGenerators { val (clock_io, clockIOCell) = IOCell.generateIOFromSignal(clock_wire, "clock") chiptop.iocells ++= clockIOCell - p(ReferenceClockTrackerKey).set(dividerOnlyClkGenerator.module.referenceFreq) + // set the reference clock used + chiptop.refClockFreqMHz = Some(dividerOnlyClkGenerator.module.referenceFreq) referenceClockSource.out.unzip._1.map { o => o.clock := clock_wire diff --git a/generators/chipyard/src/main/scala/TestHarness.scala b/generators/chipyard/src/main/scala/TestHarness.scala index c0c2808882..c02df03e88 100644 --- a/generators/chipyard/src/main/scala/TestHarness.scala +++ b/generators/chipyard/src/main/scala/TestHarness.scala @@ -23,6 +23,7 @@ trait HasTestHarnessFunctions { } trait HasHarnessSignalReferences { + // clock/reset of the chiptop reference clock (can be different than the implicit harness clock/reset) def harnessClock: Clock def harnessReset: Reset def dutReset: Reset @@ -81,15 +82,25 @@ class TestHarness(implicit val p: Parameters) extends Module with HasHarnessSign val success = Output(Bool()) }) + val harnessClock = Wire(Clock()) + val harnessReset = Wire(Reset()) + val lazyDut = LazyModule(p(BuildTop)(p)).suggestName("chiptop") - val dut = Module(lazyDut.module) + withClockAndReset(harnessClock, harnessReset) { + val dut = Module(lazyDut.module) + } io.success := false.B - val (harnessClock, harnessReset, dutReset) = { - val freqMHz = p(ReferenceClockTrackerKey).get.getOrElse(100.0) // default to 100MHz - val bundle = p(HarnessClockInstantiatorKey).getClockBundleWire("implicit_harness_clock", freqMHz*1000000.0) - (bundle.clock, WireInit(bundle.reset), bundle.reset.asAsyncReset) + val freqMHz = lazyDut match { + case d: HasReferenceClockFreq => d.refClockFreqMHz.getOrElse(p(DefaultClockFrequencyKey)) + case _ => p(DefaultClockFrequencyKey) } + val refClkBundle = p(HarnessClockInstantiatorKey).getClockBundleWire("chiptop_reference_clock", freqMHz * (1000 * 1000)) + + harnessClock := refClkBundle.clock + harnessReset := WireInit(refClkBundle.reset) + val dutReset = refClkBundle.reset.asAsyncReset + val success = io.success lazyDut match { case d: HasTestHarnessFunctions => diff --git a/generators/chipyard/src/main/scala/config/RocketConfigs.scala b/generators/chipyard/src/main/scala/config/RocketConfigs.scala index cbb8f06bc2..45f016bf08 100644 --- a/generators/chipyard/src/main/scala/config/RocketConfigs.scala +++ b/generators/chipyard/src/main/scala/config/RocketConfigs.scala @@ -215,11 +215,11 @@ class LBWIFRocketConfig extends Config( class MulticlockAXIOverSerialConfig extends Config( new chipyard.config.WithSystemBusFrequencyAsDefault ++ - new chipyard.config.WithSystemBusFrequency(500) ++ - new chipyard.config.WithPeripheryBusFrequency(500) ++ - new chipyard.config.WithMemoryBusFrequency(500) ++ + new chipyard.config.WithSystemBusFrequency(250) ++ + new chipyard.config.WithPeripheryBusFrequency(250) ++ + new chipyard.config.WithMemoryBusFrequency(250) ++ new chipyard.config.WithFrontBusFrequency(50) ++ - new chipyard.config.WithTileFrequency(1000, Some(1)) ++ + new chipyard.config.WithTileFrequency(500, Some(1)) ++ new chipyard.config.WithTileFrequency(250, Some(0)) ++ new chipyard.config.WithFbusToSbusCrossingType(AsynchronousCrossing()) ++ From 6ab8f8f8fc776f9adcd6172349f7715124027a0c Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Mon, 8 Mar 2021 22:03:07 +0000 Subject: [PATCH 081/225] Update FireSim to support harness clocks | Small config renaming --- .../chipyard/src/main/scala/TestHarness.scala | 2 +- .../clocking/DividerOnlyClockGenerator.scala | 1 + .../src/main/scala/BridgeBinders.scala | 39 +++-- .../firechip/src/main/scala/FireSim.scala | 144 ++++++++++++++---- .../src/main/scala/TargetConfigs.scala | 7 +- generators/testchipip | 2 +- 6 files changed, 148 insertions(+), 47 deletions(-) diff --git a/generators/chipyard/src/main/scala/TestHarness.scala b/generators/chipyard/src/main/scala/TestHarness.scala index c02df03e88..4c5dd4f617 100644 --- a/generators/chipyard/src/main/scala/TestHarness.scala +++ b/generators/chipyard/src/main/scala/TestHarness.scala @@ -95,7 +95,7 @@ class TestHarness(implicit val p: Parameters) extends Module with HasHarnessSign case d: HasReferenceClockFreq => d.refClockFreqMHz.getOrElse(p(DefaultClockFrequencyKey)) case _ => p(DefaultClockFrequencyKey) } - val refClkBundle = p(HarnessClockInstantiatorKey).getClockBundleWire("chiptop_reference_clock", freqMHz * (1000 * 1000)) + val refClkBundle = p(HarnessClockInstantiatorKey).getClockBundleWire("buildtop_reference_clock", freqMHz * (1000 * 1000)) harnessClock := refClkBundle.clock harnessReset := WireInit(refClkBundle.reset) diff --git a/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala b/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala index 00cb6814f5..589d99c6da 100644 --- a/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala +++ b/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala @@ -89,6 +89,7 @@ class SimplePllConfiguration( ElaborationArtefacts.add(s"${name}.freq-summary", summaryString) println(summaryString) } + def referenceSinkParams(): ClockSinkParameters = sinkDividerMap.find(_._2 == 1).get._1 } case class DividerOnlyClockGeneratorNode(pllName: String)(implicit valName: ValName) diff --git a/generators/firechip/src/main/scala/BridgeBinders.scala b/generators/firechip/src/main/scala/BridgeBinders.scala index b6b3caf906..174ea5bb04 100644 --- a/generators/firechip/src/main/scala/BridgeBinders.scala +++ b/generators/firechip/src/main/scala/BridgeBinders.scala @@ -12,6 +12,8 @@ import freechips.rocketchip.devices.debug.{Debug, HasPeripheryDebugModuleImp} import freechips.rocketchip.amba.axi4.{AXI4Bundle} import freechips.rocketchip.subsystem._ import freechips.rocketchip.tile.{RocketTile} +import freechips.rocketchip.prci.{ClockBundle, ClockBundleParameters} +import freechips.rocketchip.util.{ResetCatchAndSync} import sifive.blocks.devices.uart._ import testchipip._ @@ -104,24 +106,43 @@ class WithBlockDeviceBridge extends OverrideHarnessBinder({ }) class WithAXIOverSerialTLCombinedBridges extends OverrideHarnessBinder({ - (system: CanHavePeripheryTLSerial, th: FireSim, ports: Seq[SerialAndPassthroughClockResetIO]) => { + (system: CanHavePeripheryTLSerial, th: FireSim, ports: Seq[ClockedIO[SerialIO]]) => { implicit val p = GetSystemParameters(system) p(SerialTLKey).map({ sVal => - // require having memory over the serdes link + // currently only the harness AXI port supports a passthrough clock + require(sVal.axiMemOverSerialTLParams.isDefined) + val axiDomainParams = sVal.axiMemOverSerialTLParams.get require(sVal.isMemoryDevice) + val memFreq: Double = axiDomainParams.axiClockParams match { + case Some(clkParams) => clkParams.clockFreqMHz * 1000000 + case None => { + // get freq. from what the master of the serial link specifies + system.asInstanceOf[HasTileLinkLocations].locateTLBusWrapper(p(SerialTLAttachKey).masterWhere).dtsFrequency.get.toDouble + } + } + ports.map({ port => - val offchipNetwork = SerialAdapter.connectHarnessMultiClockAXIRAM(system.serdesser.get, port, th.harnessReset) - SerialBridge(port.clocked_serial.clock, offchipNetwork.module.io.tsi_ser, Some(MainMemoryConsts.globalName)) + val axiClock = p(ClockBridgeInstantiatorKey).getClock("mem_over_serial_tl_clock", memFreq) + val axiClockBundle = Wire(new ClockBundle(ClockBundleParameters())) + axiClockBundle.clock := axiClock + axiClockBundle.reset := ResetCatchAndSync(axiClock, th.harnessReset.asBool) + + val harnessMultiClockAXIRAM = SerialAdapter.connectHarnessMultiClockAXIRAM( + system.serdesser.get, + port, + axiClockBundle, + th.harnessReset) + SerialBridge(port.clock, harnessMultiClockAXIRAM.module.io.tsi_ser, Some(MainMemoryConsts.globalName)) // connect SimAxiMem - (offchipNetwork.mem_axi4 zip offchipNetwork.memAXI4Node.edges.in).map { case (axi4, edge) => - val nastiKey = NastiParameters(axi4.r.bits.data.getWidth, - axi4.ar.bits.addr.getWidth, - axi4.ar.bits.id.getWidth) + (harnessMultiClockAXIRAM.mem_axi4 zip harnessMultiClockAXIRAM.memNode.edges.in).map { case (axi4, edge) => + val nastiKey = NastiParameters(axi4.bits.r.bits.data.getWidth, + axi4.bits.ar.bits.addr.getWidth, + axi4.bits.ar.bits.id.getWidth) system match { - case s: BaseSubsystem => FASEDBridge(port.passthrough_clock_reset.clock, axi4, port.passthrough_clock_reset.reset.asBool, + case s: BaseSubsystem => FASEDBridge(axi4.clock, axi4.bits, axi4.reset.asBool, CompleteConfig(p(firesim.configs.MemModelKey), nastiKey, Some(AXI4EdgeSummary(edge)), diff --git a/generators/firechip/src/main/scala/FireSim.scala b/generators/firechip/src/main/scala/FireSim.scala index ff76597094..b1498a5bc1 100644 --- a/generators/firechip/src/main/scala/FireSim.scala +++ b/generators/firechip/src/main/scala/FireSim.scala @@ -2,6 +2,8 @@ package firesim.firesim +import scala.collection.mutable.{HashMap} + import chisel3._ import chisel3.experimental.{IO} @@ -38,44 +40,104 @@ object NodeIdx { /** * Under FireSim's current multiclock implementation there can be only a * single clock bridge. This requires, therefore, that it be instantiated in - * the harness and reused across all supernode instances. This class attempts to + * the harness and reused across all supernode instances. This class attempts to * memoize its instantiation such that it can be referenced from within a ClockScheme function. */ class ClockBridgeInstantiator { - private var _clockRecord: Option[RecordMap[Clock]] = None + private var _harnessClockMap: HashMap[String, (Double, Clock)] = HashMap.empty + + // Assumes that the supernode implementation results in duplicated clocks + // (i.e. only 1 set of clocks is generated for all BuildTop designs) + private var _ratClockMap: HashMap[String, (RationalClock, Clock)] = HashMap.empty + private var _ratRefName: Option[String] = None + + /** + * Request a clock at a particular frequency + * + * @param name An identifier for the associated clock domain + * + * @param freqRequested Freq. for the domain in Hz + */ + def getClock(name: String, freqRequested: Double): Clock = { + val clkWire = Wire(new Clock) + _harnessClockMap(name) = (freqRequested, clkWire) + clkWire + } - def getClockRecord: RecordMap[Clock] = _clockRecord.get + /** + * Get a RecordMap of clocks for a set of input RationalClocks + * + * @param allClocks Seq. of RationalClocks that want a clock + * + * @param baseClockName Name of domain that the allClocks is rational to + */ + def getClockRecordMap(allClocks: Seq[RationalClock], baseClockName: String): RecordMap[Clock] = { + val ratClockRecordMapWire = Wire(RecordMap(allClocks.map { c => (c.name, Clock()) }:_*)) + + _ratRefName = Some(baseClockName) + for (clock <- allClocks) { + val clkWire = Wire(new Clock) + _ratClockMap(clock.name) = (clock, clkWire) + ratClockRecordMapWire(clock.name).get := clkWire + } - def getClockRecordOrInstantiate(allClocks: Seq[RationalClock], baseClockName: String): RecordMap[Clock] = { - if (_clockRecord.isEmpty) { - require(allClocks.exists(_.name == baseClockName), - s"Provided base-clock name, ${baseClockName}, does not match a defined clock. Available clocks:\n " + - allClocks.map(_.name).mkString("\n ")) + ratClockRecordMapWire + } - val baseClock = allClocks.find(_.name == baseClockName).get - val simplified = allClocks.map { c => - c.copy(multiplier = c.multiplier * baseClock.divisor, divisor = c.divisor * baseClock.multiplier) - .simplify - } + /** + * Connect all clocks requested to ClockBridge + */ + def instantiateFireSimDividerPLL: Unit = { + // Simplify the RationalClocks ratio's + val refRatClock = _ratClockMap.find(_._1 == _ratRefName.get).get._2._1 + val simpleRatClocks = _ratClockMap.map { t => + val ratClock = t._2._1 + ratClock.copy( + multiplier = ratClock.multiplier * refRatClock.divisor, + divisor = ratClock.divisor * refRatClock.multiplier).simplify + } - /** - * Removes clocks that have the same frequency before instantiating the - * clock bridge to avoid unnecessary BUFGCE use. - */ - val distinct = simplified.foldLeft(Seq(RationalClock(baseClockName, 1, 1))) { case (list, candidate) => - if (list.exists { clock => clock.equalFrequency(candidate) }) list else list :+ candidate - } + // Determine all the clock dividers (harness + rational clocks) + // Note: Requires that the BuildTop reference frequency is requested with proper freq. + val refRatClockFreq = _harnessClockMap.find(_._1 == _ratRefName.get).get._2._1 + val refRatSinkParams = ClockSinkParameters(take=Some(ClockParameters(freqMHz=refRatClockFreq / (1000 * 1000))),name=Some(_ratRefName.get)) + val harSinkParams = _harnessClockMap.map { case (name, (freq, bundle)) => + ClockSinkParameters(take=Some(ClockParameters(freqMHz=freq / (1000 * 1000))),name=Some(name)) + }.toSeq + val allSinkParams = harSinkParams :+ refRatSinkParams + + // Use PLL config to determine overall div's + val pllConfig = new SimplePllConfiguration("firesimOverallClockBridge", allSinkParams) + pllConfig.emitSummaries + + // Adjust all BuildTop RationalClocks with the div determined by the PLL + val refRatDiv = pllConfig.sinkDividerMap(refRatSinkParams) + val adjRefRatClocks = simpleRatClocks.map { clock => + clock.copy(divisor = clock.divisor * refRatDiv).simplify + } - val clockBridge = Module(new RationalClockBridge(distinct)) - val cbVecTuples = distinct.zip(clockBridge.io.clocks) - val outputWire = Wire(RecordMap(simplified.map { c => (c.name, Clock()) }:_*)) - for (parameter <- simplified) { - val (_, cbClockField) = cbVecTuples.find(_._1.equalFrequency(parameter)).get - outputWire(parameter.name).get := cbClockField - } - _clockRecord = Some(outputWire) + // Convert harness clocks to RationalClocks + val harRatClocks = harSinkParams.map { case ClockSinkParameters(_, _, _, _, clkParamsOpt, nameOpt) => + RationalClock(nameOpt.get, 1, pllConfig.referenceFreqMHz.toInt / clkParamsOpt.get.freqMHz.toInt) + } + + val allAdjRatClks = adjRefRatClocks ++ harRatClocks + + // Removes clocks that have the same frequency before instantiating the + // clock bridge to avoid unnecessary BUFGCE use. + val allDistinctRatClocks = allAdjRatClks.foldLeft(Seq(RationalClock(pllConfig.referenceSinkParams.name.get, 1, 1))) { + case (list, candidate) => if (list.exists { clock => clock.equalFrequency(candidate) }) list else list :+ candidate + } + + val clockBridge = Module(new RationalClockBridge(allDistinctRatClocks)) + val cbVecTuples = allDistinctRatClocks.zip(clockBridge.io.clocks) + + // Connect all clocks (harness + BuildTop clocks) + for (clock <- allAdjRatClks) { + val (_, cbClockField) = cbVecTuples.find(_._1.equalFrequency(clock)).get + _ratClockMap.get(clock.name).map { case (_, clk) => clk := cbClockField } + _harnessClockMap.get(clock.name).map { case (_, clk) => clk := cbClockField } } - getClockRecord } } @@ -117,16 +179,19 @@ class WithFireSimSimpleClocks extends Config((site, here, up) => { clockBundle.reset := reset } - val pllConfig = new SimplePllConfiguration("FireSim RationalClockBridge", clockGroupEdge.sink.members) + val pllConfig = new SimplePllConfiguration("firesimBuildTopClockGenerator", clockGroupEdge.sink.members) pllConfig.emitSummaries val rationalClockSpecs = for ((sinkP, division) <- pllConfig.sinkDividerMap) yield { RationalClock(sinkP.name.get, 1, division) } + // Set the reference frequency used + chiptop.refClockFreqMHz = Some(pllConfig.referenceFreqMHz) + chiptop.harnessFunctions += ((th: HasHarnessSignalReferences) => { reset := th.harnessReset input_clocks := p(ClockBridgeInstantiatorKey) - .getClockRecordOrInstantiate(rationalClockSpecs.toSeq, p(FireSimBaseClockNameKey)) + .getClockRecordMap(rationalClockSpecs.toSeq, p(FireSimBaseClockNameKey)) Nil }) } } @@ -140,6 +205,8 @@ class FireSim(implicit val p: Parameters) extends RawModule with HasHarnessSigna def dutReset = { require(false, "dutReset should not be used in Firesim"); false.B } def success = { require(false, "success should not be used in Firesim"); false.B } + var btFreqMHz: Option[Double] = None + // Instantiate multiple instances of the DUT to implement supernode for (i <- 0 until p(NumNodes)) { // It's not a RC bump without some hacks... @@ -150,7 +217,15 @@ class FireSim(implicit val p: Parameters) extends RawModule with HasHarnessSigna val lazyModule = LazyModule(p(BuildTop)(p.alterPartial({ case AsyncClockGroupsKey => p(AsyncClockGroupsKey).copy }))) - val module = Module(lazyModule.module) + withClockAndReset(harnessClock, harnessReset) { + val module = Module(lazyModule.module) + } + + btFreqMHz = Some(lazyModule match { + case d: HasReferenceClockFreq => d.refClockFreqMHz.getOrElse(p(DefaultClockFrequencyKey)) + case _ => p(DefaultClockFrequencyKey) + }) + lazyModule match { case d: HasTestHarnessFunctions => require(d.harnessFunctions.size == 1, "There should only be 1 harness function to connect clock+reset") d.harnessFunctions.foreach(_(this)) @@ -160,5 +235,8 @@ class FireSim(implicit val p: Parameters) extends RawModule with HasHarnessSigna } NodeIdx.increment() } - harnessClock := p(ClockBridgeInstantiatorKey).getClockRecord("implicit_clock").get + + harnessClock := p(ClockBridgeInstantiatorKey).getClock(p(FireSimBaseClockNameKey), btFreqMHz.get * (1000 * 1000)) + + p(ClockBridgeInstantiatorKey).instantiateFireSimDividerPLL } diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index a7626f324c..6be9cc215e 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -59,7 +59,7 @@ class WithNIC extends icenet.WithIceNIC(inBufFlits = 8192, ctrlQueueDepth = 64) class WithNVDLALarge extends nvidia.blocks.dla.WithNVDLA("large") class WithNVDLASmall extends nvidia.blocks.dla.WithNVDLA("small") -class WithFireSimConfigTweaksWithoutClocking extends Config( +class WithFireSimDesignTweaks extends Config( // Required: Bake in the default FASED memory model new WithDefaultMemModel ++ // Required*: Uses FireSim ClockBridge and PeekPokeBridge to drive the system with a single clock/reset @@ -96,7 +96,7 @@ class WithFireSimConfigTweaks extends Config( new chipyard.config.WithAsynchrousMemoryBusCrossing ++ new testchipip.WithAsynchronousSerialSlaveCrossing ++ // Tweaks that are independent from multi-clock - new WithFireSimConfigTweaksWithoutClocking + new WithFireSimDesignTweaks ) /******************************************************************************* @@ -207,8 +207,9 @@ class FireSimMulticlockRocketConfig extends Config( class FireSimMulticlockAXIOverSerialConfig extends Config( new WithAXIOverSerialTLCombinedBridges ++ // use combined bridge to connect to axi mem over serial new WithDefaultFireSimBridges ++ + new testchipip.WithBlockDevice(false) ++ // disable blockdev new WithDefaultMemModel ++ - new WithFireSimConfigTweaksWithoutClocking ++ // don't inherit firesim clocking + new WithFireSimDesignTweaks ++ // don't inherit firesim clocking new chipyard.MulticlockAXIOverSerialConfig ) diff --git a/generators/testchipip b/generators/testchipip index 927709c09e..03c4ac4862 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit 927709c09e404c64108bb909f894e35c9a63396c +Subproject commit 03c4ac486209253aaa20a702842fba511cfeac04 From e4ccfe1bb9b7652db26f658458cfa5632505c1de Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Mon, 8 Mar 2021 23:43:00 +0000 Subject: [PATCH 082/225] Renaming updates | Have FireSim clocks request frequency by default --- .../src/main/scala/HarnessBinders.scala | 2 +- .../chipyard/src/main/scala/TestHarness.scala | 4 +-- .../firechip/src/main/scala/FireSim.scala | 30 ++++++++++++------- 3 files changed, 23 insertions(+), 13 deletions(-) diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index bdff89955b..a1f39c6c0f 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -160,7 +160,7 @@ class WithSimAXIMemOverSerialTL extends OverrideHarnessBinder({ val harnessMultiClockAXIRAM = SerialAdapter.connectHarnessMultiClockAXIRAM( system.serdesser.get, port, - p(HarnessClockInstantiatorKey).getClockBundleWire("mem_over_serial_tl_clock", memFreq), + p(HarnessClockInstantiatorKey).getClockBundle("mem_over_serial_tl_clock", memFreq), th.harnessReset) val success = SerialAdapter.connectSimSerial(harnessMultiClockAXIRAM.module.io.tsi_ser, port.clock, th.harnessReset.asBool) when (success) { th.success := true.B } diff --git a/generators/chipyard/src/main/scala/TestHarness.scala b/generators/chipyard/src/main/scala/TestHarness.scala index 4c5dd4f617..9090086f73 100644 --- a/generators/chipyard/src/main/scala/TestHarness.scala +++ b/generators/chipyard/src/main/scala/TestHarness.scala @@ -34,7 +34,7 @@ class HarnessClockInstantiator { private var _clockMap: HashMap[String, (Double, ClockBundle)] = HashMap.empty // request a clock bundle at a particular frequency - def getClockBundleWire(name: String, freqRequested: Double): ClockBundle = { + def getClockBundle(name: String, freqRequested: Double): ClockBundle = { val clockBundle = Wire(new ClockBundle(ClockBundleParameters())) _clockMap(name) = (freqRequested, clockBundle) clockBundle @@ -95,7 +95,7 @@ class TestHarness(implicit val p: Parameters) extends Module with HasHarnessSign case d: HasReferenceClockFreq => d.refClockFreqMHz.getOrElse(p(DefaultClockFrequencyKey)) case _ => p(DefaultClockFrequencyKey) } - val refClkBundle = p(HarnessClockInstantiatorKey).getClockBundleWire("buildtop_reference_clock", freqMHz * (1000 * 1000)) + val refClkBundle = p(HarnessClockInstantiatorKey).getClockBundle("buildtop_reference_clock", freqMHz * (1000 * 1000)) harnessClock := refClkBundle.clock harnessReset := WireInit(refClkBundle.reset) diff --git a/generators/firechip/src/main/scala/FireSim.scala b/generators/firechip/src/main/scala/FireSim.scala index b1498a5bc1..ca0ab1a05b 100644 --- a/generators/firechip/src/main/scala/FireSim.scala +++ b/generators/firechip/src/main/scala/FireSim.scala @@ -49,7 +49,7 @@ class ClockBridgeInstantiator { // Assumes that the supernode implementation results in duplicated clocks // (i.e. only 1 set of clocks is generated for all BuildTop designs) private var _ratClockMap: HashMap[String, (RationalClock, Clock)] = HashMap.empty - private var _ratRefName: Option[String] = None + private var _ratRefTuple: Option[(String, Double)] = None /** * Request a clock at a particular frequency @@ -70,11 +70,15 @@ class ClockBridgeInstantiator { * @param allClocks Seq. of RationalClocks that want a clock * * @param baseClockName Name of domain that the allClocks is rational to + * + * @param baseFreqRequested Freq. for the reference domain in Hz */ - def getClockRecordMap(allClocks: Seq[RationalClock], baseClockName: String): RecordMap[Clock] = { + def getClockRecordMap(allClocks: Seq[RationalClock], baseClockName: String, baseFreqRequested: Double): RecordMap[Clock] = { + require(!_ratRefTuple.isDefined, "Can only request one RecordMap of Clocks") + val ratClockRecordMapWire = Wire(RecordMap(allClocks.map { c => (c.name, Clock()) }:_*)) - _ratRefName = Some(baseClockName) + _ratRefTuple = Some((baseClockName, baseFreqRequested)) for (clock <- allClocks) { val clkWire = Wire(new Clock) _ratClockMap(clock.name) = (clock, clkWire) @@ -87,9 +91,14 @@ class ClockBridgeInstantiator { /** * Connect all clocks requested to ClockBridge */ - def instantiateFireSimDividerPLL: Unit = { + def instantiateFireSimClockBridge: Unit = { + require(_ratRefTuple.isDefined, "Must have rational clocks to assign to") + require(_ratClockMap.exists(_._1 == _ratRefTuple.get._1), + s"Provided base-clock name for rational clocks, ${_ratRefTuple.get._1}, doesn't match a name within specified rational clocks." + + "Available clocks:\n " + _ratClockMap.map(_._1).mkString("\n ")) + // Simplify the RationalClocks ratio's - val refRatClock = _ratClockMap.find(_._1 == _ratRefName.get).get._2._1 + val refRatClock = _ratClockMap.find(_._1 == _ratRefTuple.get._1).get._2._1 val simpleRatClocks = _ratClockMap.map { t => val ratClock = t._2._1 ratClock.copy( @@ -99,8 +108,8 @@ class ClockBridgeInstantiator { // Determine all the clock dividers (harness + rational clocks) // Note: Requires that the BuildTop reference frequency is requested with proper freq. - val refRatClockFreq = _harnessClockMap.find(_._1 == _ratRefName.get).get._2._1 - val refRatSinkParams = ClockSinkParameters(take=Some(ClockParameters(freqMHz=refRatClockFreq / (1000 * 1000))),name=Some(_ratRefName.get)) + val refRatClockFreq = _ratRefTuple.get._2 + val refRatSinkParams = ClockSinkParameters(take=Some(ClockParameters(freqMHz=refRatClockFreq / (1000 * 1000))),name=Some(_ratRefTuple.get._1)) val harSinkParams = _harnessClockMap.map { case (name, (freq, bundle)) => ClockSinkParameters(take=Some(ClockParameters(freqMHz=freq / (1000 * 1000))),name=Some(name)) }.toSeq @@ -191,7 +200,7 @@ class WithFireSimSimpleClocks extends Config((site, here, up) => { chiptop.harnessFunctions += ((th: HasHarnessSignalReferences) => { reset := th.harnessReset input_clocks := p(ClockBridgeInstantiatorKey) - .getClockRecordMap(rationalClockSpecs.toSeq, p(FireSimBaseClockNameKey)) + .getClockRecordMap(rationalClockSpecs.toSeq, p(FireSimBaseClockNameKey), pllConfig.referenceFreqMHz * (1000 * 1000)) Nil }) } } @@ -199,6 +208,7 @@ class WithFireSimSimpleClocks extends Config((site, here, up) => { class FireSim(implicit val p: Parameters) extends RawModule with HasHarnessSignalReferences { freechips.rocketchip.util.property.cover.setPropLib(new midas.passes.FireSimPropertyLibrary()) + val harnessClock = Wire(Clock()) val harnessReset = WireInit(false.B) val peekPokeBridge = PeekPokeBridge(harnessClock, harnessReset) @@ -236,7 +246,7 @@ class FireSim(implicit val p: Parameters) extends RawModule with HasHarnessSigna NodeIdx.increment() } - harnessClock := p(ClockBridgeInstantiatorKey).getClock(p(FireSimBaseClockNameKey), btFreqMHz.get * (1000 * 1000)) + harnessClock := p(ClockBridgeInstantiatorKey).getClock("buildtop_reference_clock", btFreqMHz.get * (1000 * 1000)) - p(ClockBridgeInstantiatorKey).instantiateFireSimDividerPLL + p(ClockBridgeInstantiatorKey).instantiateFireSimClockBridge } From ade8457870fdf12e8dfd3f47b1a35f23fc634221 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Tue, 9 Mar 2021 05:11:24 +0000 Subject: [PATCH 083/225] First doc pass (no updated imgs) [ci skip] --- docs/Advanced-Concepts/Chip-Communication.rst | 134 +++++++++++++----- docs/Advanced-Concepts/Harness-Clocks.rst | 20 +++ docs/Advanced-Concepts/index.rst | 1 + .../src/main/scala/HarnessBinders.scala | 2 + .../src/main/scala/config/RocketConfigs.scala | 2 + 5 files changed, 120 insertions(+), 39 deletions(-) create mode 100644 docs/Advanced-Concepts/Harness-Clocks.rst diff --git a/docs/Advanced-Concepts/Chip-Communication.rst b/docs/Advanced-Concepts/Chip-Communication.rst index 50c5ac9a1d..9084f7ef1f 100644 --- a/docs/Advanced-Concepts/Chip-Communication.rst +++ b/docs/Advanced-Concepts/Chip-Communication.rst @@ -7,7 +7,7 @@ There are two types of DUTs that can be made: `tethered` or `standalone` DUTs. A `tethered` DUT is where a host computer (or just host) must send transactions to the DUT to bringup a program. This differs from a `standalone` DUT that can bringup itself (has its own bootrom, loads programs itself, etc). An example of a tethered DUT is a Chipyard simulation where the host loads the test program into the DUTs memory and signals to the DUT that the program is ready to run. -An example of a standalone DUT is a Chipyard simulation where a program can be loaded from an SDCard by default. +An example of a standalone DUT is a Chipyard simulation where a program can be loaded from an SDCard out of reset. In this section, we mainly describe how to communicate to tethered DUTs. There are two ways the host (otherwise known as the outside world) can communicate with a tethered Chipyard DUT: @@ -45,33 +45,21 @@ Using the Tethered Serial Interface (TSI) ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ By default, Chipyard uses the Tethered Serial Interface (TSI) to communicate with the DUT. -TSI protocol is an implementation of HTIF that is used to send commands to the -RISC-V DUT. These TSI commands are simple R/W commands -that are able to probe the DUT's memory space. During simulation, the host sends TSI commands to a -simulation stub called ``SimSerial`` (C++ class) that resides in a ``SimSerial`` Verilog module -(both are located in the ``generators/testchipip`` project). This ``SimSerial`` Verilog module then -sends the TSI command recieved by the simulation stub into the DUT which then converts the TSI -command into a TileLink request. This conversion is done by the ``SerialAdapter`` module -(located in the ``generators/testchipip`` project). In simulation, FESVR -resets the DUT, writes into memory the test program, and indicates to the DUT to start the program -through an interrupt (see :ref:`customization/Boot-Process:Chipyard Boot Process`). Using TSI is currently the fastest -mechanism to communicate with the DUT in simulation. - -In the case of a chip tapeout bringup, TSI commands can be sent over a custom communication -medium to communicate with the chip. For example, some Berkeley tapeouts have a FPGA -with a RISC-V soft-core that runs FESVR. The FESVR on the soft-core sends TSI commands -to a TSI-to-TileLink converter living on the FPGA (i.e. ``SerialAdapter``). After the transaction is -converted to TileLink, the ``TLSerdesser`` (located in ``generators/testchipip``) serializes the -transaction and sends it to the chip (this ``TLSerdesser`` is sometimes also referred to as a -serial-link or serdes). Once the serialized transaction is received on the -chip, it is deserialized and masters a bus on the chip. The following image shows this flow: - -.. image:: ../_static/images/chip-bringup.png - -.. note:: - The ``TLSerdesser`` can also be used as a slave (client), so it can sink memory requests from the chip - and connect to off-chip backing memory. Or in other words, ``TLSerdesser`` creates a bi-directional TileLink - interface. +TSI protocol is an implementation of HTIF that is used to send commands to the RISC-V DUT. +These TSI commands are simple R/W commands that are able to probe the DUT's memory space. +During simulation, the host sends TSI commands to a simulation stub in the test harness called ``SimSerial`` +(C++ class) that resides in a ``SimSerial`` Verilog module (both are located in the ``generators/testchipip`` +project). +This ``SimSerial`` Verilog module then sends the TSI command recieved by the simulation stub +to an adapter that converts the TSI command into a TileLink request. +This conversion is done by the ``SerialAdapter`` module (located in the ``generators/testchipip`` project). +After the transaction is converted to TileLink, the ``TLSerdesser`` (located in ``generators/testchipip``) serializes the +transaction and sends it to the chip (this ``TLSerdesser`` is sometimes also referred to as a digital serial-link or SerDes). +Once the serialized transaction is received on the chip, it is deserialized and masters a TileLink bus on the chip +which handles the request. +In simulation, FESVR resets the DUT, writes into memory the test program, and indicates to the DUT to start the program +through an interrupt (see :ref:`customization/Boot-Process:Chipyard Boot Process`). +Using TSI is currently the fastest mechanism to communicate with the DUT in simulation and is also used by FireSim. Using the Debug Module Interface (DMI) ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ @@ -95,9 +83,10 @@ Thus, Chipyard removes the DTM by default in favor of the TSI protocol for DUT c Starting the TSI or DMI Simulation ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -All default Chipyard configurations use TSI to communicate between the simulation and the simulated SoC/DUT. Hence, when running a -software RTL simulation, as is indicated in the :ref:`simulation/Software-RTL-Simulation:Software RTL Simulation` section, you are in-fact using TSI to communicate with the DUT. As a -reminder, to run a software RTL simulation, run: +All default Chipyard configurations use TSI to communicate between the simulation and the simulated SoC/DUT. +Hence, when running a software RTL simulation, as is indicated in the +:ref:`simulation/Software-RTL-Simulation:Software RTL Simulation` section, you are in-fact using TSI to communicate with the DUT. +As a reminder, to run a software RTL simulation, run: .. code-block:: bash @@ -105,11 +94,10 @@ reminder, to run a software RTL simulation, run: # or cd sims/vcs - make CONFIG=LargeBoomConfig run-asm-tests + make CONFIG=RocketConfig run-asm-tests -FireSim FPGA-accelerated simulations use TSI by default as well. - -If you would like to build and simulate a Chipyard configuration with a DTM configured for DMI communication, then you must tie-off the TSI interface, and instantiate the `SimDTM`. Note that we use `WithTiedOffSerial ++ WithSimDebug` instead of `WithTiedOffDebug ++ WithSimSerial`. +If you would like to build and simulate a Chipyard configuration with a DTM configured for DMI communication, +then you must tie-off the serial-link interface, and instantiate the `SimDTM`. .. literalinclude:: ../../generators/chipyard/src/main/scala/config/RocketConfigs.scala :language: scala @@ -130,13 +118,81 @@ Using the JTAG Interface ------------------------ The main way to use JTAG with a Rocket Chip based system is to instantiate the Debug Transfer Module (DTM) -and configure it to use a JTAG interface. The default Chipyard designs instantiate the DTM and configure it -to use JTAG. You may attach OpenOCD and GDB to any of the default JTAG-enabled designs. +and configure it to use a JTAG interface. +The default Chipyard designs instantiate the DTM and configure it to use JTAG. +You may attach OpenOCD and GDB to any of the default JTAG-enabled designs. Debugging with JTAG -~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +~~~~~~~~~~~~~~~~~~~ -Please refer to the following resources on how to debug with JTAG. +Please refer to the following resources on how to debug a Rocket Chip system with JTAG. * https://github.com/chipsalliance/rocket-chip#-debugging-with-gdb * https://github.com/riscv/riscv-isa-sim#debugging-with-gdb + +Roughly the steps are as follows (refer to the links for details): + +1. Build a Chipyard JTAG-enabled RTL design (i.e. ``make CONFIG=RocketConfig`` in ``sims/*``) +2. Run the simulation with remote bit-bang enabled (i.e. ``make CONFIG=RocketConfig BINARY= SIM_FLAGS="+jtag_rbb_enable=1 --rbb-port=9823" run-binary``) +3. Launch OpenOCD +4. Connect to OpenOCD via GDB +5. Done! + +Example Test Chip Bringup Communication +--------------------------------------- + +Intro to Typical Chipyard Test Chip +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +Most, if not all, Chipyard configurations are tethered using TSI (over a serial link) and have access +to external memory through an AXI port (backing AXI memory). +The following image shows the DUT with these set of default signals: + +.. image:: ../_static/images/chip-bringup.png + +In this setup, the serial-link is connected to the TSI/FESVR peripherals while the AXI port is connected +to a simulated AXI memory. +However, AXI ports tend to have many signals associated with them so instead of creating an AXI port off the DUT, +one can send the memory transactions over the bi-directional serial-link (``TLSerdesser``) so that main +interface to the DUT is the serial-link (which as comparatively less signals than an AXI port). +This new setup (shown below) is a typical Chipyard test chip setup: + +.. image:: ../_static/images/chip-bringup.png + +Simulation Setup +~~~~~~~~~~~~~~~~ + +To test this type of configuration (TSI/memory transactions over the serial-link), most of the same TSI collateral +would be used. +The main difference is that the TileLink-to-AXI converters and simulated AXI memory resides on the other side of the +serial-link. + +.. image:: ../_static/images/chip-bringup.png + +.. note:: + Here the simulated AXI memory and the converters can be in a different clock domain in the test harness + than the DUT. This is done in a harness binder doing these offchip connections. See + :ref:`Advanced-Concepts/Harness-Clocks:Creating Clocks in the Test Harness` on how to generate a clock in a harness binder. + +This type of simulation setup is done in the following multi-clock configuration: + +.. literalinclude:: ../../generators/chipyard/src/main/scala/config/RocketConfigs.scala + :language: scala + :start-after: DOC include start: MulticlockAXIOverSerialConfig + :end-before: DOC include end: MulticlockAXIOverSerialConfig + +Real-world FPGA Setup +~~~~~~~~~~~~~~~~~~~~~ + +Assuming this same chip configuration is being tested after tapeout (during bringup), +communication with the DUT is similar but slightly different. +For example, some Berkeley tapeouts have a FPGA with a RISC-V soft-core that runs FESVR. +This RISC-V soft-core would serve as the host of the test that will run on the DUT. +The FESVR on the soft-core sends TSI commands to the ``SerialAdapter`` which then sends the converted +TileLink transaction to the chip over the serial-link. +If the chip requests offchip memory, it can then send the transaction back over the serial-link to the +FPGA DRAM. +The following image shows this flow: + +.. image:: ../_static/images/chip-bringup.png + diff --git a/docs/Advanced-Concepts/Harness-Clocks.rst b/docs/Advanced-Concepts/Harness-Clocks.rst new file mode 100644 index 0000000000..e0a7ac3e51 --- /dev/null +++ b/docs/Advanced-Concepts/Harness-Clocks.rst @@ -0,0 +1,20 @@ +.. _harness-clocks: + +Creating Clocks in the Test Harness +=================================== + +By default, all modules in the Test Harness, including those made by harness binders +use the implicit clock and reset of the Test Harness. +However, the test harness and harness binders, have the ability to generate a standalone +clock and reset signal. +This is done by the ``HarnessClockInstantiator`` which allows you to request a clock at a +particular frequency. +Take the following harness binder example: + +.. literalinclude:: ../../generators/chipyard/src/main/scala/config/HarnessBinders.scala + :language: scala + :start-after: DOC include start: HarnessClockInstantiatorEx + :end-before: DOC include end: HarnessClockInstantiatorEx + +While the purpose of the binder isn't necessary here, you can see that the ``p(HarnessClockInstantiatorKey).getClockBundle`` +allows the binder to request a clock/reset bundle at a particular frequency. diff --git a/docs/Advanced-Concepts/index.rst b/docs/Advanced-Concepts/index.rst index 12b1271629..b294d11b52 100644 --- a/docs/Advanced-Concepts/index.rst +++ b/docs/Advanced-Concepts/index.rst @@ -14,4 +14,5 @@ They expect you to know about Chisel, Parameters, configs, etc. Debugging-BOOM Resources CDEs + Harness-Clocks diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index a1f39c6c0f..bed779d0bb 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -157,11 +157,13 @@ class WithSimAXIMemOverSerialTL extends OverrideHarnessBinder({ } ports.map({ port => +// DOC include start: HarnessClockInstantiatorEx val harnessMultiClockAXIRAM = SerialAdapter.connectHarnessMultiClockAXIRAM( system.serdesser.get, port, p(HarnessClockInstantiatorKey).getClockBundle("mem_over_serial_tl_clock", memFreq), th.harnessReset) +// DOC include end: HarnessClockInstantiatorEx val success = SerialAdapter.connectSimSerial(harnessMultiClockAXIRAM.module.io.tsi_ser, port.clock, th.harnessReset.asBool) when (success) { th.success := true.B } diff --git a/generators/chipyard/src/main/scala/config/RocketConfigs.scala b/generators/chipyard/src/main/scala/config/RocketConfigs.scala index 45f016bf08..a81c144940 100644 --- a/generators/chipyard/src/main/scala/config/RocketConfigs.scala +++ b/generators/chipyard/src/main/scala/config/RocketConfigs.scala @@ -213,6 +213,7 @@ class LBWIFRocketConfig extends Config( new freechips.rocketchip.subsystem.WithNBigCores(1) ++ new chipyard.config.AbstractConfig) +// DOC include start: MulticlockAXIOverSerialConfig class MulticlockAXIOverSerialConfig extends Config( new chipyard.config.WithSystemBusFrequencyAsDefault ++ new chipyard.config.WithSystemBusFrequency(250) ++ @@ -233,3 +234,4 @@ class MulticlockAXIOverSerialConfig extends Config( new freechips.rocketchip.subsystem.WithNBigCores(2) ++ new chipyard.config.AbstractConfig) +// DOC include end: MulticlockAXIOverSerialConfig From d5d547d27b248089af6e528d7b8d6e79bb8ee47e Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Mon, 8 Mar 2021 21:18:34 -0800 Subject: [PATCH 084/225] Update doc images [ci skip] --- docs/Advanced-Concepts/Chip-Communication.rst | 6 +++--- .../bringup-chipyard-config-communication.png | Bin 0 -> 16564 bytes .../images/chip-bringup-simulation.png | Bin 0 -> 36255 bytes docs/_static/images/chip-bringup.png | Bin 18536 -> 30740 bytes docs/_static/images/chip-communication.png | Bin 106042 -> 85405 bytes .../default-chipyard-config-communication.png | Bin 0 -> 17717 bytes 6 files changed, 3 insertions(+), 3 deletions(-) create mode 100644 docs/_static/images/bringup-chipyard-config-communication.png create mode 100644 docs/_static/images/chip-bringup-simulation.png create mode 100644 docs/_static/images/default-chipyard-config-communication.png diff --git a/docs/Advanced-Concepts/Chip-Communication.rst b/docs/Advanced-Concepts/Chip-Communication.rst index 9084f7ef1f..dc4c95cf4f 100644 --- a/docs/Advanced-Concepts/Chip-Communication.rst +++ b/docs/Advanced-Concepts/Chip-Communication.rst @@ -148,7 +148,7 @@ Most, if not all, Chipyard configurations are tethered using TSI (over a serial to external memory through an AXI port (backing AXI memory). The following image shows the DUT with these set of default signals: -.. image:: ../_static/images/chip-bringup.png +.. image:: ../_static/images/default-chipyard-config-communication.png In this setup, the serial-link is connected to the TSI/FESVR peripherals while the AXI port is connected to a simulated AXI memory. @@ -157,7 +157,7 @@ one can send the memory transactions over the bi-directional serial-link (``TLSe interface to the DUT is the serial-link (which as comparatively less signals than an AXI port). This new setup (shown below) is a typical Chipyard test chip setup: -.. image:: ../_static/images/chip-bringup.png +.. image:: ../_static/images/bringup-chipyard-config-communication.png Simulation Setup ~~~~~~~~~~~~~~~~ @@ -167,7 +167,7 @@ would be used. The main difference is that the TileLink-to-AXI converters and simulated AXI memory resides on the other side of the serial-link. -.. image:: ../_static/images/chip-bringup.png +.. image:: ../_static/images/chip-bringup-simulation.png .. note:: Here the simulated AXI memory and the converters can be in a different clock domain in the test harness diff --git a/docs/_static/images/bringup-chipyard-config-communication.png b/docs/_static/images/bringup-chipyard-config-communication.png new file mode 100644 index 0000000000000000000000000000000000000000..9da84dcfe40d262c7ffe863a1ff22edd92c76cfd GIT binary patch literal 16564 zcmeHuWl&wqx-AO`?gWS6?(XhRa0%{CaJS$DcP9xDTmr$}-Q5Z9?)D~o?{m(rTlc-6 zuj*Aj3Rtsyecf}m%^DL4iTMnScTIAhdt3LBKUG*t@^-!NAl(c>liE z15y3QKGYHn@*f*B;PdTA3ity`|MLlv3;Iuux#0h@28Yju_}4Yq+*>gxcBcp61J*%G z%Lxn&9{ueP1eTtO{ni{yRZVA2c{v_qds_xW6MG|526tPBw^Cqy?mWPyt*Nsik-M#p zofD5cKgnMfJizr^HX{kqUnb7h{3M$4N<^aej;2H$3``76Bm!_mL_~a!CT2X!ViNxp z2U7eb7S7HNJdBKPZf*>2tPJ*!=8VkT+}w;zEQ~BH^neAulZTzNp*y{u6Y1ZT{Hq=@ zQzv6bO9y95dpn}HdJT>2U7YzzNZxMr@1MV))7jGOKXyB$->^*9_VXFOJiv}XH!R@@IQC^*8~3VE&i#CkMXU${!91$ zJvM*k0)ry}$H(~Zffj(9hC84F1LH4{786!=2OVcYe|q1C)%OmP`UVQ~h+eaD4qN;k zo@N}Gf<_|WRTkTqFm@JuEIzAq=2Db$fj_D>Z;)F5q`e9cs8aNs+#c5X;N z=)b>FMOnued53M500tW?lujuGAGhQ84(6}>s-oluD}h25fD%@)&F-kxey^5Uc7=_O z+oNf$8Z)(llrFOVm?CxFMY^)!NJzT!@>$)Ay4f4`aab=unt(wl`SFLh9c)E z{(|!85Dgs_Ug{2~-Aa>syqA}JxjvkLyNC6)UGd~<%*;(y4%i*jhgFghbUWtxR+CsP zhcIG2ccSKkc~2_Ia5Q&Xcez_JR8sxhR;@%mr@h^Snb|(?m&Zj-x%Q0Y0}UE9a<;f| zZU(D)^`|d z)yK#Ej_4E7RgIl)pF~u#$ic}=J%{RJ2~MFD|onrPl8f`vR5p{d=+%m5rv+&dxqG@(I;qv-udcAu$v} z7<>7EFC;W$;I`e`s`T(K)8J6WM5z{@w>QP>mCAewqA_}Dqg-0&9jXzh-EwH~-b{&j z0C+9Q?q=VZXvi=UtcQVp4zIAEQ?nh9 zCblb;3R%9gDRx+CIRZX#Fsat|r)G9~gnCH&YXkPH&0W-7Iy7n*$<0eTbuXJod_*b* zz4@|kI+g2;8gt*ieWN9w{W+%kK8^Eq>|(nm_nVDYOt+_{7%Q6UZ;#7wr){T^y+7jG zo>-tqlHoe7x3<|)b^Ft*gEMrLOtug|7kk~pet{laZh16m@f2*TM@Zb7p^PSI(rjzh z-x-#dNjhLLXg@t&^Fz77{Y3u-xw0+IJ6B846O6c9=l`K=f^sqhpYUi$9dBsBYH`x{s?B{BmX?f;Gk4Drn^o^SU;=Xm>lkYi&4*jfv^u%D zHMr6@+Aa}&gfmBXDf}2b(cp2Zk5az3P_5M!qUQJPvaRn&fHBA%I9Iv=4OO94ou0+Z zYro`*u-Sy|0IVqi6}F3z*#bUljgRVUd8Cq~q zjYgRoPfkXmkuoh8o%?ska%2-L$Flj+A=fh^1V4T$>n!tG_$5BAO4BA`)s4m%jAIf2 z-r#n`m7PoW&RJOKp5C9q>bnA(6a#oA^jVbgbn9nQ9yaHs6b*E)?r-?Lxt=a-xw-dQ zJnZcT=5}_qzRwn)bC&YL+v<6f>kPZY-r=xn6L7P1jWW#Y1mDr%@5Q%AVpVY3f0)aY zcG-H*kV(npAiy?VswIIo&i73Q!%7SSi6EWf6IGecoZSS8P+=CglV~P+>fX^O9PSSv zqKZ||0}lO`x$M24Z`WwC$Gf_q&H4?#NwY#BWg1#%9N(QUq_Hju`EK>UBbA`z`}_yZ z2BG(%%Gj&EVMe_5izsn-4r*5_uNzYVbzB@%0_c1b#fU?ta$Q3*b`ol9Bm@0^u6#ws zljCFBJNU(Lag}qOcq;z)3gDno;&`wqaphG1Fl6&l8$?$Bal7+B_ZuZ0V>icr4vIea z7b{M?v5aQr#)?2R@BfZ|1MnA{l2FqIhOfN-%zlg&*Oo7)m6O=l1r(jCwAL zPSeBq!>DR#q&Ip78Apc{%y41-Dk*P2H-$H+&a zO3qPEfMTE{7f}ThbYxa~IB5zTN;HJ^NtdEGwrRi=`LHVWh05hnUvYzbb*1G__*r=cp-(84cru~h_1KD7!h zJxEK#9B=RR>%%#9U$`4EeO~9n&>Ub%?U*CP#AJ3oQkFwvN{f}H$wS5S9{}+*Y$psE zfQ}+Cnkv;Xrg*o$#4~w0;-G4yXb5K$3O=uQs6dunZb!Q4oR6~xysk0tHyEi)f=iQv zX}}sG*K_yOt5+F)rV41n2dSNZkrpjc2VqMe&K{p`Fnvg?|z=F zYFYkCi+Rrg)LNe=E-B1x9*x}tEIyAX zx<^3}(6uOp+-TI37&PzOTn>qONFIVe;_1AjRMev%r8q!`@Z9Khm5kBr7L&2?x;w*B zM=;(Lk?OyQCts72f_{e?9~mAtPnq(%8Pj$?Gf|bwr(%gKYY_Q<;Kw&v`64JYiZrlY6lsaRKu?mhyYtKQ z&6IB}L4-_&hR-okQQKGh&-8S|v}!a9xx(VzUK=w-TGebJ8l`GIzwnO;x?&q0Hq@6} zj3}{ArkMGN9gg=jRa++*3zNUR5KMq!r3m{x)`n#H=SVJU%~t4VtocT^7k&J}H@;>%gUP*7E4eX9!@2l& zaD7;Jxnmn1(f9?5h=-&|EvfxIB8S9`*3Mxf7=4h!xpG4-B$LVJjnm$^168L`xXyaH zE*{i7m!1dAZ=TzOM1(ogo4xcs??Jv947#`xWjYSb{2mwJ@Or>_f=ffv&z9nZC-HT5 zG0=fd(oseH4TVZc{9ZCEd4Qd|Q>dtTLgu({y@P-#Cgc2MrOh)4g&qd}@Ni>7GwH*Y zETT{|Zj_r7UO>m()REco#%|H71$(Omf^G4c|Jim|};% zwxMGKYBwf9-=7Eo`|Ak*VmO$;t&i1V6n`r#H==Zt27mZgOy33vw99nV^Ijt)kY}g zkmw+HBCSl^W!xaov<-Sz&KgU;rb`R)gXqlV7ImbUTiT6YNyIN`=)$^X{KT-%@}lbB z{i>QUyARL>-=E4bBnb?K=^zcV6dQ=wWvbtd)?+A;#*NeLwm)6<35p|VB}HgWPefz6 z!|Nu3slYlp+)U|23D2;ra%X#4=-%;1`x+bg2!DlA*T(@P*TCkyb9KW1E)J^OrCU|B z%dEXA7=|yRoBxi?H9CHs*|3vkcmQ~HlX=~2=0iePVbv7D4o<3n5TQUqT4DOwh0fwU=agFB z8O&=`i;90#)TCXgMGZ0}#x7Ea3r({O1S6SG)tRmkZ14Oo{7r;FG5c#e^QL(^w0t=~ z6|pinbe2LEin|yoiQE^co`-YyJQ!emREFfGRZ|cebAn+5hrs`!8B_-AkekAwi#_C< zSogKz9LC@XinA)4eRW`K!2N2E+jW&ZC6wM{G107Md??Y3;rE8K>MLfa!+d2vS*`}) zrtV{K(vpJEh(KRR+qr@|bXho3-=eK6F(9T<%+*4KjRk|n#s|WtFHI&kOmC^ZaA3w~ z3svI+h0vI|z-v&T+y>?i-csY}fFXZ?8T(s!W#9m5L5y$`U)AxJ%B2JhJ;6t;-U6=n zb(nDx>}7J}FVa9tND(l+f=r(QDv$N=K+O$is+aABdW*&UvjM|BShf=4zaLQu-q0cC zDN4HQHeGBHGB>gcv*BNl|vvNx8f@AzVc{qDn5E zScp+2yTTS};=$;}NCG})zIDnZ0^p3ZITbB@d>c0@G9V=e{)6kyE)VCe#vSGA zA8+FpD+T^(C{fDbJ*O*pg??+R*Y!!?E#WcTg6T-o%zV?(OPoIsV1KZ8mf3;oe*L6Y zZ8M_E&g(3>H!Yyj=Kb<(uk-sIi@nim&^6*xl{5747cQOrj~H!fzOL_%|G-;kU!IIK zNpM6pBAotVo)o=QP9zJM7V^g=VgK~5mUsb1Q(MO~=Jw@++!cDIrR0`lWL0$`-!T{n zGT*(E!SMIEyE;#peCTzf98& z)@tH4HprjD?~S=kT`xY0sYu9&asNaXKn7qIQs8Wu%p%|mw$)21zXX3jPFL=9F%^*N zMJe#8I<+VMX)0bL_Ic^Q7x?|K+~(0{dYT+8gQd-Hd0mL~Blh*YtFI9K;}9iX4yz5) zqzBw?+8+1k&u4#z7g*~qty8FV3gV6>Wtvr~8$334&Stxe^}3yLCkGZ*?uP?dMbnY) zpYE%Ry{QlgF{2BmqxrZOZ|om0_J^uYjNRy#0-h*ub3XC-Qr8=wy@Wl()Ml77rC6B< zLZZp~>lJjP{b-&*!*21t+Ee&}>f0Vulx7(&LvB@9ywX`0EN_N)<b1ETGCJw4a1&_@Tibm)M(pV%>(~IROvCgQ`GWPVU@MP zJL}@R=xkc459R&K@AZnpgpaABMCcz6Yo8YfnaJql?Wen_-X0Bs1c!*9zw8?m z*N#GinLboP7OZ1f;c4CE8lIf2k~K7AN>fT_RDD;0+}kW!3={t8-bq)$1qW74cWm3lKfn>gK>dARBE`JQv^&@Z#6>da%* zHo0GY_mTFpDQ-u`gh#aEf?+l!Mz)WadS8N40u3KQ#y=lOIcb$hhpcs?H%V|!5;7ml;tf>+fj}1|P+7f*FTc)*wggez#JN=63MVV! zBrd;|i{6SK@m}7hwPC(oS5J*;Dos~fi(X-sd^JD@*M>64pW122p!Afl8MFuvs{x0j z^K&dctT*h1q6-!0Gai^Hck)dm9Vk=|?D<&7xbk7aj!b>zrRh{(?AymY)gu_A;p|?(VSS0k zVs2$?kqfUcSZQ?8sWyv>iHXU`SZeXQ<*-|>cibMtq}K*~c{-m5u~<5#T%l-u?rDv$ z>`a>K$Z2wEEEx3K(~FDREzLXToAxJVdMyw2pErX-fRM2Z7ZHbr%zAcS60IZEFf0-G zhX;L;B-)PrD)zEzoA(8aw?#c4dSoJs4$>#ouyzQE*RG7V#ZB*?OGJGReA;v(giz!i zbnHmftX{Oq3x(~bcP^}~tO<5YbejzS?u0zhwD311q zu(ln$w0T~y9xY^i`b0DLSUcD9zQFXIjZ?SB!P!s>z*PD`wFQu_KuO${e@#IUoy4L+;<$5i*BN?~-AJ-H-P$A?quzipd4m+ED z9yw%_>0Az`7iw)Y@~T~r7om~xxqP48dm=FMhfSoNkLFR+?N=I;=oZPyQ$?MR7q5rY zSWO2L<5;#~_4U_qSj`NKf&{hVkT-yl=`fR07h}k2w!D*QC42GtPC=nCaEGZ}%GKz0 zyc$W#=(s&(sx!{f+61LTg5py7t4evzZBKX_x0PBm?k-*?$zU5TqMeL1(;%)xgeISc z5S;6snn&bT)S5z3eLCc-NIK-Y)q5*PtFdeq1qH#^hmtWcQ?C_LlAGU_=+0;BHMs0D zC6lf@!!wTCe4z;YTy~jtG&tAyW@gt9*Ve3-%J%F=siKKg8%Vd`^7F-=oyB(Q#m7Wi z0a*6&HYemcRiGuO!d{kL2I2PoOQ`|Di<6gWeb$8NP>!JA%ggg)458rQ;R8n7j(~tN z1qC`VrN)3av`9IBT{4g_D1}Z-xxvvCl75{laB8RH3-nB}S_X@0#5nL$Fr?zVABZIu z-Ji_YsL-RIi(!MLQ7aBId>BZel%m3CH6ML{F;yV%n2mPBr`O$48RaGwN4Vx)!eU)bSZ~Thpr6#P->)X^}#{C2#t>g67K}% zCN={areqN7Cs9RB7MHb<WQ zcPE>uoD?JM(HUgg6ZvXkIPnH_BjO=7x*lg!1*&v(MAE39iRd599=Ew3>p7pT`7uUT z%Lp5Oht$W{Hnr0eRLq`jZB1Bf_MqHie`1q@4?w+-5qxRa%%oKOfcRL{l3G?q-}}7M zV!fY+n%8cv zHOkvPfQZ{>zOvQlF;L?56Z5CLzx{ANqI^Z|d*IykiTuv9M1Ox=cn?cB| zGk*KjaB^o2z-P027vp%KmN-Gzqd&kait)g1qv^iZYUpvXwYS!GJoS?ipp+OQmpIFb zC|)0q7D~`5zw5PlLQtZitu%!mEqnawBg9j!IH?3^55cy1rO+pT4~Nekpz4m;LOzm;9#|w&4%M0w5vw*~9PUem8^DUcF5F9dE$XpPookwCH{C9grh)T!=RF z$tEoVhD=9!yJ?W6u!TioN{WWNd#OD^5=lAA`}aWw3ONGLCo4_;eOj5gJkC_jhD`KO zyV}hz@J^NH^^%uoss3J^ggHBEo7q9c{bwp}kjk-qC%;5RH9S1nVPlp!qnXS90qa|j z@#ga8(pYtJxR`ag!UmJC=bLWzJ&JxvGPd(=jb^#bl@9<|b9_$xPv$)UU`8ixZSk?& zV$^GH@qLb{)QKM+PQx3`X{)kb5;NZ&?7up*P|c4RJ8i2#hH((|xcHT!lT}%n6T9{! z)Ovf6|D$D3L{HMrT)D2@@uE*y-_aub0L5q&4gfFLqMI^*hDBam{$*Mg7H06(H}~U@ zkkcZSD&$jTh=cY3)}0-*!?_p^&KQD&47PK@=VK53yW#;uY)tUF8F(y)Zuii;%HK3pJKg&yTl}jX{KdT_K1t z?4;&*XB%)kptS7l>{N3D`S%6ynS9|f>4XZlsztQ-1J=cWYEY$8`FU?#!8YKS0$diF z?+<0DMlzgy=oE8cN zwQeH{)U(}6Z=D^Yn-A$ExvY$Gr4Y1$Nz=ewP*?oUI| zw$1fYA!r~&eS*YrXs`MrjWFxqDbnupr2>X20&v>nCMG62MRLRV^z)cead=&i0J8jZ zC<0|Xg#xQ{gM0=@CCQl?u$Hb4q&pwqUVQAc~I+cb3=MRXd2~xw;srhl81m{I$EffbOaghNmhb5Unmu`yYa!ViLF*D^aVY7 zG+)R*i7r(4*$t|3t=|L$bgW1BgD612)@w6Kc0(*^g;WDdz?Q>`cu!5zEjDxJZx}(! zm@4S!JFLL)sh(&|tWflYePPNmBOP-4&RB`(&(dP8=h=FiQkWp?vXJRea*^9|_p7qu@w&e8$ukX0weRI-ck)g=Tqt-VOX0DXQTEuitdw4V0>q8CY zAKfb}`POsi^*{8hjIf5kIDn)tENH+XbZUd7xTOpn`pm=8ZkFmo1w1Z{)&#gpT^sZ?+~Td4*UxOxiH9>(NCb!Ej-sL>9zB=et!#J#a<2Ao9u= zg0hjs0l35ECRf{fuUnI+WMrJc--gc2`!_5FL>^p+6LtOl8T0hSzD%99Rv1JQddE$= zb#@+iH0C20)xUnV)88D<9h|TOq&le03nod>`wrg_Hh7U%l`7gF5tm|7t1vdJ=`nR6 zL8D7WwRAoPn6tuL4W>h<8^VqbRPH;&>}vOw-gWkZ7iSh;*#KwYO z&yRuJO1Sc&-Y4^UxPJ{DD^@T2g-&tRePP6PvQDmI(Ux8j!W{l zVmuebr<uk7TPy?>)iJ0cXW&I-y7uStn~XlXo=8FdW#5hneQ(rF|*t ze;3ajrR7m&bpQ0UoX%O1CS3cG2&W{MCLh@Hyz^zq@z@HnvAK|Mr`fHO1sus(*qq7j z;4AMH*sjOFU2gXEl`kYj%dBPRP;HZ?Qfag48yL)e6YZ*!+ndw(DvBRVoq!X4`en*{ zeDsUmBA08aeKrChcZ9~hrm2wca*0CJBw#92sN!DFH+KOAhbYz={MU^S9JzWe5ycly z+kRjseY~EQ(DkSN1Iq&4;Hw$j z>$3Sg!docDL-MtX8*v2J+UbJ!!0-<>9V1A6{hU+2=r}I>lb-oExl>ah%%NmT)f-B- zFd?KWLPA56@*v)(BqX3?z9*g}YR-WZP?{K>_#wHuO4v&6{kyI%)E5~UnJ%z%l2lrc zCc1;53I)GV>VU#3Ah>HaLXh56LhNNMtxW3ASQG6d^0F%x0+y1_u(&zcS4_i*D(HSSy`|At#HXsG^H~lSh ziK>bWdyXUEZMWI`VnH8Bs8VosvgXHQGu-iIiqi(0R0Ox}^#wXHQssPB!iGRr>Opk+9z< z3Qr0)`Nfmk1u8N}MGACVfK17#L@X}v1W(b%WwGCw6RKH_3EPnz+Za`_of;BheJ)<1 zXfP(+<4Zjo_yoz~=iO0Jv6Zu*0a5Rfkb+}lbnR26qCT{^-<<&)P#MN&m_oI8a2e32 zD_&oB;|zlBo;rlBVno3G)GdhVgTOI;<)s14%d@~91yf{9PTAar32(kkH(#d6bSPwZ zAb}tQM4-g}{jD&cKZz&!!fEW?2SC#*N=Bv|CroExQ z-ab?&>CigMcyVQzRI+@Op*(^&l44gI&sMR`do|1I(e>HWxj;^x+tEb&H@!)hln^4w zGi|zkgBoo-q8$+Az+;`vmn}h-)l@RU<^g1E?@0nwB>?gwNf(8qPZI_Z!14P$RQTyrDoU)hJoamP_WI@#zdiY$QFX}! z8470Y&Gn(%cL^1h1i+cyuXddk2OkgtD$rt5hJ}3!1*1Tmm{80s1s3zM%m(3yK}c9^ zIDlglZBVxPNsOAxX33szZJX6G2q%b{oQw&`(geM3(uuDFY{>(h_v?+L+uo7IK0$VN zKJaiSy*}L!)mR={O;Z7+Je${zoax|ug_rcgI7nydcEB%WY@AkHA&Z3pr=YkCmTSSw zL!U^n|2~p|e0gED*z8eekMooRiSWfgJRH3gqD3y`^Y3{X2y%bRpd#wmL4>P=s)hZ# zrXhWBv~gk(pF0G6nzJTIEy14w7NGFr8C-sPfT#ZKa!KA{M)CiK29SKyw0*94kO5qb z==4FtpJ+LhVS$#BE2RQmAnxxJwnZY%PyN;x;+55tH6s3T2Q`lQQ8hU=C=#BA3uV*7 zK+g1Mg82wCpfV{EE=*F8Jk0n|9xVA_o;J|OMK_a`hP^`maaP9l5tjAHK(F8ZsXlC^ zMAjgk%o6j)8l$qoNM|Jc!lRnL)S&eG_$)NNr)ZaQfzt<5uOI-L zb9`6D23trDFh$yYd})h7SLEH$cHiKzfh39%A1#z6Uk7M`Z%-Gv5da(4u5uVLzpX@W8*ST8qY*s$>Fq zbA&!PM|&zPld|ow1}EZG6ebDcClgoZ?Kf!L@kq;w<&77D3R4vZF9x#8A`mVS0|9bW z_!9-kq|#flLXGI-Xi_2g4t>bCQ4~m#4s|Hfz6IV0=do1wJVS8r+LH80U?S0~s8Fg2 zHo0b1{&K`&T6eS1tg{pBMj{lbkXZUZW4xAA#2x~Zd(ppLL^HFPH<5!?LLS*$HaN2A zDFdbzfze{0>t9@Sd~&zP{@DMi#nb-gNS=Wq>2RimC?b(YEmsJ%gwM^gNoztxPNqf; zY1Qxja7EoJ^l#V`8~d0ZZEfF3E?e8wI2#LJ`d@I*xapjNV5#T1FnTrv zaBMm`IfVLW9^1wSkB5#gyb&}vN8IFe-Tt8Cd>KZ)PjvaerP(Z$sA;T>nS3|rF1@q)Y6~~86_B3LT6=&Wi$IC4!0AAG0*>mv{Axc+&uuQDYcS^^`pmI!aq5-YpbwR!R*#I zGC#}pV^-T<+5ShI4eyUlj)01f59L^POBDbs;jnVJx_)?*@kl2Cx18yjoP5_J;Cl2u zkCM*D7Jv;l9Go2Xc-+s1-A;{uQUma8j(E$){#N4 znR=UyUKl=(&5lkS92eCfPn%SZCWuLC6%ZJ2Y*iX=_&kPe4X?1oZY_eHE zdWRES0Xz-QXOaUzYV1HlJkjFSKbl49`&1=-eSn0=fq!)wiRjXgoC*!deSjT2gC##E zIJn^{Q7VOAr+cD`3SWMb8k*!A)-V-cNV=47$B={Jy?ZkJlMcw^%#? zP&68juEAl;qngR%_X6cyYl-gua5W>7B$KN@lzg0MmBV{GCK;2RLS@MK)_6vh$@;4I zuohxOqeEhx2@<7jsEz*;YbKnX3C9vLf7iJ_XcZQ|OX+hG2)w6rVn@~3ABK(k<)$Oncq8;I>t za^7T_X@AQy@1Jk4H+wxc4-e-~Z;msxn_Ri`m|y4XPOpBMf;!uQC_cFB00{POPxxPs z>)w=U01hOLUHpNcVl?fNGH{Co^5V2jV|gX(Z%yZC0J2D;bt5i^2u{Ty;BqkA8z+>n zasBLw1j+^|Jq=(gE9m{gz5^zl<{O-nfxKb*;$DK?D`XuQKVeK^B{l-GxTdDaJm_%UCIz#hWK>qziRq;}LJ{Hu zI*|hRbMH>Rb?Z114;B+9`-Kay&&3WKd@yDPt6+6AeK9$JN}FiJ7#w_=7-B3wwx))y zj}CeVqz{a!VJ8fS~&A zx~eLhnFB95T(T-49s~f*!5Np-#4nIGA$M>d)P%O}JhZeW6|!Y=@@)z{!Lr3tan122 z9f7WxnEUq2cLyF{=mDj@YZ(^Ou;tUUd6{NaiPg;MZ25}Lj}VBXLazJ~O8OvvreLdvIgO@Gp9b09oJ{ry|>>T#w6IgJh*PUvhRh zX3M=r7d{FU>=eTfHa4YOofZcG_F88H8)q)_qm55RjYl$?jw_g`mdxKDu0W~5v1ex! zMK(a3$9$9y#vND^p-0K-5HdK*a{A{kE(LE?WOeBj0JYBBw)u`o+ZT9VE;ccV)owf3 z^J;?*eE?J?v)WRd3Tbp1G9O9*JWD4?OABv*IzCsiR*Y)5@&LX3NUHjBw&AqgNh_sZ zsPr^j7HW}dVg60T_2k5uLQ$`bs9=Y77-MvBbAtqNL`If)(C6`nR7`SsczCg{;-@M_ zRa&MfSu*yvG!ZH!SEv*u9irIZYQrAjv;)l6Y^l~me_a3gcoHD2@7&@2`0!V_$(Uf> z$wPRrAwLPQU+@S=Kr9^)aOwB_JEfQeP)8HH`(ua!V_vx}B3!E9#u3I!y2o#o2<FTdi`6Q9ol?X21dRMG}G)sFQ+aM{Yf)d$W9Fu5`tI)$d-*e0?UpUXM(d- z@;N@<18!iaxFMPpKCqRe_s9~{5G7&U8-1^IG>wfJ%lo{U+_@H*DxAWAhzc7^R>dT3 zXgjGW_}kU77!33t3wL<<<&;+#>7+jYkFwEv`^_1FAtw|jJU378>QJPpMeJgD5k!b@ zsc<|ksyS*QAaDNlb63p$yAo!J@lSr4h*G+whKAFbw`W_(oDv>fp3x9T3ljULnzIRRM&qk)~d60hW!DZ1N0=|IugZJc5 zPFi)kfKel?4@TGXJ5e)Liy6?cg!Dl{fv{*&zTg#lEr_sM(QOXP2N6vx_OCx9WxE@( zRJZ%Lf;CIGI6cU@I~n70wWYf_ZD9+e5)|uy$1mE$Zd+A#_H}$iF>xnj1eLb&xSjBP zP}*ciS%A6>GHVrPOvsn z%I8s^S<+QKYq1`8)45xQn&xA|rM#a_+-n5&SX}sX8;K25L2y`4+;U&D$Cr)KVy$X~ zKf42k8K3_;)v$=xu3Hw@LtI1Nc|jH{0x5?7=au>Sy%kgm`I}k|O)4MoqLlZ84XfW2 za6~Bg!&K}w0jk9#p?pcphUzi+V^lQ z&m&QMjgUDL2Z*`Sg;E$8ep{zt^aOsvG9Sx+gBSml6iX_RHAb7z_^bW2U5eIhV5tPe z@P*x%?eLg2@)Rr7xgnS&?{ceU(1-%WP*HxAQ3d`iH=0j6{h^RZ!w(-C@^H}5yHysg zSFp7$Z41PUp5#P+I6otYgr=k1qJc#Vt7(DN!~uXZ@IJUj$X%ZjuGF6stuBOf`kRd$ zz>!ZL@|`PvZax{AtyO!tx;{SAeto*E0W?nGCmV>)S-dd;(6Ef6Jvt%)QA_MMT^Q^h zONa&>o#~a8i)+U_UnfBqKa=2VPOOoNi*~=XDAV>4>vEv6v_ zla=Y%D!$@)S8~g2nf0c8j(Zn!_Gyc=CD@aQ`xJh53xJu9x=(XNtQReE+LZ=%;<9_6 zQ%tLuS#9!*>(t_Ndvl>NIs>6l#7~R%oArq@cZm-{Ks&mU0d5a8)+^+BIQv7bBpE;o zx%^&YqX{H8$|URT$|Ok7)ei~_d2N?o{BOGWJ~d(T14y@kz=$Dt{5XfMzMp`L=v+`y z4xoc1gxq4>%;a$y$>2QID8r>%oeHnpTQ8e7AN@qHQBIZq{p$G#aEh$2WVtu`cw1onz;KfPC4-G_tM8r&)c6h9SoC2;Mrd*D~jVjY0U_0Gr^RAa!LsVu==%(k##n_&Cy$H@l{Ef_Y40EQ^;4Ln zczA`3;72lJiJ_P0rHJa(HKKU|_cOX?{YEiZFB6JlTbYt^af(fp#$1^!U~)c?0+W;S zLmWS#_7_8f!#wimgr{zHS7`pOP$TCVc7q{g6If1}{|Wio?b;DSkHD1xArM1YO+h(jYcT0?{DOGHsn zUHWr4_YsLcD7syl{x4}N&=KKtFG0cLfW&9(XaP8i=QonhE+kLdo$!Om-%?U_dNL9V zOFm~6nUviI;X7&=A(32Eofkl>-!D{0%~e#ilL=XT;qK@bDaYw_zx~N4NgO3XLP9d; zeYTF%x-*!hmQ9^MQzwr$8y z)A!{J4g#w=yY5z>pTJSG5GYxebM)dxOnqfZ?gJ58p5l0`ztqH%kP zQqw>)Iy2qhR%2s=O}49itr``8+t_#F+s;DPY*=H^G0z1Fjs_4jL5S_hnYzj~5T~h2 zZGyv7tdPW?<>c2Zak(m2QknIrzVECQ7B7Y9Um1V&)76J69 zrUs=-pO1=~VyBD`skDZT0w>j*NGR(qCWy=>{BTHc%J&z2;W?!X>WOM+;U=hv415Jto+*?qLB_Qu_ zv9>Y*7U1m%o7TN;LI2k4z3om+%0Q7fT!sEEHJ%Qj0<$nhfR#Vw*S8Z6aeA7rk#DJ* zH!Or*ga)u80+Esfg6ur3OSbfEu03Zs6Fx(ERE v@j=STpTno|6&D5r1B?eCdHVu>FBgIZZZHBVx+`RF$MvPf6~xL#3+1 z=u51L`e#!a88BK<9smXd4g&`HhXf2n503s<9vqa%2ZR1sIT)BKIKhANy5Q9Rr4O|L zgZhic6qNq?kpz7}+<&Ezx#0h;F&E-*YRJr7$iMSoD}UHbAC_i737oy8h7%YV0>+;Y zI9PfnE*KaDf`ziWv$~8lkCB}Xqk*xVp$VhAjr|`kFg|x4P}au8*#PKnV{PliN4^`Q9DNyAO|BeBQu!*JP-)vb2K*PQ4|yZiyichpUm9Z z*`9}q$<57;(d{Fnoue5O3pY166EiClD=Pztg2Bnd*4epl=8|)?FagQ?hfRRxFP49n{a<=fI~#jP6DOxX z^z47p|Fi7>(#xCMIopB8+R?)3v#qmvjV4uZ=mEFNlbfC4BM{ttQ6wy#wn5#Z1SfgPvSIL)A(T1lM z`61kXU&vZ8W6XTkns5GSHlF!tn(}(q zY&NbkG&Dpl3`;5mK!x}U_ICoD08l4V2jE<4E^3GdM@HTRHi|1K#4*~z6T9Nap#q@* z;3EE@#Fqpd8anvq#@U2*d3tUniT`)WzvYC-12+~N+TI>XiOCTRwKP9SO;uJ@n;$hg&5!;+f((WD0~C4f z^~WYB%|zm{=;l@$PUD6sB`b8i%1y^8nRHh)>Md^)sOB~F*xAQySH+uKnZ&kcD|EhC zSrMG9zlT(Ekdiidx#iKS(9f5*GUnqI<4GmaTG^a#>~XAj#-VCj=Iqi)u)|!QW{W?>rm$P0{QDJLjbbY_>cTHC; z{g0{ghk_D|)wGw_S&eV^lG}2do~8>{ijyhgWMhknj?Uz3_R-WV2@Q>D_E_DY2%5-Q z-`;N&)6ub-1fEmM@aQyE-9KKVA|TMoo<#tHM)*haI>)7U75U37dE!}y*tj9 ze9^|C#RP*$Pftg{*-{jXZGX5up3mU0scCH753{~KnsqYnt8e9HI{;>|~<- zL^+6+KUx60dKveF_3u?B1bBf5N6W|7sjI(zzP-j=RMS=x^4F7=-uVibK}Zz(Bd?$U zY2k>(Pnp}z7DuwY+{P|;iB2v0;xmIeUACF2)p7*Vhy2Ac8{(Bl6k_e@@NjC4-;((( z%4sBkqM{<{Y`!#$4);qk@_GzP>7kfvNvi+0j0)iqD3oo384>-481#GE&)yUdFX{e5 z-NeM?ep^B_PaIiO(^FVjqG|4YJ;8RBN2yR2LA2LVyFmWC>*-$6=PzH-N(!e%#DH8} zO*YF7EiEnfi-Ctf^Nq{LzhO|7m6f4#=T=stOq>P5qUalsBr~|3t$c$>|0$P~jZoX$ z+Y5_`t8Z+aUsh&qZT-^u{(3%$(rspavC*x+77!3%PioY(u%M3Uu+bG@&>NDLo^EMj z;c~jfPDU0;;4qfX4jSYj4Ez8jue%e2TlwAHT@zDN7-Bm^LsrL~q5S+0Y~M=v>l6b1 zyOxcSz^Ag8wAD2#v!en7x6;|)5m5ZhjHdIXlAffbq;y)N^-B^H6Se9N?(WxlMMQH_ znCt?Emm4Q2si^8KrX^O?zkPGKKi6YrEm8j&nZ|`z!lVynyVkZ?qEdRbI}(G>4j)%D zlEUP7y%*Kl`Ce-_k(rrEt6IioGMrec*J-<0Qve#m^R@O;)pC4UVa9HMVQD7=oBOj> z;k--TR@YO|AylT_T%%g9X=`gMm(BNCR(7q;qxQ!SGIb{NgDhURE@xry_lIqizC&U` zUu`X|jLUh8>7NYhRbAcP;Hp`C9>4qhp{r3}pKi6<+%MZau6bNfxIkOTYQCaeuao%1 zb+$xh>&VGK(1r9rCrv=0un=4E>%*nW^POfUv_6>EeTOMQ!`F16QoUu+Y-td!TE+2U zv(0J?-18knAHpIEKAX9b+5Yd6Bn*U~Vo(s03}mQJw;6%D!oqLi;ZhtN{LKoeNv($c zlCJ5os80Li!Kta0+kk5+T^)^`vYU-dW`7;N5N9>>Q6 z0f*`ARzKh_oAetm3I%+gFn3tJq!}_~YfZ<5q#u>G+d4@EecK$iVYsNB?xRTrbtNSB z*V?_LAKqS{&9826Rg{#T?@kG54X`2&8fJ@?_J30dvvD-t)|iZR1(AMJ!d=P#jA3W@ zuT50p-%rf%iFs}g(Q1Nh>TnzTJ?I~c1p|C4UsXwIer+w-t~xxNmUnDT`KPqU+|C9w zm_r>Y>3+Aw`Ff}K?GZ~`I_Eiu*PTHXHeIPJ4-W-e0GMLLtMPnAR*_yOl3*>kcJ2Q7 zscDI#QYZOQuJz*Z?NR+!hVZV47+AJK)5pydM0j{Z(=L+;2=5>5UiaeQjpyBZrza;N z$V5DbV#x__DKKt_zxyXAW0@LUPc>aNe@f$hB{?`e{3IbE==*|uf^n-qQHJ#$*?uK( z%Fze3AAaF7SfV~w`8>N~cFA|ggVvkp(F_eGTp?3KrSSLq`rE_lLajP;@L?+}s|Z#M zRJ94s!>oVw9raJ&_15iA7TC$gu;vpJF=NI~G&Nhs(p?4+e9$bI-E2Ec)ndp-z%3J# zR5Tl$MMQ#zhVJdw1-`|+Q(}6_H83{O~(|Of|Ob)1>q1HNl zA}GX9=#e{EHnP|)XBHamNPd`Zg7<^thd+GfcG?3p>p!+Pv65kbRrF*@p@l+~@H$)J z&Nl=D@3#ns$7<50RxVL4HvSd=g5O7MU$`=9kDK>!|EXLWT|!NR}* zJcm)ewaJqd$yo}dAU>gkfBFPH+^P6MEt!(S4M0t;Uz-fXNk|MeSEMsB>sXj6jy_-G z=Xc!MpCjxWZ)q9N<`}!&9chi+Yp|GpzBwFIt045b-ixcLp(Vq3z<)65+g@6{U2pe# zt2JwUyj5ltgTgftdpKOEHhMJm@7b!8+Y1oV`cQLwc_~Z{A!5B*v-@SQ4v~s(%LJ`I z;sauGaxyMp6E-tmu>i_q?*kz{6YfU>U0r+C4*O=u-PB4Pyg}R57HGfg7MEjGJVI=2 z7!(%oF0$iC{GvY!57Zy#rO3!GGH&*COj4j8s6z{TLmTgXtb0X;vCOU^q~JYCbb}AK z?sd4bGBTxAQeVDk`Mk2GFcJmgUXcPrarC&nnhpCktE=^D=apo>1O4k@?4v=`LvVsh z&}lCwE^c^_C1PD&3!mg}2??qXuz9iBTNT@1_!eCp<^;w9-7Gc?!MwCTkux|rxX%`B z69j{#54lB_I_4f~h0Qy$F$_93>Pt)MAS^RD?K;e-$dX6F^TJBsvzq1g{%fTSsX;lO z6h}ooH@KaPkY-fDjsE%t`P_wSH`)Gt@N{dVqC7*)-vX~jM~paE^_?go^u1oClz1?v za$#e8=E?_Ri1d1K30wSCQ-B&s*+gc-jHlEvjIr2;36wPxxe7HkYjH4hNApF z7A~oDJzW}0Wr1YrUPugqLJni;64S@^{>AHh;y=>h^L(eEpdh&o78o(Aw{=uNlK27c z-$oY5&3S;j>k20e^RMUfU?8w)zP*5XVru?qv z22NOH<__h7T*^BGfwdSXs12kt^b0ocZ?6jC9Sh}JjbudhJs4u~nzG!SG!;MX);nNP zi2Hsr5^fAn!iCZmQC&?r_LOzCbfH%ev#%8Aa8gM;IoZX2W!NLCiZZG(F z_+7~<=(}HNdWTp&e5p3Jwv36|h$yxXH-{KMTrOXreG{5I4<$Z)2Cb!72`JWgVnyk4 zK1QNN?HN=d>GH&#uT!aQRP~>brUJ8K+G3XiKLv}E|IvK88>m1xcT z{o?qNgu(d#oi=||koj$Bgb^4>EO|IOl2u-*>*M|)Z~vZ#ya+O=%TFrNG$j9Rb;_p# zX3Dtb6{eEgtGF9<+esN2ue13P#_DAxqM?MBqX^_2%LCRgpKb>y8;pPX`ce#U4_1D9 zU2fbIx$We0aZHbg)Tp&y*fZ zQKYaY$UiK~u*Qf`L*#Ee8IuP>@?Ezk|1AG=paj*xAQivw%iYE1h_7!z28RqGn`9L) zM`37mwCV1!2|K%=04hr%{=-#qu|-<5OYpr>em>yH$-&rlR7FmBfZ#S5VKwde=Jr@F zgCnc1(Qe_VjE|}K?NQ*qyp-R`l8fsv^v;_bu(uG{is$G1xZ^pZ+uN+K&^^*xjTQ49 z?d+N$ErySNWjLOxqt%ev;prmNA0D`WB?R=sf3K?}LHt1}W67u0S~wcAXLnYxh^eGwU;xZrh;b=~uYG;{ZNE(2e6; zMATZlP1-zRUt*^?0VgqufOmf?i@;#a#QW}Ky_H%|#J6@Y9B@TmL$Ud*-6(Y#P0go7 znhb2FH)~VXy_6EkTvW8&iy#rGoDj-?8(pzqpoIK?N+052BvhGAcyI);TSWuug_Wke z2TV`0`S;Z+h#${(>-$GXH9i$E*!sl~Hj~jD@}}G4tPKxmMa;ZBpKH0gVmLeV%#^U3 zKi_o{5*0FP?ZL9_^ogaEYsqP8wg`)6@l?hb?7<5%#;#=gyR^!)6pdi#?< z9L8Khem?6X22}+(s*8)4kB@}8*Tn|bkygXaLi05}ym`8SPeknA!GSw)`|J#W&oI*` z8;W%NyT&97#1k6qgG~eUDag>GqM+!vSss^M5B`7v4Ng`c48e=^M>~V=xWILQ^D(7+ z`shf?`K5qOdL`(V_U&`A!?vgIGxq)#tKYdU_@Yjm`+J*5>+xJUpVMA03{pwCo*yBy z;7m~LYV$RE93pklltdX{FM7*A?Bp>`NKbqOj&&@S?IJH+-10%tgGf)wS;ra$Bvw7-sjRq-; zij{vE4@BBbPL^rd$O=6La;e~=!Dx4OB(G|@Ia)5(m*Ob38uVUDU$yj&SCCq2>nw9x zvJo1TAz(Lc-@Lu5ZRB=zV9d@a7qA#@_1%h#!;q3@IJ-b|kDHAx2{`RV^SbH!V+UoA z=LpU$HnSgD&6S0n>V*xi)|jwncFW}iE_#{76q^Wa!(-KuL5bnZH&|QDbb4HWHnxhU zrBh}$wZN{?iYMp)kXZ?cE#%PKq}ct-Bk~CYyn+9Vni|F^7H?^ZDnn?-gL@V)4&ubF z;Jdf?%R^MX%k9hzNmK3lvDa0OpFpNSJ2kUC1xa*Mlh{|gyCahkT!!?G{v8Bd0s?07 zh+%AoqYa{L{SN;dlem(N`*yc;tT1{UhNXfp*GIEssZ0L;tuQc47xOj621csQjv<3F zdPe0(M+?I2no{B!nVF8KOGaNtXmdlP2_n>kIUc@$M-9NPH9Hrg4E-)j)Z6=7s?3ta z$Br#A!V&N-!FUtN(=+f@x6{L)-zdom>CG-$cC|pvpRZmK;*;UuPMS%)s*kQdU~uEYtXWI*mwJcFcb#LZ7N{y7n73wo-(*< z5I{C>_jP;?6`Q`CdY`zjEF7J`gk!rrYH@f!dKc{IIf4ire02JJrC^V*#Gu8C>ZG=7 zxb8w>zg@gMjJBkJKR~VR!BD7JJPQ8#PDWy;tnuEp?dGPg>tufvkN)vj-1qM};9XpFe*iFoHNEWfjYZIW1dc(N7RW}=5%fdRuCiaeq6i)ibAtW? zVU|+LD2mlej`qPS9rS5`%(e|Y$ZPAklUZSeBzSo$mkxtIu} z_0FIXpbNt7n;-}`bNjb6i*?08_9z`Wg0Sh|qecy( zpJsn0lXZmf+;zHPPRKRcz3c^a?fV$<`qCDPqSv9{P0VHJAnMlz278AI)<-P%sh_NL zex=dZniBV=a{+ReX#!wFP&P>mR&!IHzg9*!hKy}R7* z_Nql-WO_c{eA+s^VbejL<6zb}L6_s>AG?`sY>vq*{3(z{@BF*EutY=X@wn_scZ3Jk z%CBNWAg*9{MU1*lFwo;K`=G=OfwWz7b08fQ8y}Az7`Z=2P-8yTad*l;g$4*(B@4nG z)@kJkJzg5IvdR%?5Xr|bjO~MlX;`e@1ew@d!K}%-repA7!_f3iNBZTGCH7l=-p2Yu zme8s}zsPcWxB7b*i@&;68OLh6?@|oOC(r|dVLT{^qr1}=#s(0dMq#wHpzoZ!A+m8C z6ETOIp!sC*|K-LG&?Ad|yl1{hFU3QrqLC9DfE8Ec&SqK4ao-BATQ2vdigb-`f zC+%HF8%xG`H8qWUbuiQcoCy7&!1%2*L_IaR8VcG*1TANz#Ky?P)3}`r*dPs17QjLo z)8uHe-2?qR=r!wbvd`5j?~-ZA0m47?x=`iitCHd~nej#Q8@iIkO9H}Xq@Xe2(EeCP zv37u+^qIYJGCsGBo?u>y=uwd051dTQ!S7`tib^i$tVsJ2hr8U7Q(NIE_+mYs9k!b& zT4HH$y{)T(PUQ$8=PZ+3^vVwNH$8elX1wMSH6^-u$%nsvfbbCD*1#axMw?~0l9E$e z)f2D#vyt25cZo<<{DT1WAHHbKqy-=4@Us?8US1&382ByXfn7n!coIO)Y)y9z6K~ZT zi)=On;vJCjT~GeU_|AO*%6DaJf+5Ia6TT4A7@;9*ma0;GfTtEPf8Uc9<_sYCvUHnK z(&zGiMFE52FjP8;;E*TZE(zs$R6MpTULD!B(ns*Et|?WSc3}xSA?-KYUpF(yuVChE z9M83{Z`?sHpTw+S`)BB9y@XZ+e{)4w2{`c!y-#h47oQrQR%&2ma4USc-Ukz zZ!XN17TT?Ba60T7Wbw+8Fz060USBZk%)Orb#jLj#e{^S_AI56a);5hO*@tQh+@2{$ zANX^18rU8*J6*V>p$&Io;bhSea5SFBX0U&{9Kjh!mnFplwfc{jC1a950_fM-`@xT4 zFXn$_ErnTFZY)y@tse*3dHK=fMYgL87Oy2Le))x>k-tPxhRcsZ=1q+(ehDVY zC-cn(baidgsj910c6g5BG9X1=laelvXCCr<-9@<^)0Zl5YLOWLEXn>}UjGsxmL2LU zWJW4mg=x(RHu>ps^Jk}7r>}NO)kID+D;-<0MgkLXhW1hUN}a#G9SaL{oL&?39EIa4 zl!fNY>^g?u=?@mG`76CR>3?U@6fJf>%J3NyqHJYV?fH2husE^avDwYwU3eugArW)7 zIzoWAD~SS!@xQZ)32`N5DJ*y1=KYO&f$+P9R;Pd=VtN#D`(fX=$uPjpth~}S-bYih z`3mmeqCNjy2&fRO!9v-=ByCR*3Z*_?Z!1D1kA=TeaL$(Zd!4#DDip}|`{BQz$(C!* zvT#-!8JW`*rN0@2T| z$WIF7hwu=$bYW-LIXQiV>;xe2;-L3b#?`FkTF(Bgw{+-9ii~PzRiidD55sYzH4*-# z;c=b+xfTYA$LV;k-|HUYY-JI2;QmaYYLw09yXcJ6mJ04yMU3PikM%vgc6`OM#=26> zimix555T+0AcYE7E^L5rQ2Z5#N-DHov*HVLpp~q(Q1KJ0B5#jguZH#t?a=%3>$yXKFX`Bg=rmfcyLT0yC7o~WU z`d20;`UT)c{UVSn_cnmiE7M40b^n#J$ZBgNq8Jz&Gnjqt)n%Smd`eKi&g?4+y$#P@ zqur}(WZW1xBF>mFS-PI-J5Q|V)%wmrg<(&XyZY7DQ`3_K#cxJF0rT?~+v=glK`j+; zw!M#&CKryktb|hG=P^p|Q)=?7OP0$lB%X+mri+gzrQ($$rgK>nuBIuUr6jK|FStAR z`EVJmY-T#99+0-9=)#6tQ`%db($7DyFI&}(IY67u@Vlp@d} z`r~G>3vLs#nh`a*4kmX+dwEgZY)@Yfj|(y8+gQ{!XkbZduf%u1945!} zF=;n~&iWvx+S5^v{P8H+u)EHa_s~_67s~IfT#bn-J|aZTO7{h4NDTPInJ%%Vag~8B zKU{_l1=| z2TfA;vw-huQ&!UI)1?O9bQis#m~Ye7!Elfo3cj)x@bzKBjXy;<_45E_-xKU3$}!)` zK~moG+Y)8k%$~BxRDznS!WnNfQ^tII#652DDgXC-CbRT-(9QONosPHk@_6v0L95^i znV^_J%hkbbklHN1@^b+UIuI%x4m7NEln64@iR@60plkVD8I_kYR6yzjuDj5*ukmWU zsVW0eHDxAa(HSzAGo;95X8qIvR9AYx3L6sna%E zlp68y(m-f#U@|eo)Tc4o^0{11Sf+5-XyPV!;H8(LU+ddA$M^v{KH6~T?#!(c1D~cj zk9j&*+Dr4JTt|dj=G*6EDwpe{J*S1j1}mGdqUtD(YnSuL2W@u;=}E1#gOwx`p_vk! zzuUhQ^{uQXH>GiBbo-jw?H8VB*G$1O;q!U)?sT;V*u2dT_-^?THw<#hMGrUSr8f_~ zJ8VU(^FTyvjn_W&Jv=@%Z1Wzu63Y8@wZI)KDk-vb7hO=h(cNqLtuNK;Pjsb2#+2~~ zsx0sr(G8y}FC!Im?mYcE_9hgg4d|-Jn9m*5U0qXHclhxt#%wY7MVntUri>fn6*(Q* z$YvmW*(v&0&a#iKdauD$+?3PP*r8_2apS}~NLyk+1V@AUjKKTA4S_X_gIv?vOgUw^ zcFMntS~PCEyaIH7x^R2EerSm3t{Gq_=ykBt>BZm8khA3=StWFKQf^eJr=8REwfD$b z^pPh)!$P|v%RkTTu&+0?OG^*OZ(=ekU|R2V&OCZJ+NP_Tg=?qu{`Lq_U9?bi;?cW+FbbJ@vOZr?P^V1IF= z7Il|v zS&HrFRo!i`L)?SWBmM|T@yz&1v+vVcKdJgCZ!&&QjQ5{yCWR{T$aMddbGVg1;2pz3 z>nf0zx+R?dc&4@Do$|PUpDp2|EH)Dni{tb(4tIspqsxiKj%Di%QdGeaePerDo8T3YSuyEo*5!5vVzq)M3y`<#KoxBZth3l_5QGp1afd z=r-DRy@gy$oPT}UFqkAmcTMH=G;o-om=B48!o}et<}>T40?S1Fa&aq4@P(iQx9MCC?Sij#x z0p1tiw=PJH$u#8lk>JT8=)tw!*K%XJl zd%n;5;PB$Cf9tR;vE*Wa7+lG7+P}+)kk@R(Ou{VHP_bHUH<^#qsduhtZoS2rC&0zC zhF|QHZJb!@Fxrd8anr3rgwyX`gKa~LBzWSxIjN|fBPzqm)b`iO02Jsj+jlqXXxJ({ zNa+f?XJsb~IKRo8cd27psjjeC!5RkUthkfa=zjim*i{2bw8|rUl?C-E{1G*piC;FD_z7gA;g5<{^}R}&yYf89JXKgeZXHEBW3`?MP?EBaJ- zs5Fp^NZ4ra8d&lp9>G*7ji_v`BkpxRwX(>wGv1$Aw7{H;}qMUTYD2P9|272a04+bsAu%}&d?5ZXCRd(JMQWw19*DDJ9s$BnaJw_%UFed4UG(mWw^~- ztJ7{sr}#X`E+;%9_C65vl|!CT1VHs4+Ov-Hawh#8$|X8*d2JpqFjrjKKU-1rOynn9 zr9l=UYnmo9t9ZvBmxkUkMc!QCY=H2Q)p2j|xA{8sY1Dv?`u>r@b=Z#I7O#Z`X89kf z82unN6*hT(LMTQszIdvJw7R`zKRz>_L_~g5R#oPpF^SiKam#UgYsa{C#ej}hJOi7C zE;ca$OOmE_xTRKRDZiQs^^=b+Td%95F+^;N-lN>3?u>A!60?j;yw`f+9JGMYV|DZ2 zt6>dcEY^xIO}U4FFizM?sJpFaz}MVRG1P<;16p4$ZJlq&fGxgFKLwSrf`s}BC^9Lu zKduqkd6vYqU(dn#SRTL27<{js84u4+E4|L?GjhYQ+}^ldP*4~Q4DEJ;i6{-lzcXIrV_aThG$$npCkOm@@C;(#J2J+%UPiQ;o9+d78kNCaA=!rtPGC3UX!R-J9ZW0K}cC$BP zW}_zSPjeqtoTA4A)+1=l&O>$)-M7M)SSJG{R`4_lWUn*aO-|qb< zJW?pEC|X8mJ@ryW1{Ew7*;FQ&-(~V528&@P2~W~nx$Yi-F}$9U)$v_hZ&TSuiFMeqTWh2KYU z+k(8kT<#U+F`=}!H%<%+uWpHPaNIzT`OA%V65{YHYtEQ>Aj419I60nDks97yt&3h=glZ`~BcW$3|rbBK2!zr{S znaB2?)6pCX0-uCv-M}991n&7_BJ?%l-YAI(hDQk911=dw>uh!mVNJ;xS12K*0fytN z&ui)~k=ar#^LO22&%t>TwQ2bv5wQcqcWlmBE(!`vsW!h$U)4&D@$IZBG(;?&63!B2 z*s38<1iA>AQ+yyJghNYx`mCqtb@4i@Ahm?5w=KN~{f7@9+}+(z7Hg>}cGo5D>+L#0 zP(*kv+8uKqe0+RziD>Nx>$I=BAiy06zPALLp%_B$-+2-!i5YAbWWu_`KS7{9WPCQz zMH05&>U|Wx#^c%)1T2rkmrADhIQ(6}<8mYoLXDoSG?SU^RL6IiKzCZQuw24yg#3FXE4q7L3p~O89Y9akGt6^6!1%Onn-76=42q! zL9@pAtGwIWA?OK}fnHnQ>E*#&V({k+rSxE5zJ#OW@KXJ@xbYdwMkDqFYuwUS^O*;q z)yc!6ppjspd1L01{dFf_&4`D z54?O1INcoxcl7qzhln{9Ha=Qkp>koa&~Ngv7}w^K%LmJdugPCw@4N!0$g%^XAm)%A z5qn>dURYYYumVJr5%HSjyH<}?DjJ84u&%W}8Z^6`xjX*sNx{Rx+ULC$oFT--)@n69 z$+ZGhy4KYR*2wckT!nrYpVE zm^wY$Bib-^p`Q?@AzTzPyzE4*c7UrukB0lN#Ie>@TCH!c2NX5LtZTk&BcV(Zj@l=< zL9fV=gijuKqWlEZtty!wHQHcAe9i}xAdFO2R+ga8lk-*|oSa(fpUemhLVy}P1{ZRVh%BEOPhW-#LvxxsXR{Gl~h)f@Qw}v z%dSp`%|1NxS87&@&wq|gWEq*;;CBJJ%l{%S_SW}lwm1wQufz*jAqy@%ddZQY-}^|? za)!}gR`xT?{zh`lHsQww*RkDL-ncueMIW#VrNFGEq>#G1^ir(+E3FS)s3d zLqJTdvtHb{wknN9!%c0^w)_Ch)wiNU8i%l^@C9MlE_r2|url%-ps#)iaJbhkWXRdY z%FNA7)&(jApSZcl4TIGwei4lYKT3h^IBRN-LDHh9#hEJAA1Hen>F@@ZM$+g-yhYk1 z-kXn@Mv1xF=8Vxh^MEt6?`s5bS@}KY>opZ&Bw&mrGmgyXT|bE@O9IY=xA<=X*;G|zl8z}V zh#|}aOKSE^YL|bxq{vsPREF|nZn?N+#62#@bI@bE zVN7}g6C)2r#yc<1KXUcItk!qx%i7@zlnrG7aF+(Eu!(7B|Nh$0{F7@4kp5sDu%`5s7Ey z9b&drm9)@0BF|Xp!bXrgCdG{&r-1nViFf!>f0<9wD#B$8gqv{!zotx>lhRjYvkU9y zXPGBel2cN`D8O1+1k#(XRAUN`^oBYm*)KNOEUT)iHG1A2f$-@13h=FN=e7$~q!9LK z3i2(^halt^Xx+Q-4kt-}77_wWWoaoYE(U>?k2ku5G96{RhKJ!tS!rqEp}#|Rqexr- zg#s7FsUrYK&x}VQ5$LJ}fyv7X3jRRFg3m zvjjhi55b6=_t(uZOmVg?At27wHJXEN2L>o}?KgxrstiV?WxOmc>d%*MC&I$st@TXX zZC5=lZTJbxY0^*(ca*C|&et03hlzQdzm@tua}Y*i-)bYl10?O8vu&ewu@xn<_(-IUcDD^;u}??x{eh9XK~W3=nc?Pg2-ib@)$rw%tZm=DJ?+36>Y8l`P{tSVIt=;?a_tezoY^fRu$p;JfcD0MpC#3s$9UdLs;j}O7 z?afC;$bBsz47= zAhcyrrFQi2!)1k*8#7?|{yfmD!x6Jd%dH- zxoLDg;gJ%HhS~^L}vTK&3v6 z#9IczCiwX>x3985=`{uR$vizg@PVM;rd=r>uTL(&yqxW0aDBNrIXTtT z=0SM)UY9|2S<>+zlE_h~GWODEOZ5n9_#26|v}C@%S1w!np%)EgiP*3LxJ{{tYwf&e z^Su+{4pg$4fGvc#VOcZKzH-`=zK}IV2jQ16f)CU?f# zGTF4*ji_dwVJ!()vbVZ{z!Ikiujr`SMm2~vP}+k-Jh5_IW5 zWwXMW;i?R1>}YBnOm_IMzhEQDrIsF(xetY)oEv66`kUjiR01*-!iIWNnynYXIUA!p z(k3XO7_5F9=QrLr_`VBd$m1`#xUiqEEcTJX9?dwkJ0A*k&Yvz%beWGNPN4wQ6)G}G zJHP3{$bljvASFxEH1KB5ms~1rmSJ+2GDky@20;iex4RQ`-qw&JXBV6GqNxj)<7iKh z=9Tv6nA+N?mKHLh-51h@wnhniGZ^_rj_1~ zj=~lftFv=KSU<=B@#&xB=c``9lyE{i%~$fDJ{=xRHPg~Uj4xK_?FYdIGCn$ho+43{ zKNotF%c}=K0kA#+$R)cjw+A=TgN!0Ki%On8x+@dboZRs^t&*CeSwm)6cezyM5jJM@ z-~RBVLG#~t9E>JX$3Gd{f&~MdzQrjnpNDnJ!H>fW()Cy}>2*Zbfi>E$_S~WF2_da%&KWYw7QoS6eOzzvpBr^=jrxQCPPeddn;dHZ!YzD>hNHtRduU0W_ zZS<3s=IfIHNSxc-dbE*)vorOUy6i{-rujWAvMZo5;IUYhgcp?a&4Y;YLA z<}!OayWK?uF|U(~@^nWb*`WK^G*tLL-Hc%~@PQC?i%WBYKAvdnCv6NE#ba80p9x4~ zy`Xw}dpC)Lo{`Wby&3dzw?Crz7JOxG>79=-0tMyxy*(E4we)=$t&mTTr3Smq^;b4` zhVrTsJQKO~a39taK$Xxw@+T(~!iGJ2*k&CV89~U$zQ1t@KPKDj+a>Uyz#^|d=&3X_ z@m|}T$ms+{PPjig&``(-L7PS)>OH8Fr3Um9xB0%}FY)n1f1`PT4GB@2o0-8(Z+@ax zDY4&uq2BowuJ09YynHd8?H^SN_p7P$X+I~!sk&Oa=51^4=}Q;45InBOhtFM;*^AjS zfPIs+(fuL&kk8b(W&#-@Z~z@Rz2>W-A;3i5XZ4mDyOHEy=!uC8TKM1ay9$LZ-A;K* z3kpCd2||-;Gh?NmpBS!x-ZonW4D_US+w=1TF}o$j4;)tzO2;B}sj*SF^Ud$#A-r_( zWCIK4%i9}2maXZhPu``Nx%<7LOzslVfVSG+8MYA%GH_~K#9pD@)m3P2CgP~6 z|DQ#rr3ARRz^eGw>!iuJ^nBrs%0S z1!}yf-ShrT$J>_~o=k2a`t>~T`Q}D_6b!w?loRq2Y@=@@FDx*)^tLA$fr!_2lyRUk z0LdP!m&VH0cKm2@5)kE2p2nn6q0Rl^pTqApP${;+_|7&l@-pJTLNj>YC>d{QJo9?J zZ~hZw6wH?ejPoBe?n+6z>(j{ltnEF;(>at zROKVDo2`bj^2zQ<6T$U-wUHW{Y6O1Vt>1flzG&z#NxxQ)>knF5UzC(qbTpiA4zLak z+&++zb#%Loi5sxAQhx!1U&ri&XVPFL{N8&(NKV%C%R*dz0sOEMenI_r+q5`~g*+La zNG=ey3(FgH=--{M$LRU29Evx6;KD$D(-U%V?fMi-DNlI9FA+(;MdT2KOA||dCq!t- zT>?wg_f`nnC9vINVF#0QbEX{=gfx#n24B8>p*Cx*;EY#MW%%FwJzWiMjw&I%!hS$># z4FS&i#vhX-7lf+yeG0VD!Z(a?2Tsb=rxyB6*21x1(M046hDl&ibo&M>ZC5pcb$`%I zC?p+dYA7{tZ?8CN^T@amk=TNaZs#4wMg{=PCLhP4+6XSfxewsfA3oc==!EHq?2R4M zYqBA7&!A2PT)>e&U+*OH)q6mY(~v@4p@Xj+632Szzas(qGP#}7IbQp-@IQY1xH9`G z7UHfy2zI}KJwGB8Oi_Bn(+FfSFX#oAD}b=<8|-^tXswk(ig64GhXB+x_qSst2`hM$#pa65dZla5NEHDFv8M>y=VIms9Y-}6ofCR>H6_VD@bOl(Y8+WC zMjJE59g_4_&W8Ypo~0#x{Q|FhU1kmr^Lg2Y!idDiQnhEJiA50T4F8K*~hs{@h5dMx7mbs2JEvU)jO_m=Np)DNqgbwi-0ha9( z&cOcrq-4{zX}?l9pC1Dp>g&c9xCHn%_#o9vCg4YZ0dgY+0t;jqqrVpBfd5F3RYWP! z8o9SVs=S;*5fw-n9DG^rMw)TCq2aEMR_E`jjW65@imLie3A7JR*l!7nA*;ZFh1t5% z$BfnFTR@cR(w>5Eh>BpvHblr83PQ*_p=sKvr?y*ekjY<8ou;Yr-ykG>`N?85fcc@g zAIA0VK$(#l>I%iJ7S~UwJTkpU)EMq%#)?grSEDcDD>+JBDUIB4uV>WCxa$CE)16S$&#h zI3q^HtAQegKR}*qvP=psC=i;hHoD$`A!gPG9|o2Ie}dvpgwB}bZWpE-+%GQFv5y{KRR8{80I-;bD5#JA2iMI` zZlYx((0L#bqyz81d_rg_n5{*&b3cjV#Z0N+ImP91F+F&I;guK*96&z77;5L>n_)ba zVxOi>fp4~quq+|+6sO%9kP4EEtirnnLoA^EhV721!TCK}+hti1O# zGi>=)>=UQ8a0rgNL-7&JF!tJSxnVED^z`YdFX8^M6`!?zK(Rdy!3HF_5i743r08fn z50=1go3V5(g&0`sE**ZivkK7g_&zzO_RolvbLCzThRfx2kclB%4As2*Y@DFcZdg&s zfDwC|_}Y9NQlf(bX8jAz20RA%`_C0Ahz2IDK$=nv*~{2J0?U$lKQ%EUpRq|me>fY< zW{4Uvn)ol1hgIeP1;+oU=w5<}FUVOYP# zeNQ7#eD?k<%hpg49mPbw<3$Jrg?+H>2Zx@w7Vt3RCRYF|jz|Oz|1XY;3>9p8waVb7 zNTGCe)RUBvgZ&ovE|c_gAYe+QgiKLOvTM5PpBzK~kE*kbs%zP{HSVqff)j!hoZ#+G za1HM6?m>gQOK^90cXxMp*Eh58J^S60AGDUXV6L@lR*hLTM(^Li1OtbzznJQQk~Qd5 z6r)mN6rljqtf^)K$6*w9;v<&)Z=qW?sSg=K%*~O}g@P^%uk$YEA^=SI|AM}MR6|h> zf3<=CZ210F`T;NS5fky{)uZqg5dU947!kxZ7Ema34f|^PkB97EQ5^-uUrqzqAv4*3 zU7l~9gfEZP`iji*|9%Ug2c6kz8U|dYwJ)~zAARV*pB@A3ugo6Q;r}8gfXfqEqY^2kHn_yF{ zmjsN5;)5OG998ok)YjGlzETZURSN2ZeS5kW^tkozaEGP0@o7f!j^AXIBQOSe(8Hy! z)*C@5hbD1Uf4e5Wdjoqoz-r#+wJln0q6(Ucr4TO*f6D9 zEz0ups26rWEvr~!5{ee-xTRZlQP`d7R3#X?}E;Lu1Ip@__f^r%^e z&tHVd0GI?4n7n+|!Ni7dP-9~r;46FoO?4~@Gspub{A)JjdriDQU}L7$Oor=22PGuT z1U#gp+&nx4d)u&nrxPg&;`a-|G;!t-PG=kirD=D9-hd7`lCWd&&SB6+fRFL-MF@D? zd06f^jlv$#zp{#Du-0K<I7QK)t;Bo|Z%b zSf#TI3oGpp)Rgm`1+v6Q4NZ`uRYn2;iM?LqJeQhViX0HQB#FLOdG2rgACq z^H0s!FWerz>{@kMSYk3V!V&)|^b^<$PnCraI0DWLJKSHs2m{HUWH}(~4iCBLk)k^# zCpq$idA{m!jO6Ab`;@#+0NkvQ|Igya!DDJ|{#a%+CMj#|7TfDG0bFz!#d z##}dW-k7J#3&l+9G*-$=OM`3P4@5G5`C@33);FsNq^Uxs(u*!8?xj;U^>;pzD{9u z@-agY1J<+2f>xWWf~o&RK;KtkkW|CyXCm(bqO$-W1Rz2xLt*(!{fn%*Mg+B}W%zvUIpS;N5SN7)qG+hCaa|GN!^xv1F1%0}aLsw&_1B6I{kIzi^L-IfI4FeIv zBv*AxRWzlK9tf2$lEGsC#`h?F2*w`d!vpQ#Dp692XrRw=lCT&d|HfUr5IzwR*kMKL zL~2oy0~Fv9@f{+(_dnwX+9Uvco}oFr=&B}B5M-S!e-sKcm;hkqK||N5S*|vs1_Cof zT}=(M&UN_7!z6jv19ZrviU02(jVVNDcl`1J<2mUl;IDU^>A|*ZAP`1ilM?-MBPK2` z4>)LkaZyqh1$)lgH%v0lg(ZmRC-{eNp$xvde;Q23@)ZDFz(Dd9m#th4VqZX!LisNu zK;mg-%mg8j5Thhg)HeBawn*{nNk&RaN>Z}tC6bVri<>)aWOvUvqgLv=M%kdqgOm@i zZ`b{8(5(m<7;2UuWE8wGjZICIRPV1Axj?;3?untJQB`8(ho*AMzS<(pq)1h_HYgz2 zMtOYd(cuH1{uaRZPO58V1%wGlt2DqNsZ_3+%=yPJoQQ9P?62nq!}agrN9*XN5DEH- z(R!kVl7kTt5U8uGC#BZc-zPEXhwhW@c`E~-s3V9O{5v1f%@+KvJv}tFF!?(<*e&IU zG)PfPILYSeX}FL2r^J+$qWwctn(NG86WxytW3Sm?e;J_Fi;pZ zRRuK_iB=I+LoVev0txWT1~&48d9Qz;zg}4q9Ke+f4foXR%!UFe4FX&sOp<%`1!)8L z)`@^$y@nET&}Dc zE$3yF3K?bstCg3^P>Hno z`X7P6S0JC!Bd8YCD;&95qBpRO8UDbXM)-F-cJ+6yqZDBx%1KGJ=H!$$ssI*uM8p@s zQk;DQJnv9iY@Sc-O)S9X%XAJ@#)6}-kdLZ z4h|RNHr_V7B!Op7VYJ294oP_`^dee`5^;Ot|BOHYDo986SX+12t9yA)4wtK)j+4{X zHn)h`SE<7{Yxj_Y31{2A4a!<`MNaU&tb7faH?82C}HRgoN4sJm6~I ziUV*CXbZRZmM(IW;IsBD6huT_W0oPw$@WLnTIuO+nLM5Y>HwC4#cnrLI#p)2j8j#k z#Xo&5ph8DKfu2{c?HL5{f{${rKYlL&2p~2+K|LrT@-_RNfSKImgU$wO=M$F;sth>z zMZM__FFZW<=t-BqN-69Gr}KM6%E5$SQ_3qHum!gc2upl_%)2eHj=lJZePto1l{Lz^ zC*2tvh>J27|A|_}>ov)9#RVE4H^>3D(J>JCYvbc`%Sk{WuXIJ?eh3_xlBdqfu&Z>; z%mQLPwWjR^1U%&AfBGX%p3XGV!bV=(+$Z3NVA4fI2R52+7h(;;{+p(%zhg6Qa2B$L# ze`seISXTz!PLF(Jpb!Os9r!gN$bo#y(HF^A4#$+?lngFc=yYzkQJ2fjx_Bj)QJ{VZ zzj_FO5#F!ALng5jMQiPR7=C6@777trZ`7h_c{&9unttXS^*1#oDgA+}xsf5q6ypRPJNWKNP37 zWt51&L|g59@{pXrpf-qToqVc!&PC?=ZV7AkQ~P>4$w+g2r=%j+!lS(Y8@;2gRE-k0 z&d0^z4qs$GtCEC$ai}2imoHnlrjfspA6_4$_h5Y^Cs2EF2(rn6q-u!jY=|fAk0$%4FykDZwE;vdr|AiG6_uOubZHv9EE)U-$;9)U zm-Y5Hgz$PPoHrjI%)Q}|x1V6Lfw>rDbEBmQ&HulQRE&uC+xU9?i<1+g{L%4pyL|2z zz_rk-?8Gk!3E806(VnaRvuVxV+L}nuyV$Z2X1g5_U?9Xu_O-y{`PT8y>|=k-92$bg zc-8H2@=GdPW+Tc&$eY{x1*V_Aa(I@ZX7!}HBQsVT+4czKqLR>aNn zW0Qqxd>y)Uy=!u_BSWzC<5tz_Of1{b_wFB(T2+2kq0PtiJjbTI5}nD>q+@nerA5WH zmG%*k$ragS!}{Y+SV5;47jqG{T_#J#w&CXhSyb~Kgi zf4;V&-0{8%3QouV{j6N6Pz&;yT zeu|PA%Ul}JWa2(_vJ=t*xII0&!*L8K#Td?J7Md~U0;3=*B3r}pq9P)bv6TRDBY`&d zNm{@V0-Q)5{Bvza#@nXq+_VB<(1z5kNn1QwAnfoAb9PAUpUVPC8Id8LnCpQuFq)hk zd`2%TOuF;^Sp~Ph&+83I_2V1BPV#!7qb38tZ7>km%7@O-mz{_JbP1{&3+x_`3N!gE z{BKRfa9Kc(2ZWFAS+JKppY)jMtRq8ab@C^S;*#oV2%DW?})me6-n&1e{ z+RNVih(Qe_l_E6mu?ZF3@*QM!;~$6k)fOBg1du4th$m2mk%gs#^z{|lqRTZ#zOBae z4$|xEwcg#h+x$9~kA;RG@pgXJJG(4fP|Xr$C+1~5w@PaAKN}T?AUR1AT|TK)WI=UI z_2+K9jt_VQODQSIo9Jfyg1`!~1Z!l&@@)M?rF=0;rKB|UZ2Lv`D5s!eI#sCC__dFc zCgzSOQTw9x?ft5tQQ{9XzB$g=kwJDoO(Rm*)tC2|AyHIP^&hA56A-7a&X+G&^=hX} zLb9I159v-O~S0C!B{?u$mS&?8Ts$3f#-jP5p%B{JRas>bWUh-mXWt?gvGZV_` zWq|N&Cf5}*T*^Y#4gkJkOdYz2r3Z0xXmW#>sjJIYh`^iwOpuGIYC)|0H zxncNt2OwkcIHMBP;A3bo|6~lclPelIUR6mkK?o@`$z~1V!G;a}Q7`iLtc2n?SyS*Z zUuL`+X1Ovi;U~~&^taT;Mq5bssVrgM8|+NiOjC0^nF)|Lvv&_yV5rb3Ngzuv3iiVi zv48q)CMd|yjg)W^9xs_VFL-(0<&TZ(=<@^3d_LUp4)XpzGt3_hFCL0Y59ZS`b!uwy zs!JTixHIu5+e^RC?g?|un~im)RF8f?Qx|3$Hsl|%UUsfhFT6)RA`5*$@o#%_r%~j; z+1$Ga>>?fKA^WOnkYxUXBTJo~7n7x*vNv072beTjh4LzjX-CTW-tNAt5@sS3rawjL z2kI>I<^bc&q1QIy`US5SYH*uJCYl4iZEs9u*3{f^iQkK!(4pweeC$-1v-i}3vfYJx zwZ39H^#l6HYLa7F$o#3Op&}-Gsx`N`o^%Coz9x(eg*8nAgzGo*+H@>BkQe9b@=s>?0yF=kaxfW7U5iBsjd^?kw^hrnvvM=vWMkWAgS?BM#ICcjq znfIrc-s88|W?r}23IRwwyi}a5K{l(>R(EIMG+EW|B@AO?ijzR82p{-xVQsax%tcN< zyX=NxR<5Jtbtp%ezqMFJoJc=0HA%5ld*tHi=JJvx?V2e52ch1)F2TfW*lTvJBA#b6=-qg2^8x~}f- zU$8kJChsBwDzWQyZi3v z(~$ExY`l%J32dc1meRrqEqPIwDu_pr11ChMdS*4(BWW?!+W6$n>Hz%I=h+g*gYJUG zi}kaTxo`7N4b6}58wC?nJ-=SsTx`MUAtC=%8t80Qu+%{v{t?cOQI!a1q(8x|oMbp_j9kGoeu$eQ_q~P+T zV~1plAb-h3*8KI=)g}w&UEw$yRguMNHR^2EJX-am*28gLF2BL?0GQ!dcxN2Gi@Mf03nN_7se zlN;XgQ;n;V?SjC*nwX{N+J}TzcXvnk@3^7ZAR+#XYJ3z9dKb$_BYI@^=O%wxUW!;V^ZztBJT z48~u|*ew@cHtQ;KC~*~a&y+82bQvHnm}jCV4(_Qbwzs6+2WkI z(bf`h=$Fdo8HCVeq$?+1XzdRrs2btx`yH;%-1xg_vU~hUCebYQOHqPyatM0Q-0W>k zOb#h2A+Ng=2BUyHN+JIsy;HbS*)k!94En0O@aJ!EI5(2jA3z>5 zn+*P2?3DnSJ#yx zgE|=F#>IKP3uHriNtbh~B(Ybq`N2hf!9IdKos|b;@~87#`6wm|MDWgLSF)H{*nmQ*wkL!Ua0+!kQI@S| z3Xk1|s|3BL(QeiGe6mM&lM9rmc;#rFI8YIMUS4#Q9ZL##Sc*jfD-_Mji{Zf0;oeIJ z4WT|sKyZ-yd}6ZaPZY2bh{vkyDO+CVS&VSWBBD4f`d9h)6C3KHr;^+6;e#!xUJSF$bj)BI>q0Uv&w>z>hE z`jv~bRIl27d7rUpVMf2vf3eZ8$rTVc5S4Rzkd{Mcqg`Lnat*^a?|W(IBusFu81l1< z*0kvL{pEH%Jdb(%;f58m8NeSg#y+H{3hc+l#X|rgBpZumuu8~Z#~BLBCZq!1CwBj^ zu)QdtjI)|Q$OX~C6&yImA^-TKKV(MM0r(m^+#CT=TcB=j)a*?nSO=(|DpcwgSR@jxO1D;{MJ@a9VZlO^bs{_>!VJ%=M5#KeCy`eO51x)S8+tpeqKpdADoidraea8-z;3?C({{o?jN3uo5EwIAnl zT15=zIl*_jH&o3$b%2{$_3+~@Ao`_}h)X_~u$t!4-=d{NN<;KwroI2}{p@hi@$s9G z=QW|u5#H&Bic0-#xvWc|YErHOtcw^|I?QULqr-iFR1Shx*909MnucAYnk-TdC1A0; zQM-)+5znjU5&Zt+?I1{UXsGG@es{MJEcu(G0ucnoYZl+PP$xfHr)+xR6T?b?1i_1g z01~KGH0^AKg~y>=^qKX$Alx_p2HR**VtEaNLdhGxxw0nqgCYTk9E||# z%m0ZMEouXVrLg0YiiU&%F}VXGHqfyu@>ij741Mr2o;8Wqg|~^J@#bpM4Lse2y5(#Y zw*K!98~ZI~q4+UyKQy-Y-4JSeGuu!H2r-?k0+p+QB%);&vRzn@P8v~uzD}hnuj(Gy z_FBu9>D9EGQX!G{pq@`MUx+0ESc#Sv-Yz#^IK+))oA(7E$K3pl&qjKS)laYexwhJ@ zTOD}7ixqxP$0GF&2#CX^{>>M+8KHl%w(xOP8_M~uq2dF60Y=l%D6LE7h0Cm(9?to? zjQfOWVBg$&Lp4$DSt=uGmujZgPI`o%G^m21{?S^uQN18u zYL6xt?q8iP%L5IyWzug^R=zp>oQ!*if-z!0A-KSg3XT7XCc;lerzva)WujO*{|iD? z07`7v*lUPJ7~H(&LytzYM&IpF7BB8532F)nUHMllvb4^3euWRTAUfMby_lIqtvmFz z`k>J553efJQvRmwI_*@dAebB_#h45Ancb^ji;&nGsz*hL=DJhP6X*~o#23E0vBmxB zj-z!Iuh{2=(T8@oP0cZW<{!(WolRAk*M9uh1osHIsuy_Bt-8p6S}cA}73%dlEy~b* z`GWOAPlN+Dt?%km+9~J_{VVOjXBzDrYk6SO!p*_JY~d8p5g>9PvLW=|r%tEPXA9Ex zHQfAshnt&~l7d1Ht;h4O>&d)M4!FFuEo!5?bI)9f?4>xthUDWf)6J0uu=m6tH+NJo zndW^GIv?2OsEt%bE2rNL9=g60%^Fp{2Dli>3 zo{l4hEZe_DM;m|zBQCP{Ver=uln<{yvl`q$c z1hsUutv@ng_A^mS4=PSm{JMrC7;Xc{dmasm#Z(_4y*9YFD}baf)Uw}134VeuNQB%| znjKUUDfImfKRkoj@_++}b{W0O#2G@l#uGo`W^rJk(F=+FrDmF~n8c^l>2XFoq7KYkhZ0L+36SK=wVRQTDOPg;i-R?{W8%BWKYf`Lh zBb~aTzAW%M8?nSj5IQLW3Hc_#!6N zi{KGDI5`z3S`2|1y=E01#QOaXp4rOF%^wk~Hd_$gQ#fIcnwd&VRMA(bF=0Xmu4lA< zA+`Hues6u_P76+dxpX3Yw6yckzyMf4`?u1j9J}P{)UywUKoOI7u3<3B7=+R^Ha54e zcC?EAeB$FXu_<;n&f)RCm&=P2-#HC2@n^fFb*%0m0_e8SpP1Ob6_RBW zYmtBn`M_Wh_;O)|V6W@WGNBTI31wlR06lJO?CpE@okB$S*$ET*MfW{L8k%Y3+ zZ|}uI+4T+*5WzlDsE4br39TL@TslChBD$zZ&wN#}z>2gED|N2ZHw@4+81E^g>J!Ua zqJkG}1%secbU|phx>N!EKmc{hro4)WM{CTqGuInom7t+eKut|eY^-93*IR!$&P*1+ zKbpq}vm=RI5G(@za=q(K+T^Zq7}DX1c?97``V~rpv4!oFfLYmk+W{dbYlZbjLXv+` z5q}_@pPU}VBv02R)$_E8CsZF}NQvtPlq8f-KK7$#Jy5moAIh%BPjGUHIK>HTkYOXf0 zG(4b4P9$|43WMT1E#BGLsSEgY-{0TG#l>55`pQTOL8_IyQ3*0)TfqC1laoV2Lb|%T z!m#OC8Mk9xCix+P`HqOb>GfU%TD`T_qq*X_-FnM{?R>!Bw%q#kQCr7ZTv%Hqum2c= z-XMpAop^Z2e*Acqnk!nt%63tfJ=Lz!z}wTEgA-tXaK2SsY^I^LHh#F<2k)&+h>QET zZl}LP5E@!0E#)SY(F)rkwfHAEcoE1%k=F8QwQ04vO|K~vX2Sh-38JNuE%xv-v zD)8?)lCvwNx3`y*v!b>RKdWdd+jIujLa*^w-(KHycw7aouKGrMQVO`2QSyMANZ$M7 z&ABfWb8c=96Vsm1rl_z`*RVVw*W)Sek4huMX+>^!_D`VIf@gRV1Wub$3KlTIrqM62 zT)p=~jVrWov|C{+8ybL0hF|dQ!w0jAO)BsEAs)_?Yp0L!)Rb*bHt~r?dQ7! zf2cP=o_GfUbET83A;C#>vy3O2lh-)6;&&f4o25gNuNoQu{7>V@h&zl~b9afPm`9 zyK%AdS^uf0=f`)a(t>y;rmqDnpVF1Q9yhCuWy4N~H8`DFLw?_<@@T2ji3&eiuBt-b zgF~g2Dg0qFA8glKSXC;KVq#R_a9q~xcvtO`{6P*T#76}-k@+GR-rOvsBrgw`FAVH} zB+!l`U$zaF7?b90Ni7?_@}md_Od-1GuJ z=-T&1r*e84tm(0#+VA0Sc zC{FLMZ*A`12h2o7WJdWe)@hS+gbi=@>o&WDvwsTGg>5w2nxk^r{4LHUj%Q~VWaEw` zE(oe{I!X1(Xb}+5W790cGtFb>;5#@lr=@XZL5a>4E4R15D3{0G9$`o`vnTNO9O+nh6he%(z#Vt^yFuW9Oe9Vq!a{T(R}d%Awg+&I&*uuw=Bhr4K@QtT+QP<+!MV;7)RxSV@>vT)aM4GJ}r(-T4&Qh~?yHH<;B8c6R=5 zK-G!rVb!IiT+Nhy@5ItuEC}cQ0|vYYuT*D${|_BrV+|Kb$fqxoNW^gU0)g)^1f4V9 zT~??Botf8R(j`hIHoJqGg`*ws%PV<95Q05c-tSH5k!~26n3a7D+lF_y&A=A#syAfC zW98wZCu)XIobn->Q?r}q(x(BHiU>dKkD+T9c|5iKN(>-NS7de;V_};Y%5P6#m8V{p zCstfEWdx=Gif=0{8M%m_>@qIP^L*)dm=zz`W|g{Hsg(wuu=IX9oeUs!&bU7)tEqOP za(%z}-CkDLZ~yNz#7M#mbkwa>Eu03=7NE76 zsIBQ76_XH+W*Z0k^W$t0|2K_#sf*nqUsKbN(#+l6Pf2AO#w{*Y`{i2FTL?w~1Umb0 z_iSb4{9KaFve@fX)jBOGs9jg(>Tap#tuQ3qV{Adr7bcDf3<~OdD3*N&%ZOu7&TjoP!MyFU>v($Hj4S!$BB&F zCWPLJRq@5`y^ryU>j8%@A$c;3QFwE2L{Lrb=>8sjbPP{7b=7!cvp%_dVzTRJ60jww zSo85Yv9p6)Yd+iO=5CeF2)y1i$HjZe`MK6ingj|J6B|3gcNjGXwBkBjo+BeC2bxL2 z$|Fu>obivAhm8mt8jeLp6&Q~r%q>&|!0MVHNA8(qBqwXv?E3`65L#c5USc&^G=ZR^ zmX{-0o};5BN?2G+xqR+~KlBNYryJnz9uz#BHXH~-j7LKgP_KQIEM9XkpTiBG3xfxR=!VOS_ z`UVFpm4wH}Qhm>3sbgVV-sxhP`9ZmJZ}_aJ~K2BjDtHPQ2`+gl%t990%!~>y~fJM zWaOqDLs~Zx6B8oPd*YWughI_epO^@{Xw3?b2$xlv`gir%yPNYh#NN}K8#ZgYO-yX; zyfRB)F-@mBkq9rjS_*SB5^~v|aU@vwI-BCc!qPI!@qCCexCX0Sk&YU2GqYwy-0)u? zEL^LpbBjxkdZAbaj>qA_5r_zIZUNO57s>QG)tgX8Mz`@WaVB8iAKcTUqc)>Sb+j5X z>uoO^fN#&#w1_wrG{Io29mRHJBfYitbIjXTtztoc7aP0LIkJShsRE!q^3qBXf;@@G?Z&TS@-~IRdmVN ze&#?^lrR=E{r=J}$`3B+8aw9=T|*@T>gi^OWY4Q@KnC(sU54`wT~&gGZE<9oKSN#3 zUDSlnPoX|0o5*$q+Bu&Uar=T35Kkopoc{GPJV`MK*uDfpm0Eo+K^RlHJEu_ zGPVgdKVSbtZg7n|DG;5n)yy=xOM7w;VdARJoC)O>=xYR$4TFUhanrCZt*c6f=Br17 zg1SY{e(ew4#9LV?%Eiam`LlFbK}pF-vf{Flmw^Ftk6yy4VPZv%(ZYOhWX7%IgPL>4_HIx2v}-?>{$_4^b!iNPZ10-{|?V5LHgM?=~pSsNdK zyNj_i6Ds}4bJ@;}!|+|@ zh7sG->3FOE+%QCf0tRNq<#`NeU6Pwylb>&!$~vN`pa;}TW4)2)ithq??rx8WKf(M~ z$PUWScic1!3}pfQ{E6k|cgakG1YD}r2IO0_y?IDKPFEUKT<~b5V-r=Vbk;NGF0F{2 zLSY~vz7tJjhpnTmcQycD3OHPDLc?H$7Xp?DCLWGpPOH^MU;GKt@J~NYOb$0UC$sp$ zE%2AJ1%EwUY}DWY{1gJq=v(<)sA820x<%UiLZRy$fSDAk?$xk=JXeBD!1Y+Z6o~^k z9-(e%THn1blxP1Q$Iyg8mY|3zqJQ(*jH4vVg}%S5GX!x$eAEQZu0 zXzf1GVNmsVh>wpC;2f?6j=xGJ$`)wA4}2bv$D!D-Rl;O3QKVW=P4)-B@i_rwGn=fe zY#ze^RE$YjMNX{9DGY028f%1xSZZ{%EueYkr{g;NJ@@S82`*O$x;N_u)s;y;R*Vq4}Ny-7HSj}3J0gD%ZdN@ zye}pZdVlk>(4Wsjq7!HZ4c{st*fyTwC^BlkQeSd+XPFGznlTvQa|?z|FVnls2&2~; zu)H0obe*`FB^(8wSJTSXz!ivpN)<%F^GfbSDHsfP91&sOVBN7kI}7UD%8prmz&UzE z^1^$&xx4Fie>w<{-TyO}kp>swHkg$thuJd7y5EL8xww=TYNaLw!}{eh{@srX@F6Lj ziY|v(10d=$z};J}UUwYHYgdg}ke7FOcvx#PwGB|DjTHc2X$JrWiTx^jJYUvgG=}?0 zr^73Kq08d|rFXI#Xlb@*zG)Mv7nBS1gZ#K-Y>aedpd!^fm2l%P2@9wIJm@S^s=hiJp$(#=At&WBt#i z|9(MMHkD47khszl=f=}wE+@4l)|`mfd9+1vrz{#DS?2_WdU$zpF=Ax3fiR#x%go#m zwy+Bdv{j&7&TQp0lL1zy=@+8(%0~vc{{DWOMgcdy28Uh{pv&f_Z_v^JvcuulRy5F4 z*2?O4Jr56$4LE5p)>WzCFQhgASOXy0vV?vi;w8kz)dFY+gwVDH7G`Fk=j8SCow<^d z5{9mi@~4f7i3;b7^~pN9T7aRk*6c{_z=}(9{P34_!tm{zPmb_lFF+p1j_DK%PrTlE`QffH$NTF5U-#=` zh}=_mn~RGFk+EZ4qj5g%u?f+u?$&wm4Z+o%Wh2eZ6IaUId}GrRd1k+X2=I-!P}#j& zorQX-x!Xd6dudzc3ypyoHH_q&gHo6EG{O6U@R=PYyOurb0PI|$QGNhua9mdQrZsPK z3v?kQG=gakoVYtxqeFL@$lz8J%v1ctXb=qej8_|6ZjlE-l+F2@wy7Y@fx|f#(Z*G~ zCopM@wxB6C(ZC3@OjJr$yK)=>bW9+o1<>?WSOuWrAtNC4svKIY0*zYN*VhT&1ZM%Y zgP`Vz1>>JbYF)6uoCV^mt7M_;^!_h~#K%NC8nRyr_IjL0xaz2ph2OE0noneJB$2lP zhOhRfg4f*E;DHxq!d|=)BGa@&qK+5Q_0tLlxMiUH+8qj%&sGkPvA`_c{n7_pdS?_c zvzH7u8?~zGw|}burDtV*_q!o*1S&NfSMCC9xVahS$GYGB{ju_)*LM1D$MkF1RoC9+ zO4Nm$+5Pw4dcU<^K7WobFC|lH2*m{>LzyGmI-fLHNlvnN-g)((3BSc?VOhvnRM8O* zxq0Ealu`HQ8eZWQ7MCyQcf7+9M6eu<`{E#+7|e(B)rZ?Fx~*%v8aAOK-WJ2*1$b0r z!1$7&)yXBpWcF2m3z+NP2m$dC1&%n2m7pYZ%ggH5=|J<%?wjEi3TkS>5WTWbCB8R~ zz!a0Ky{sf*1({B!RM!kd0Fq5SX>}eN?LHDh_^CGl7N8Koq+68q(TP;{^J$HCm*a1F-uNH#>Y;=gE;{39-}Lr z#?!u|)Byx6eQj0PhM%UU0I%_j5y+K#wg4oV)aKciw=e{)jK7~BQ4rAMc~D27YkV9@ z0+&wPD;3cRa|-ehOCg@ET(gOB_;QM5>QfL(Yk-W+(HNc;eq`hOlb3|KZ(skGXD?!N zlPzb_EYAK$LEZhPQ!`3dj&qxS(065j{*|pS^g8AFWo9cuDgG-bCPfEyjr$sR=4Cy* zMMc?YKlE3iQdW#?;5+etsMu>?4;^Sx37rM3L;akRa<*$)9Sg_U^1z>$Ul@*Shbab;>F&q4YNK4Q!_R z%1x@rr2TBKps@-{>-9K%u#a@yVw_5P1=dd3Ec0UXth~zgHFxe8sZ#u&41Jv*^;Uga zsJbKj@oyEq0rHJ2voO4{V<1CM^tT3q0$K#KTP#?VB5oL-r1HogjR`tcTS}avLkgk` zYdm3IejVmiKk7f z?U<)jKb+w!akXD`-s_%p$`lu%Of@VjJPpO&VGWe$6X=9bWV{~n;WmD4*m^Rwb=8A1 zKAjIi0v#K6IdY}dgm2DPDWnjz;f=ERM>wlCmmPF?#@Ft={u`M_ny?j^8J3{1UsOsM)in!p>bXArX&X`6qF^4Is2HW1Fo=>IW zj8ccb+w>6(E`s=P;XA5PF~GcW+mDgzGhMCo+(;hHDWp=W*Agx%RVZ;w3%!M&h0&q> zoxVV#o(K$5B8%evkVF&HUPP4&D96J&2IJ!de9q*xH%F0||R)12UbvGrgx0&1_~9FODVi#ifv33KrRjsu_M4oYLsX#_IKg`GgyCjOBjKLma~PZ zSQhvk4iv1cSmKRQ2%xUU#N5Q;5dMbV@5-p7KB_CgK3#tcTls{v8`Kr8@-^%Z;ZInj zb}7gSz`Kg0RE#>&?eqZ!N*LY6WNX9c4Qj= z$~S%EX`3tRIbO(1qATW}4oUa@2;&kbTVKBnFC)a|`GbxOQNDF@%>BRv9cknsdtqjn zv=Xy=(Nx~X%x!+!wm;%*jwq2hx`J!i_ICd}dYMIhc%kO|@vlkd-c#l3O|Wm3T)!)1 z#mBB)k`45W5h7W1#Q)DIUBc{M4Ycz?`7=dcK<@!!Y7#Ke6>8mIV2v_WU4Xt4Xw<;R zd2Qs11@SU8!&iysYTRJ41lAYcHvIf>5vi(eptZTyGdUA10^qL9XGcdzH-8J0tJAn2 zPyc~ubdpZz0Geye9U`8L(rGsOBthpD2kv{_9b-CO3CGdWi63T;DHbomjceYI)YTx1 zc{DCYOAA~FlxWz?4CkseX$3!$k`psgvWyIqvHec&xLpHtB4wIaX}zXEJyxD%Cu79F z0#`*;UIY40QGrv{=L9lpW9?xUSimfK(uqu}7QQlYaWiw|I#Z_;(AH*~WZPExNDYb{ z#`RrjyG2oZ7+WExHu#TZge|U?M4~a))LiCZ&ZjleykR_@Sp>S}{;eqd?`#5r#b_{YlR;sIqi7%Nm(_xaOPjf#G?rrke zKP?GYRL3*ER$or7KggUfYr>DTrg0n**Z(~1&Z2xnL>aZ2=U(R|!ldpA;6UXP&Ie1= zevmRzP{a@EC+doh0!4dD)~WW_vJzQ;!`8<>g(I91^j;ov_Rr{1aDhS6n|&F@ zJZdObDk1O6%AzCAA8KfZvY^kWp_OLIffGTbu3V5!bucUL+>dge3;)_E zilsWbh{o!u|49aQg2OsMJ|mT}l7|7}`r9b3S>v-<+{{MTA3W-@!j4l=5>7O{@_PpzwRgRa#m8%ZFIV07kz8xgjz$P zhM38xF7s3$T*AI>?>&xrpUj)q9{n)I-fUiUXj^R|>)nTYdP7(-RzV1Um^J4YtCact zyGf4HRwft2QzG{|ExuH0BKo5>`;pbbT2}Y88B!WtkxhvK6>Ym#$vjd$vNiSVq9Xyy zSEChn*6#w&@E_n8KJl-%;&c1#U}s>QaCJ4zi8)>KFEz#JnRR^?cUIID|C=fd7f){c zs(?IDX$AOlY)&8h+xrJ8lE^&H~X*B z*{sOjsk`D~R=PNpaZFe-b?)~?Eaz3u&lUIj@b|(Mxb=;b+<-?1c-^vg`;1}@@IaYG zVJw`X2&V(xFvSFDxA!nmtML8lY4M3(t2ByPo;+Fd+pnQ^W^%|6b07Pj*7Gym6qxR- zE9m#%Z@+J@%nb}iuGtJ*+`_{4)k)3l3DkMR8TfzcB!-jggMMzi?;p%XsBjR@u-VTC|#Q==@Tv;>@@ z&t~zsa_W|~(`QBGv@l^7(1?{21DWA*4Kh0tnDWA|-4mR}FQ|Y}-EakHgja8m6v8TC zjGmBUyjA0P?cT&kGx@hYIuK}+e0c>@V*A0#FVdQ&MBb@ E0L6hVivR!s literal 0 HcmV?d00001 diff --git a/docs/_static/images/chip-bringup.png b/docs/_static/images/chip-bringup.png index 4e8d060271ceb46cd26c61a3d6dacd901fcf43f2..07c80f0528240e4212420a8b4a943319655ef3bc 100644 GIT binary patch literal 30740 zcmeFZWmKHY5;lqjcZWekkYIzmdmsdNcXxMpO-PX7Bm{RG+}+)SySokWP4?OQoV~tv z@8A3D&RWCUZ%(vX*6VFWGE;ov=1^8%1}_S8IbfmA`Im3L&0DzLu3D)hK8hxq2BzJ4+W(T4ft4z?JI6meFk&ZjIP z^*1}@P4KOytE(d)5a{9I!R*1#?BHwxWaZ`M1+uUK+1QvM6ihB&_O3>rO!h8R|0(33 zawN=LOq{J8U9BAKDgKmeWbEMPD){#8pNjtb`A<7tt<3*dlfBE|-GX!w_~#cOD>Do5 zzhy&M1^(pnDVw=C*t-2GUd`UhRftXCFXI0@`+v3lhfBfP$_&!pKWsv*f3y5M@BgM3 zcd&DGHgj?LL(lm){lD}6Z+b;b2UiEkSUX#pe6V*lbA~YgUE4nm{C}tTyDS0VpW*t~ z@cn0Q{>p_+ju5f{@V^r+ggk?MNCyQa0`);cRMivuIP;CJsvMvXArT)BRS}N0N>u4s zL=qLZ7adiir|D6vkf8VU)LscywbUjkN^il~h&DQqkZ#R|$CHO~xcd6^a?IiEH?NAt zZh)qj=Ut}9jIoIc5%pUUxJW203K)q%L`>+nIU{g0sl+DlY`#5UO|3*hVJW_Wv1Yu* zc-AR3RZ!N`i#ryRy&bV^qL&qsk9&T=Nk@t1N`0YJo3!{i{1!$+L<>*W6x6s&i#rLXK^8abm zUkw~Ef|Hrqtwi`VO#OFLA=!cS*c3`7(F)(@B>vY4NTC!p5+^AN$GdNCH(8%<7$UIp zcd()VsR3DP{b;_B-6EKslk0V>zrudiJJ0ZFOl$h{TkFiBnxVrl74>+$%-CwPH=4~u zws+qp25#*QN98IX-=xYh%~j*t=!TYM1g{%KEv;`FLwUsTg&+IWn&Ro<{9T2j6wJ=> zovKE|mO-NncSH5z)+XD{%k!R<{&1F{1JyC!NR4w1TQ}&CK z*tq4nB6dsgy_=E}lJ-wWBMTiJjHuk;VEZ3b6J=U1iUPqr4tA>zQgJWi+3iXDaw%F; z6dF_fy)Q;yahhkJqpUA?_n3RtSXqyyFq=sRXl~6O;Y+n>%R7T7ZC5|4H(>*Kv$eFV zJb-EA#`UfwC_dwTjGM%WR%hO)6A_WX<4|&&MR~eksQ)Y>GwQ(eGbt%AsrYSwDk&+c zwZ<#kyVL2mwxAOAxc%7@En;;u!yJujQ!GKj6OL>7rJebTRM0}xm&t>Gm+hhH#7(|m zMYJZ~-hAxlpsQcgv8rmf?M)iw&0>Px+fcWb<^CKqe`UcpF;;zRB+g0eA!KE3_mbeW zNzN8(1sXJaoGqddoW_x@QX90b&`r+G=~fyx6zmPzE%(W}77UkCQb^8h_Q5}-mK0ng z$*5)u_dRl&y#RQXp7zmoxMS4Hd)2}H&U;a3rxTwWm#XaKK+tjWTPRfR&f4{U!uXXb zqN`sDzm0vDh3qHDMiW^?6j}51cE>aKd%9IYi1;iX!EE(=Wo}_XhAxGD`e&59%z!#C zfI)*@epL5|l-tIh@8vd{{tP-fnFZzW{H4_san03!j{AuZcD{5BO0I39hPMbL&_8PJG=#pq`8G*QWA*!q0 z^;pw0<_?=}+FJY}>}~NG={c6HUz539UQ^V{J5TlH3GWBcZ=V4!$7A2!*TI>U*I>A|4;vw+j_4a~&1TQbo%fmKhX<7*KqkZ>} zJ{^G%las=VVGbL1wkvNGMyaHk7nd=(SuFK)#VXJMFD|Ym>>lO7?`Xuen0j+%{HAs% z;aK!)hnY(iXAf3Cd1g98_KxOAV+T#XyuLKUPvi4-Wp<3(aV0C}1gb=!|Gqm7yHqPL zXP1uC#v=4=Rr>MDX~!pK3FLR#84Br(i`W^Q&a+)4>y7|EJ+S+SjJ-UILgoFK<}eVF zj>RVd6K>6vaC=Vw`Z17Wy#lJkrV4n#Mq)cXjZd7!Rs);0`LaePmipz8Wca6MJYw z8|leVE5g~U#T+K`evkE!%=iFfw=~n+BqpZ6>36&^8-f6&*X4Pg$UPAbh<9{K+uiDp zTGgtlSIBJ2ci#DeLvvlMT{m*M^TKkUOA>s%REO!wMu8!@Ts*rFfnm_p+z_mOI&Cr6 z8A3oN>>o!@NJ06X(#~dvZf|qy`e3@@WZCDcRWZHzoe3S{&|KMT*pVHiZzLqPdm|Um zXYIq_b$WTE* zw^hjv?rrhn=l948WljaOcvkJ81ki#&3)zA`C1%5u0WaY9Dt#jZ15jw|wTUw%=w4{B z*7>s3rYox8SW^zUDr9$Wmn*UUgqRV}_qVz5MA9OU224P5cAe+Y^bXOT37ll%v9DxA zV_%;x79PlU6AnE*kHuE$S7T>=U z``mrnD2rm!_PpehVU0$@;o2T6^SRh`#=#kjgI-QwN2TYuf4o`l44KxcEsXlI*^81u z-cCj}Q%>42tYi7kfTl6mQTpRL_#q$caWpn|labMKPpMIQo`6$Pb8=FW`_tphe73}= zBhKy7)kF7p%L5^?>&+q7(vtS&N28v|oxZ+sjf(Q2q=@OaIJEYuEJj<9Nui9PR49-? zTFw<0|C$1H2s$x1@7HT~$Bpg0I~85@p}r~FvFq!NCK?90eSZ5!@#*>iKxDUFO0PZ= zicyp3O5+?aGCK89q^WRryj#2R9kI&Q+4x3E!bpjQm>M(Fr>y#;VgDCH*7nqJ%NFtF zh2L&SO#B$J1a*m(GIi-}q0eH))3Fa_Mj8q=)@UF(*?-Px)hMWTK?VgfK{}1&QhL26 zy0)G@0>t5+-O>Kj6)$TWo!g1rn0s^bHG}0vHC|WCUvNQ@kq(Y)p;2EoY74{gR2Z%0 zKcad`#uPWXF>H_~8%Bw4w|wQpJ#OQ+7dV|A7CpzeTW$KZSZxkYg@&F-Lv!iYXJ9O| zoD6<>5p5})o$N#}7ImgyI$H~Xf3s?}SWWYjQkdtgDtdAqHwK$WTckit@b2UYF<=gt zp!aF=GQH!A%}6u%_UQ1*ovcN$w~*6Y`16h4^s(GRA8VvYiNeAXBT+8*sD16PoLpPC zK^I6b)nPGJx=tqh`_)~$$Gty7e^KpKb*g}d3lZm**xE?oSD&52^*x?KlLtf(z7)z! zgfjnU!HG~Kp66EQxEZSS1PciAw!=7iKXIWxB?|?_ho+Y{Kk2G!X@4KhTy8fTh6@ln zUX$i+Tf*nt^tsh-oC%W?JaD;^p?B&B)rMQz;0aEu~!o_<%>|NO=z>pnHLx057{UgtuI8N77>6+RDBD zjl04>quOIIeT~YVSuN?2FW+5ACDyKZ?Q|ib}g>q!AdQOksb==FHpEOk1loI(@v@?|gjPm1bjE zCr$@bf~oH=dRuF*?g@bIh|@sDz^Aas(^ZSy?a_3FduDymSK1IjuGW-iE$t`WurI^e z2FYevFHrjc{N#bhr-NyYm$r${N@{W;0XYFQQJ5hzt(7-k`pQ0@wZv>cTS3U!_$itE z++9Z--2~@4dbI-%OSNSzon593_U}JOWHYF;O+@uJfza}N?bgTw19e&Qevo|i%xAXm zZXUc;5Y^4%czt=cIL2e=WJ;`!mSsy9=_2jifzeE+WSxW019AD&jJxV(=jaDS0JP4psdyDE!7AVnwmdgzk#zL$d<9 z*k7#ihzu{Os9-Q5SsP2YQOSdVWus@>S%OTAqXvuOHLT zc#$wGnnY{4vRM9a6+_-}h5+-L(>l!v|B^o9TgG&bqUaO# z`=)mH6J*6u#DTClFi$uXz2jCNRVCJ@B9x|hZegXv$WZZ5Px9x5s#SP&T|}>ldw3Q# zX3a`Dmaw5HM1yG`uf|x?W_ZAiqk$#RQ{rP<*9jMZzuqT01fs-s!hPo=<@Ky&|H(uG z6)KJ#j%wB5Sw&tjG6C-|tQ*};V;9(XbHrUC4*;ebuC=0wTO!&jisu-l zCSiedP5Ps{!`&ukXZy0@_H|mlwOT?be;3FvPvr!0o+&e;qRb44+sqYWy-Hp+x->jk zz|jUlG#KMot(_riI2h1VJSil&m*<}?K4H5k<5cQ%9 zGX{9=bM3gU03CQHs=1&car2$c3`M&O+GD;vYT;?zC+2b!ul@t5TWq-Ntln}G26O0# zR9R@fTzrV?AiuS$MQz03^epFZHK?qL7<{zT)n+f}tsLD{sQMVAOH#g%fo(nET=#hA zLEV^w6fBPZ*+Mlww-N*1njCV>x;&a>NOWyQ>%=Hb0K6MgTAB_VSbb=B_qpG{TRAv5 z)=dT6th3YD8#rzH{NA8;DKgtsKAkfxTmw#>Pm+R{>5J4Gem!~QUlw$CP-f>54| z!6)SSJ{Tv_Hp8I297dLG2h$&~38P^VVrmBgJB_i%jpi6JUX=UzRoNt%N-x=#6xDXI z6uT!ntB8bO_7aXCsLYkZ`JGa*|0DbcXcV>3mc7|F){GZD@|Ui$L`HrsEOcXbNxVJ8d0W|~f-k+*CkegfFB*fB*RVU35AEWhs>-4J#;~-m_83|axw#0GCg5co z+I3qrUm+|O+f@YRt(2wj3jpVcHFT1~%uWAn%{eq&+NLt4E|a%Iq7&toyO#_ks~@El zU_xDX_8%5@T!---?UGL8-XG#c0|^?!k~H;Xs*Y#{t9)d)cZ4l#~<;R?Jx5giYu`*co}rz$W%sykFQs5fT7g}4)|%QvKWRXM+vbp z&y&e`zqp*|d}V?gP)=uBIQaEPKM9if^M)AlMc1?{A73?Ruw2(GFtN%JzDzNf2j&eO zQt@#%%{C3_LbRF9ii=!0d6Nr=1Q5)0yP@Eu2mrk_4k zDT$5A=f#1Rq!Bqqhz7e_+W(^o{dMRUQHTiD6$MJl|HtP0%ef)~hnoZyrQPex%)#)F z{Q9eTs+bf?>ByQhU;o?XKV~8;5@cvc_QYx+j+MXpAw|o)fp|y$|APPcd;UKk3K8aq z0yQo9m--oHP9(Vg;@u`owf{~{U^R|RSm93cqfd4AYQO!!(ZwDEO^N52O^r%KqflvLrNdbzo&ly8|IyL4IKMw=)czr z1v_M|jDBq5;QF&E|5+>|$(S-`*CE&A?>7NHm+{ZBM)+Zxoh?)SKCfXmDm{^SqvJE| zs_y+@bA`1kjE&MtEOuZA2ggqZ5o@a%kJ~4V;UBbhY)8n`HE<)B}$#`859oPbI z**p3+ptdGL2=Z;HtMr^CJ`&X1N9+c_u5?iS&Vi%GL$L@7bK z><68tF*P}hQA18um%?0D{60^-Z7m?y^yLWN*+kpq>&In`Id^(~w}{-~R|WyC-)zfl%XDdsAOYz!ij$`F`qNG-I|i;gb^k{QN~539bG z2@Ms0GdAIObYDqoSh!^`?f1Ps;y#+y`~urlTiREjX|6%eny!pghfq;T-VV}{dADNI z;jG@up|d@9?Y0mFuzg0F^TOvBPS;9Fv}Eu)aET^uAMA58b{le0dro#vTG@EN$XHV` zT@#vMpp~hV5r_XSUERpjF{0cNoE~dJV9t)RHIl`jx$>DPl>Lk`cIZA>;(pt_Z${1Y zBPTw|9WWai_&GWG6O8qt`}Kn?l{hE<6D>=eUy%+vMWT=ripaxtnng35qKYozNQ1>` zrH27F^5>_Qqz40I`O<}Io)RWm!vMdVsLba6;Owem>cudGjJ&YlOYF%9mQH>;swSjI z)!(TWK}@9Dz*JPG&J7D6pj5N2rfA5KVc^fbEN2wcYUNT4O><#skREzo%j?S)_iD zFkL^dVq{77clr6IF!Q^fc9T*ziDaOVftBA1q-P9`8B@U3Jg)bJd^F@VRjFORw&C;ISGm82@ZLI)lkil zkXlc(R~~qi%s8TUqt%1FZG<_e;$EqHcs%gVojNq`_W-l-CYakWC~LQ?mSYP6>m_9q zd%}6rzn(X7)oaX4mPdVWe`BsPr@I2y1qYW&cy}TGcv2@hZAvDU-|Rm&=wC7+u?UXM z6@YJ!{Oo$jwMWAcDe3>%_mGmA7J!(q(!&fzyJ$-DXazvlk zZ+VuJsLo~bXzpike9qb0rY8ZYFBO@GA7VT8mee+HWo9o-OX4f{oRT&4?CK{nZyd&E zyApL>;P!Z+6~cp!RV z7Y$${xTe0V^a)I5W0X{@f=rERoeslmaKsa?4kyE!~(ZSi1h8|{!&DpbH9nRBLYhEgSfU_@H3eR1k-nP99x1FW< z^nIRul;W{sBmtw0#R)Kfyks)QAf90ggjOQOCpWAcuEtT>ENeNHTUat7IT^6DCj`A$ z`Ilx97>zB{P#70!uJft4JBSxk2tE=|tvwi5cfx(b?mmn3R+VS9E60a7blPao+&O$< zVIi~-QJIf@tgn%QE*Jh2x@YGH0%**2brhm#owN2HVzo^e;5+&6jSGjJwqhD%!q5%j z>CkVHI;@*-$;XTcXiQU?jMleR+Ey}_!s%N{htiVF-noX-ojrSy>n!FSpDK@iML{|3 zjVQGV@sy0gT4zRmPvXho&tj?NS-nXrwVCsjF$|r0juZ?)viXczSDg}gx{!vT#C*>r z0w+FW91i9&o{zcbpM3q-2FQ5}H^pR4X(X=R*M0w-ZpYv4J+_yjatBV2#I&vYyw<2^ z=9ttQ_|;8*ZUE!%Vk-4rJ2_!|ZkqoZ>AMgtDc+EK3uzRYkHP)R_ZrUh-!LHUh!r#khE ztrFld2#+DP(B$B)Zhu4t=z7}}(9g~&>D(LIV1*VwXfGeGq6Q55C zd;Fz62;0P%7_%B2g#n_<{SNCnj5&*4&IQ<_~DYg^40!JFI=0XuaE21Hw4&$5X_;lziOPgs^LUjXL8A-#I&UIJE;QGtPC4jm^Ng{%XGU34Im@Zr;0H)oBQ zG5k#~=y{l#lNmHpv>yRIyd*J4*n64%yeVfy1lc@JjbM%ba*}4pyI}AMUYVJx=g-V0 zJ?9D==y~ttv&A2_7)8_Z@zGfaeA7jNDh5Yw&vs7@Of&APS4s}zTlI3XClm%73H#)! z zMrT>N=$`?A3WlY~#ixW>mxY5ne)3!h_IaPLeDEG48vrJ{!IrbR7hc-boc*(bRE z6nivcht&-Y_iEGqL5)Npd_v>gQ4*x?IsGyo#Uwn^G-jmIsBt``&Huz}6dMEFUjyqPcs?@vg@RjptWx6v5sZ;5jL#9)(dq^ZwwS_nqzd zXxRzJ7!c;dFNnH<*(OWEW=J1q<=wgwssgGWQ~bNwouqmvA-r=!so(&nF5tQYyhNI% zdH3a^6FNpJ6Hfxz$6YFq*X&WUf~eI!^Y_l=&=2u$bO)i<#Q^_yhn$L}l<9BZG@Pw| zZuU>DEC>W-fvXDu0KilF-Q)eT{qx3672~bk3_<&}|LSq`GgI>AYc{L!q@HZpisY%w zN{N>GGOts?iXd)DZhTh!6RHPUS30c&dMTe`nN=NqT=97g2hfJ>iT2imjcl3Sdz7d3 z$dw^M9gUv@e7!sU@Vp1>2iuy_qOPx16eLVMopcVKy3?I;lDqLp~J{)6b;?%eIQ9xDTfNtIx%dJ_kCc5b88uIyf}oz=D)sNkIpeJKIFt|(vkuX5d5LQ=Jn~cE;HTA2-pNa(F03!OSQv@X+ZF{DEDgOY zqSZ2qjor(RFd7-5)8rIGwD*pCNq9rU@-H2p%n3>3iRHK(2k^gqyDAwd)E-b7&>U>bate0_SkY7R(jZ;gAtG`h%T&tWw1^K`qt zU0m<)R2zPWbv-zK)VYf=s3BYNKzuWM7HiP1{(PIY+WgXfQ!QC`P3f#`#XPF32f2vV z@ZI`z5$jIOd@^3sqv`MeO0-RGYU03d`@quH*OvYqp+Rn+-TKUP@03>TR0YQN$Ypq& zI1Sk}3i^!e^i5 zjClox*0UAd(?~7D`IV-X>TBM;)Ca&hwI8V_IYc=n=!zgZbnz+fK@g;nfHEa#1o4PN zH-ma`(ih@3sNe1DwS>X}u70TL0^x!?i1b>984Y46K%Pc8fUneGgwW6$uU@3P;37g` zXmMBt(>pik54YHthc)uu3r&RXFH0d$zJ0pEoK4 z`@r#7YXP5Lc>yoW6uA0cj=e7Vv@3QoxO7w1=EDbm1vXaJcD(gwo#k&LEXc^JJqIQk zq|fX@%ht9f%yG@AcEY&gokbl!t#_mS+yUT6rJ>rHP<J~C@iU&rt>pxV)sto$ zYfI+U=Ie*%J(fGZfo>hy-x`_G9!#y{<4SKE%8t#{Y9V&7CFplCW%h@2c1Ovfx z)x4<=E?6I~BO+IR%k#X>3gK2E{~J(T^Jok76|p9F$5I54+<$xO^M3ZE`<#1{F6}pl zzURe0UXyL6i597=!E~B#bwAfn#s;KD{zFNfcg0Q^y!IB`YgTvJ)35DluSm(O2pVLi z*Sbg^%P^A2GqzeaXV-1twZ9uVbW1gmoN$dYZEFuqj!G}1+D7jkK5u#y02cq3~t^Dhg3v1~%4!6wLL*kkq}aO&E0|l2Bds zJTj@)SS)*6mpdJP{H8t+C|6y}3$F}h6798bjvC)gB~Ye0iA;)>jbhB*7=<+Y#eCfr z`aPq1tr*~*Jrb`>F^dD1ssUAUxIw`Q>0X_H#t})9VFjh`8xtrgs77JZn7L1?&unxE z{x_nnVEs+d6+)#RY8dCxd?3VhAhED-_q;RC6m_HE&P8X?R84Jg(ck;9GS=u}bXG3Z zvSj8=kgCn}k+GJuF4u1oRgDrTPSVdK($?&D|2Z;MpQEa>GV6vef!tvLh7EZ08Rg@; z{l1=VJz$Ob{)1!h<`m;&7vr~gCZ@Kg>T}0Z>v2_O{aM0}WXoDC7nhtv-HtkA$KK%N_me&!BYExZXzS@GR` z#Dv5jk2#bK6IUq4&xFbM1egzPROcu4PV|l)GF#u|g7?O=w})8Q535QD(Dc8yJHFhC zFH8Hzr|z$}fA{A@HCL{20$%<1&6gG!fq_DJj29!QuXx zQRxIzS^)N@;5#dASDE@+(}Z*|5hh;gcS=~*U6o9QEJwg}!Z&;~m!!iIk8GD1bSvob zv@-CCYIbHO$0i1!4ms{VfNypaiF zvUzoXC2(Iv0?>roW84t!skFE_-Os!YDVA&|aN2acReG|{K&tep`8_LG3|wxuZF+Lm z?dm%=KCAZbv9>F-WzRDqCQAKvoXM7dgbSM5%rDQ?@B8(!wf-gpp66h1vR^MbLDSyS z7Ib(>|Ki-;g9f0r_4Pb4Y72f)MBzMc?FNj2#>oXDTIcE;Pp)C7XJ=C(?E*y!3z%_{)G&B62a8_?0(ZwD+fB&C0`^9GvbWtCL-2y#upUlWp^%`momM z9s0F2dgSwG8INUgh>sQj}Ew7nS@^11(X=M#r ztM9ez`GE@eC%vWsr0+mWtHh|!A0{#>KqMJRLfu>ItFY_gHaWv#Vt_riPhyjKl?uZ` znE*FtQ%%Hh9YTIeH6=P8c8YP@wic_KU)f#4xj)nFYB(e2GTOX|m$=#ZF8j6stt8$T z(fD;`N44RSX=ijkzJhjw3ziSb;qc+7Wh?!qWU$ZL`L5t_`ZoG???5Aa@dcZW?T!>& zCQaOAyKBH*-l|+kGI1K=DpC_{ zO2|5UrvS2vi;3xa_;Q{Cim77n(d6=;y$SI9m7xWl_Sda(jQjh`Jbe4+K{Vs}LG-vi zj8t-OVC{E5&i=OePtT3*3Wks0wEb<5Sb5}dne?u~omZBDsx8J&D?1071>pQ)9s=cB z0c39tOo+@=PDBu$RwRKqMDg~twsX=%W<^DJhchu~KDP8#SDlNTYai7OIAGc4n=~gp z<2*%hZ${^~p!wJs4n=9ZVx?SprTP@uW!9hc)MIRrI~VQIXS6#`aoyi~O@EfBYas6= zk`05)M^PGXhQe5NWw>2i{3yMkI-v4^@al?edl~D-xWElvrc6h5s`ckCZ5r-@ty@I@zg|B9P5fA3z<|bl`KndBUETG2JU5E`jGYQD?W$NJarWVPrINnk4(D(>* z(JqhY;>^LIMs27Ut-@P^QH~G9Y+^a|!3855b~4#3gD*pd7j)I;S{U_t97c?O!q!J# zoOr!zI2mkjh#4B$vUdAPg>B+t(u!I#+QUzl_RZ|xX7g8H$!vsSB7n}ulK6AKRR!73 z7wHN6zpVREe(mh_A$VvxzQ{GkF!-2QOo*0?cV7v=AAe#AreIlVyFZ7aPc|U9ZEF1J zme%efWKd#!mR~AoB#EHW&#!%6^7MFcbXRzGPezWfo3u%T!7R*D!y#U&)8hDhK_=q! z!k69#+$`rJ`V}5T(Tq?_huBTJ~3>`vSb4XC+2Ul@RT zbsWS3?ROd3sJ|)OT9>?wp3!vaMk1Q4l=CAaBh$GZ^xJ$Nx)H{90aM3fhSXD9E=D*5%9%Z#SIUnv85$=tYvEP&(Ub%=dmI+$i z&XlP(q<&z%V8p_*1wUT!#OHck{bsrD3SDtEo$ee9p|oq|_Uy(AcF5Sg=V`DlUY^00 zl)Z<)@A8-{3j>I`<^qGsbp0IhaJtd!DNn)~Z*^iXXm7GY7Z8PLeapjibp+Wyg9ygu zwJuqHJdUi#?u$)L&Uel~&yp642TJ)z*`6+u_;g_=+B}t>cn+Zpl1^YdA?@Cz@6Gn1 z%&ABaihQ%T*Rw@@3Qc;DK!V08CDwib-p$X=_643#;AK-MuTVtFJzs7NN0HLH6V5@C z<|?wjgK_JGVys)4>Z`U+Dn5@1%(f3(!aLP#+2fxl2%+L?vt*V{j*E^a7VtcuE7O61 z&OTVh;D?h4(7IWbA&phC#H( zM`?UAGAqjk`oHP!ATqHjUq-1ANi%(HK`R5i)ZAyU z1^An^6W}s|i4^1EX3?EuqaKJJjogB+V4p@#r`bzur+AtS_f0bCK?`qqAZU6(DehClFMJMJGY;_ZOrzKqGHW_6iUAb6ZC?QCpJ=88vfJN!Og zYhRn6pC24N82ZN0=$yYv9^m3~1%9|1)~Cr+J{QeILq(NMW-KZwplxmi*L_Hc57EsM z^5YZk`rYaS)CIA4U+?=qfO3c?*6vF_q{f0hlroYbhi#}uZb1S2*4c+>g{&5>xkjgvee>pW!{h_!#EKFk|14yHXKLB zHdyIHlP&nX2VVApw|ml9VNF1iLu)$tS8r?#^>a8uE-D4Xjawt4;(Hq3{fiwTF53(J z#&i8Jw%x1uw|Lwg{bWkr$h!=PSCt@v#tS2*wyWz;19O;!A!~mA2qt#Xw9j1<&nHjZ z84Q$U!fIZe{B<0{@wg75dw7I^RAY8tK*#OF?m>Emkpaqgd=6&wjO+JQs!XVbO^;30 z2t7Ot9PkBi5Z8V(<)a5{BJ9I_gPqr8vSZ5CaNe;Dq(@czDe?K|6>ih;z(Ubt{z;=_ z_Gi>*zpLk`yB4o2F3XAa{mCC)p%7TH$L;ZAiAIHbnYJ3;NaDv7W<8e67y=-hDTfiJ zrZr@vdmYU&RpqM}O2X4@?+m9zgolfno$pxcHaeRP=!)J)FI(7>3BRiX0!wnx$o!LT*1 z2bhGZNw;c2*uJIb3Um)M#T`FXH>pJeyDn-!<@230e|vNw3HBN+vJ{2ZM%Y56$4?&* z^(`heqCu3P$hF)d5_ULkF&i2BS!l_M3l5+DVX0D=Ve1eJ+luLuqns)0$)lXj7VMQ@ zXjz3E8v;U5#$&REj5NE9lRK=gXKyrqToS`x$%Ou)fKrLXdjSCweFRokT|{=u(M|_* z42)b%n&rMYz}{d|f$d^-cLc^>D{j5*qH>uwC(nAqKVn8w8A(vpYzdNXeQJL zol9y6j@8z7CD*&%KTgcNKfc5NdH4JG`uTZ>-RCn zzdt@cK4!&4n`L-$Vj`xrbbo&WxyRXW(zo|p{Rx)v7xY=vDL{jAy=HtOA|wn1IVJCh zOX{HwvcNt^&#)SYjjpf=bkfI%D+>Yw0&?;(T7VddONg}S^e_3Rna1p7!1R zME%v0rVV^aeYsSZI51%8NkFl6$amBeWwYAE85B|89xzd9G~5&SIU>()$#ok7)yHQf z{F$HsUMXf+_M5Dx*0)fEV8u6fXxYikdc-Kyiro;vx0qLndR?dlluo)5#Isj(j+YsY6!}C|X}iw`nlj%i zZkMbwX-*w~dwY*gU;%9~wk9xMYI-{5^%U&)($TWI0Qx?1{pm z`51V*-QSa30oj5b{OZ0j;t}YQ($Z%ORj^a|JNa@c?rv^jO89f?PV7PnMqWkHa+WsN z!MNc-=-7${m)+gndT-9Xh`97F>AB@)fQnv|>%q}{g;Kn>Y8(+eygjyJH_=gQrvgxA z&7dVP{|SZBsMTjYG;E~%N1ffu^=ARwG?%?oM;DtazEf4Pf$Hy^2%bI_ zXODNPVqRp5Spwekar zqa(<w7a7FF|0g*eC`x%kxw>}!CbGd=RG+Qyii9$7- zjyOPq5;g41Vod`FCnp41omVtiG>y+B5E9bJd|6P6T}OOj5jP~vZk-kdH^9v&zG0q1 z)tN1Pyri|;{PoQvyY16M-!t?G^(fq$Qk%#SKL`F4_n2q@t%I?&2TO===`_^b?@S{6 zb>#Q?5h9+1S8D_HOlIV3l?K9#XYI^TP8NCj7V9%NVVSt!@!Zuxvk?7)*a#XT%4#hq z--gn3+&y_ZELQKNv0L1WdS$By1Oyykiw%ODw%*|~Awwb-U~TMk#lj3hk`lpa0InQG zM{^N<>);=D1)nn4aht92EL?+*n7||ykr-sLYwx0qN7K2*#ZA~2&cdGUz&vkI@Ix)L zIIOdi{8V;bX=Wa;YO|MQe~w;?RI=9Q|yG{tJA0;8XG%jFGgL{Z8LG+!OUrN zwh{^X-S&LF+1m^vZO=XFi7FXDC7wz4*a=Q|$QEKU>g%&)6IonJ7IfV=UTq3lUD00p zPtb(t(&cuI?2L0jQFo}s`zNG@#oocR<7~uHidHzGHnVcH)F$|lG)*um?Yo%_qZPTa z(9=n!6`LE?`g;Q}kN6>8&oNtdpm6uD6va0g$J;;`8Rz%U@h!$NG@(?!P&rr|6fvO= z;Eka5ot-yIF=y9KQO_#BFcFXl>?lPVP9RUwJ@sEy)4KW*$%eSrM~|s90CN* z!xxVyn;10G+nl}jGYFQT!^a2$07vARDNQ@M+<6V@wALzFD0PtAcM488 zmFj0u!S0*SoM7iQO4%rF*0 zPPb=vatjeX$=XbI?mNxbpV@7f(9HGMrrYPcP2|{vvd+sYR%0Xz=K^uum$) zr($|Na6e%Ph)t=y^b<~3`4`zPib7d}k$nn6y@t}TUVYVzl#%rjAVFmnIv#}e3w?2R zrl^~nQ?(Z3M3w3GYh+N8!%y&tC#-5bjbxBe3_4MGZ`?Dpp;S_SE^fx-ql4*t`rUTF zr?Jj5d}`(41d_DXmIu1fcD)+&pP89;Mep0R(;7X_v|_shs4gJUAF1UJ&F4bR1H({Z z3dp`;7UQ>pM=Xr}=aG)?WUrgO=nt>-IdR4UkU#;LA!(>JGmVmQa|eq8q3S9*EiVB4 z=+B}!!GiY{Z^}_*yx~7eC)Aw|&cRok05!8Gw|x@X_`I~YIgM8%szVYMlCLtPBlPWm zjr--l-kI`xh0AyVY;0^|JD9rg;GI6O>cGP4^^l(|PE)ct3i-1pki}VILmJzdzzP`b zzQDDC1hMjZpqj6ssnCr1UDwOj%G7?x>{j>1#X_%Q+_Quzc2!fUKn@%8l0-$}Vwg_cD_;M#^wdsbV z(K+%a1t@%bx=PXznAe*kJYNxUe%Hm6h;=(iImQfjyr(D1!F-qdCtk(8@b7pPaWpbg z$Lz$^_E@>&H3<=3#QlSsIYH3;kz&0ClMZj1R{phHToe&Iu-6;a1Wm_9IaQek zi%uo&x%sOXv#AAomAs4Ae)q?wgs(yp|Xk=aBd-Is8-G9*vZi%4k_j! z9u_<%SBb+~Tcl@T@k*nMxSx<`$H`UVcf$|8^_AZkG{lg*;RW0dRXIYaY@Z)*lIr`j z*h6_lN>|=WMwjX|#5+K-GsQN`f9D87fqQ!}SFZO2nb`&I@Ii_ef|0%jhVVpvL{=1G zxa(jX$EnXd?|B1W1bP}k)VG6+%W^O71l$810&V3?YD2CImFQ(U^KXVCoPTlKUcv#_J)qnM7b`J0znQ7TfiOTE0Kw zjMsd`8V)~|y#N78iU$gc zqXL5C(#WOdoFKd|g7tJ{h7x5&R`;7TtbH~SQT^@{=z2SlU}%^m1w4zCI7`HKa$0SM zgq<>tsu)D)s`-T**kjlFufud7XNsS@| zd(IrFh07k495(9?kuvk$fgv7cD$*hA~cL>O6Ijet82^@5U}2OcIxNXiZx;V=t}aD z6!vwVOps*#9eu4d9c+{(JkJCEa_$6_luAot=bnOP0=6$%m>ea$u>>s-9z}9;OpM@l zp5j;n#R$%vN}-y>B{oLaeAfZ~8rk&$JAfH^AAJ_&xM65xV_)d^^s5VX|=ImQI1tvN1-)2Qa|&mNt@L6BJRFvh;d&X*Szue%kq;aeleHe_^o zLSA0QbHTtp*p~5vkBhVr-3PWAtoI$*@@EeXjgfdVfb{FYcoOXs*{u!pc?I51^1KmCNpuiS^ zTYoZ=veGO=7a)a*KAPoxxr>H~ER0>1`^o`*8P&h8?~g;Bmv^((H+Qa8k{q0w;TtjAu#` zuFr8)8Y)l_4HMa|MSi^B>F%O{g3Vu0R5kWjCyn9Gt}`CH7|Wns+zcuD`ZZR&4S)|& zPXq3qVypW|AW}J<2FL!%%H`Ahn%e8d8l3$T9#XcOh!_Q*Q#!fq z3qL58aKg2NLr!%Okv0{E(_~ilbdHV7^$sP8A&889I4s1MhwB#IE<`brmm35WnkPhj zwZ{v?{!ae_c`_k{zp^g*AhwCetBtE2kKeBgLZzq>N?vf!NYH~gqod2$W(4}pm8{wHwseYY?4N-t?z+ra$1``NmG(A34FljQXQ;=_Z>Sn9%Mef0AkipTHC4e_`Gz)>fB zR4GJd#-N$~!b7oJAj^+K!uMa=5zsu+NXz)ekC9bXW|{fgAk1hmjn#?Pq*K*G{7#K`XKTM#* zZM9s2Z$^I3G@B8=xuL&4W0r@#BE6?~O{)q$u73NdAI;U)`AiumOW> zK?#M#)>K8?Vj`{utp0_S;e&|v<*N%`biap1#HkXb&e<-`&LOp_s%Z60U#wN%@NYf) zmk^V&x&I?E`-h6of?z+lXOL zNR&eUQQT*={~#LwbW;`#cWJMyhveT)~$@)ZeJTmgo-6cmj4H@(3Xrbkd zyFX3>E*)m`W|Qm5=J>cNB_*NB>$R#O_2REwaw0Hs5xyirI>!KFkj{nb$L?608fjNfK1X{WhUBda)Pa-O1 zSIey)m|8Xn)5R**pH0U38#Z8%iM@!;YHREdr%mb5Nl35rDJXhm{aXx6)o;<*^SS=Q z0|j}bqO6nXzUv!g-54}^wRPCSHRX5*Ip~D@3G(@Y^=Kr8(!@khK9yHPC0u%% zEZsXTP3?U~PC!g5FSVHJRv;zYmM2Jbcm}w{^wIR>6Nh}a|G!S;%_3vH0occ4I@~Qz z@JNLhS&Vd;II|x}wpefeOH6jYkw`{)4L72D>m&%58?hU=BK;|8l?k_ zQM^t(iE3@$I9HU9E+m;j5HC;n3eC<5S}jJ@o7*PRf_#WTO2v-1pp-47&#?qf_^(UN zWESO;)RL#`(6lrCUk|j5rF-pEd%sL)1&L^&@i-lYw6*u{&PxD&91D4z;11#w2IQ79 zA!y3ALxzmeF^XqU={27u0Pi9mA_gKOBK1Q^q_Q*=-Od*PLS}YDVA5TLhCGxN6;%Qr zBe@o&6gSk{^L~Upbee+e`_lO+d*UTo1K~%=O0vpP(0BBquQ6LUhBq&@BqL$FfC-w) z_jP^_;BwMMK|z@SNNAKUX2!4Vr~m-nr>l;sDst%d3#dmzd3&-;Vwy|{{GJAQBz=`v zSFSFtCgU_c$xx#&5Q@I-09A$UPDla-;MFvHKFg8E3x)QpzR^zo+> zUh8kE*d|@DEpw9h5bG#MkKF2KtX3A>s*a<~>T_U0X6c&uBoU2#ho)lk5ekJ|T2Otx zM{6<|NLQ<+1`fGDGBXnim2}H|1kUpWEu}p!YOGKIMi#9e{^>d=tUqJ?(Q0U9R}aJu zdw8+&!{o3Dy&&HJ3_vZ=@T;z!CK(WndX2h_mZUnLy$Ys1e9b=3ISb^1=iU3fKkXuO;;bH+0${ms` zpM#%)%F$f_oOwhSDK%aKP%4mcW?^U8H--}fNYEn`g)YMI*dU+=qKrj&Pb;Q2FW%>i z9RWo}U2yQrW*63dw^VpY$*vuHFoU9z-@KqTFT-TWd!BsRY%C~K^GRZSFoKr%H=_0jeLwNY7&0gB33(Q%{ z{mwJxyhU=P8}h=vHiybMw`wQ*4HtuP!IUzs!C~7_A9PJP#yUEREL1gGUmlbaVYHVl zE_Xp^O6tQ);%pR(-^ke{ffXtdawnLt7nMlDviBl)qAJlFHAszW5!=S#iGv?HuaA&z zylb#nt5T1vcA!8S=l20(P3;atNn+yDZs&1NeK$uxdrgIja&c^;tzX+p55VbAby~Z1 zV;KPsWg#VnRd142UgYvL5Od9LWxv>X>6?<`u+h1hG|c68D8zPo(dJuA^QcozWBQ=xA{r9PA zeVOb9371CyWm2+GXb7(8^k8eONBK=_>w%eBV3PyOUJAqF;$!D?@=mYdy?!yxDn4g**V7z~Jn zev9UFWxs59?h_bH3QLL_3xz^oW=bUNAD;Ij9LqG8mg=>qn;X}?X(j^bfjuiy+b84Z(G7`;LE00Jr}!{TE9Nh*6zSzMDGVhLLV;Ge9@IL zHxH)%1u#GGv4Jj9QKTTvp!!@P2ifrwnX9-W%dZ`gW#VPCMbyGU_pprigsZ)HNY?Jqge>~fAuzcXxNX7baoUtYHIr9NKD^IFC@cL%c0Y$)~UxMBmvhvcO}VKA?GBAAQ2R5 z`v#opb2;xQD-galDQx1GUi-VMa#zj5$1dHBN~55|Q) zT*EmUW~DL%ikce;(ny#aNN`OKRs}OI%(UQMsNC@eyiSBtl+|9FZr3?|ew3}>MA3GC zE-ord{|MsSfUxs6W*I;--o2`v1WBYCSdAQQZFgY{KfonGvtvWsVlSq@*lqU6B9 z#Ea`pYg9s0`N+^oe-s^*6j0NhG;$A~Mu|2Lv;D5zey(5S5bsp3jG&pH%EP_B{>Aef zI9+KLtNAE;<5|8V1v7=1k|2>Ws<(`##e4mXZAqOPGm=Qyw}t|h6m6ocU+Go2_gyLq zFReXJHb5X0wDa9tbtMA!+R=9y(`GqGCqIIKmKzu6hOBUD7y$u%sS(=5sKxIvMV%?V zdxaZhdlBN8w4Y+EdnhN^T0tg7YG7Sfkg9lL0Mp?KbGN?(j-FHKl7e+Plb zsjT$DVUG2Mm#;NjV>K5BQX+)E>GY@|m7;G#lj6Z-SjjtDZpE>b2 z4jDX<0Qa7gQbRr*Ai%gn1HSs(Sd`R&6xjC`pn2`A@iA{^`;1)=XYc)dZ;VgUOzcS_ z$sx?xHhxyScRE%ElqQvF#V;pX@k7|cziF91L9H2mth<@gaU)k*s z{OF#K0&GJ0StA!dKp~&)^34xtg{zI5R$4u7=E^fpG}_A z36~HqLwT*(QvVcwOHJ*uNVrCNO;ZCI*)}=v1~}Ac=ulLj?bQ{YY?Hf-8d7K+wj3z^ zfP4uE60u+Y`i7j804Z>)#DuzayxgeM7v2~Q%bJR+Iq1=WANO-nYzM1wJEGhKMmZY~ zuCXU|1skg({_QLVM;zEa2HJrXD;E6#PJ7-(E|&|VnPPCM6lL@2LfExD3spNiyDcCm zYaP{cJj6O_*_-bNTbtLb^kREEU%9~miL2|PSV=cP+qnNMqCvt+$gW*yIK=dpSO*C( z?1hBC1fwooqC3ewaRGcHUcwin-+Dr59&hO2py$iV%24p}iR}-^bxmGh?7mBIa0wqgMXXjJ?u6T1 zh|kj;Gyc-yH^R@uokSsJ!OvfpZwwLK>YV@bve)YQV=zWUyKoVR)eO1}(4bSh1ps>c z3_~%zHaTfDU0D0_qU&6)SX7pu9}n;j@U!j&d5a^PtR@{db`47ub?cd+2=aBj?YLK5 zk^fGVfd;Ji%Blmu(+Av-*i{aPmAMFH;_CxC`dgldvuORY298J2M|0L?MW${Z&qmVH zudQxa6cYpq3G^$~wby*d0Lf|etmAbw*yJ<_N+j-)j;wmhH7WOVM0EXmbbAmOLwf4z>QLHcfkNw=s8MB<(G zVj0%#rVB9uCaqo_GL^Zc26*`9)xwEafFG-(Y<<1Y9b=W<^0RL=6jC}Ix#>`3p%gBA z&v9N}-b#gTpit(oBj}tDFDinWQe2aeSEo+>i@^ED8=2esb~wmsEH< zTRsm}S($vphbZ=odPzx%)9=ZzR6g&^G~JK~)oY7aTAH3Ga#Y^46Wna}xP1gTz3zK| zhzN&$)oN>1YI3{=7!HjrkJ*fd4?-|Pn|`sixnAo=5KP_K1R$t?Ht0va6Vj;^3PDfg zUIMa7ncQap%1G>L&8G8?4jBuJK^;vV9?I`c<(h8$TYYEDhQr^ZYYYKS6wBq<%!H^Y zvAMFGb9vuJ>M?Ys;Svt;XEDXFusacE@DIU(d0Z&6d|);wP}^ncx-t|WuYf7ZxxB3Q zQ;QqbPdahD#ApO`b@i~x(URnq`(k?n_<(WxNw!G-RH48sQ&2k3?y$r>q zqbft)%Tmy#@)u=gq4|C>k`lpcrGX)@Zc?r1@0EIzYH6*F;NRlLUZS<4zfjdORnW9` z0eE%=G7LXe%9$@JF4B4MkG8gY%r^@QPtOeQUY_?-*fTI0Zb}pi^!VDjwA62pIo(E* z1?F>ln=S!r$N8Qf&wscb>#cdOuMxZ>m?6I(-ybcg>-X9#NYtOg1*@Iq3PQ+#(dmT1 zIHyf#B=+-i=%H8a=(jNwpc=NL=E0vAx#|LNULDs}zyV zEc*w&P{y(<5wAWQ9vI@WR3tUD%EN*ZG%6-0%@UB?OW+okL&vKg z#mc1@kuUB_|BrS9imIPMUM_O`##LxaPk0g`EF6V9IQM^8k8znu?Vq z^xNHT(@8_ekqcVlMA_~IACJj&SE;-!)m@p(P*Z{%jmG(pP>?e-qR305%n zIL_FCqEEtbcs5f=n7!}h)7=N6^SAnL1vz}rx8}x@rg$6a8|@A|Q81aHBqiZdU{$gg zB8iVHM&R5=0X{thWAKjx-e8_yDS|`W^ybr4*4EbGlxoftHCCEX6dS>4?8zxu;-jjw z9a*kXB=_tV9Fvv$0-~4O0a8kzf)^DxvU~s0Kt2e?_%|POCMWLf8^*)MPV=YPxw)k- z{ne}A>gPgSPuc)?owrKKIBFI=SvJnoWkhwFCdNlTCr=23AKw{f1O(?2~C5Y6S>o+T7iS_-To5_3`N!f0w#5J(%1*5k;~oR zn2Fpri$fqt>Hq3r<{TE^ptYnpQPy@6ABG&NY9VbIGYR&zJoVil7QUI8gdsi5W! zk=&-7!LYaE-(cZmoyIuAn?uqVzr3)@O4P!j$@{ba7>kld*N4vlQws4U+B4QpkHGFP zG`sSH&n5FCgOcqTy@{$+Q!3(z$OiIRl~fVx!?|44n%x@}=FQ8+C5BdOpB^y$kn83J z<6a7tl3I{CIy--WzGoj{=O=^s{>;ouM`S@EVO40gl1~J2TB5?)L&DkETQxK@eFUWa zs+t)pWUG-xg87h340=5|XISSJ6&V{SPR=*jwz$4x(teF+KxgNJc@bh^q>``771-*X zvfbO=e0TBt_B8SSa)g9*&EcfEz(Td4t>@_Kw<##7*5}Xn!eP!aG%8P43ax1)cm;7-HEW>%9%>}hV1 zcADN0r)>vHj098LYoZIG7uLCcgt|k4IE8z4ONVvpoK}%~=l(Qrt^XRyUix>|ul8kD z!B3R0MJ;FBF|WssXdDg7e)6#2=^I()KcV!$2ix|H6ic<~rcZ@nHa!c&$4m$LZ4U`V z267aO&@n^7@hg-N15=41zCK`-@_7p$zbUaaz=%2>SArvioWR1tZ`?OlKm-mC%^)dtcp66YLJ3t_|>Vg%McIy zoqjLQ)5_TMaH`v=UAo=qtyX~XDA(R6W>^Z*byH}nIHB3u+Rsjy;O4x}k!kUmC^(Jm zT<*8tpofb!F1SetU=71#ZBEw*twRaytF8Dfjz^~3qJ3*#gaf0}Ux2wVav*GJQ*`n2 z%sDX;=JwXt+}4jVjwn-HX@O~<06oy?EBCp+Up?H_Gz)9aDO zCcmSm^1!8a!D@@#0*Q@w8o{fT7n4M%iejJ?dFc4{HHDUfc`jZd`xwS7l+{E@KD#Y7 zK>RB7ct{)>t-Y_nhiW889EM0wKu}Nv;N3JHfi2|k`Dj*xOrgYG0KxcUF>eU!VqHO@ ztKRz5?P}lc2X335@m`2Kf{#{9yv_p~&kOvnAvtJ&dwgxRo%y2u`p!^FRrS;~rA;U+ zO_|0*zSXpm;Y!$#xLa&=USEVgMt0VurKYIq376X-9)@m$zH+KUuOR}cDcZcNX-xGPi2I2kH^4B zRbJuYqZ0)6`*$)TWX0ep;XV-d0-1Jum>do{DQaSwu^=}ZR4s+S6n{tmDxMOPB0FnF zGnutuL~ifM)Ih$!SGBPzz{kf0uu>9Wy1JYpA+S$R!p_e2mG$LVs8vEadPDI!I-nwg zNAZ_THiy3#Mmjd4yjN{a9mLzo(kdCiPGCUuN zdVhpE9LD#e*xQ=Pmuo={uj{d4F@N}wRaB$`iMUKuE*GPuRNZ|Yw#;f==5$l+qUTE4 z(4}XO=BTysjUKeR`o%Nbyf2;?t;I~WZJclUo9)-b&m}V2ZHb8svnz7D6;{qA!l7O* zE)1_Ro>Iw83q$vkksvU@rN{!7T2yMp9^1tP4VLKWFX5e+XXcNVZ=@R`ZfvmqYHKTx zkH^I{Mtyy~I}#Zii_EdUyKA(5b#wB=yWxzc@y4Zuory7v4blo7IPAaK5g0DN{+e&F+RDxa8=tdjaNVM=kggBI3xrATw>)~z2xkuF ztykN_gk6tpb{$|C4+Bb){gmTE1wsYvXjeupLWh z(e`v@J<0PsK$cDbB&*k{BEM&i{#T7xMXOygAb@yT#;i>Rn}%d^}2 zE#D`5W$zJVfAA2;Qu~WS@_8l$MA_pV6hjxshIr^Zt%(V!kr7L6k85)l7Mu9W<*we| z#tKHla}xo6HX3w_Ah%5VfR+B`Fb*F7QH)%(4^h;0Q>X)Z8jTq6H5K{NMF0KDY_`Tog=tS_4z=e&HYf8EZMKwkZUfoZ zjy%rwu6)4Th;t9a@d>+h*K6l6KbowwS+Dkog*6pUw!Jpr-L1%S81(mH(F-ErOwNpr z9rj1@DwnSw9v)&~&l4`Kb{a`ZN+Ln;pKL4YueGl0c3~2Hs=^!0qNJvt=;@j06S+$0 zqSsa_3mUcEH|Psj(9??!L_7{y@z9-sgrI%6S#pb^M53jobq#6#4aM+7?fIJ#%}hLq z_VeziL$lB=}YjDESyi3Umrh{LFX4Xid|!daxGS~SSxNKn0gZ? zX7U|oF0|>AloWN+NV+4P1poodNLR89VyEL#Oh^ISbG+<(ANV3aW?x%RWj@WXGdAxy zuZ+cHG6&I@ME%_gAq_c+hO!NL>EOm}GmJ?4Ks=IQp=@>M`4-H{zuRcL4DV>`*n$RT zJ)`>`WiLY#V56l4PALN#e#&+2PUbnVngtQ+(W!-Tdpy9R?u`oZxPPs&|NULJ>(>^l zn*goam50TV6p#{Yw^zo0Ia^9dLdfZ`u+;V*l|-#@&BKX^p5EbjQ5B66v3;k;RN(2U zw@lm1WGr3i?3~;2=;`qks<26tZ#Tu-(^sfTuP67DU3UiF-k4Xl!(sb7I5vJ>dK<-J zYnf$MmC5@tZPV!&yMXC44YWia?u+v+29-?nITsE&IsKS-s!DsK)i&Y@(Ydh%T+V3H zsy}5i3^{frz$NXikA6PMKVd8JlJKIdkeP5!Cfq17*jBKuu{~&~h+y`tj0%vcnZw25 zYgY_vYf4Iwoh(2XxEH1KiIzVxDArbp_%%!U{eu6q(*qJzR^Ba9kpYeYm3t#jdEK4I z7HiEZ$Z`V$daV|0(UXt}i`^ju5Iw}IOrijQi9}J2zR1LY*}=4uN_nq-S2YaUQ-LH7 z*^Ic@!r{73VA?MdlCsyUDOFXBW_;k?Eq&oipGsNHcWtf@Zg+PhszKy>Mn?}?ZWWmv z8AHQrtpGVAAhoUL1~)9%$$R)UdxqQYMqW)ZsuP2v68VInF|x3lZtKyg*I<;3ioMM} zMk+8ZEeEq1`FHLBj7asDJyf#}H+Nu{3rO2bi4(>N=mmK*T(14)ZaSwEy?5OG0Hyk8 zhvbtZuKk<{HgpV(q@*OhQ2o21*`J>>B@&!&k3P}O{fLkek_304!Bpt{#ufQ)kYc@L z{X|Q>MLdQgdrnzHBZN$&s5Ne;JO}T6?PoBFS~8tj2-I?zl06tY4E}AQk(ag!W#^W> zZ?vM$k>#r0=5OsJ@_|JK+;v(Y8T+BUb&=g_xnyG_#nv_)NZ)SNP-M$eq|TF+Jn8K| z3JO_#xSnIt(deWNFg{+W*8NJpdvx^eV7hpC*w4nMiE)N{pbM<`s`M8>bjD|l?DU){ zVM-R}lOp5%Ji|@Y)%t@Z+lO&DIOt}*C&S@DiVLl>CiTQdt>_u_xO-c)O^28)K z6s(U;Y0*^O-(fN!U^UHP;Cf+n^VDa|#4nQH_HYccmY6VZ20k#7ZW>s4{A+xnC)uqbt#_QiUId% z4nI4cJPk~wcNVhqoovH5wfW~-z+s{U32YkAOH9GY2Uv> zR2v~w*VV=1Spq-?3YX0?)oLWIy2?s+o@aZSkAi~T#HD#IF)b}R`9*_ zn1Nr~XO&Y&R%#Cg9UTcM!ghqSo|dd{NzuEa$$hR5n=DpbkA{=Re@{W>bU|N}hnkYr zTuTW^IwgEGbLfjt7Hc1e=@x=PbAy8(%cMnxU@h?Vh3ecK*ep7xqDH^4?Y4VH)g2Mx zFFaspvfLb|1k?uxG5ju!TYfz>Ncp37SnWz#?NS$P%fC(!Ql!rmvUH$cm9L zaC7>)8BM;Gz_7MZz6RCsT?rN(9i`*q5)Rqfe5p?Ro0_^h(F5p5Qdbq(@uDP_Kp=u* zF&L|qBOEMeiqfz?#ISaLh3;Xtp%DtL7l`;AjwW1s)TmxxX7}w(1;}4&5BVqw(iNk7 za|D9jQ0SY!Ui)XFB32q>JOx6qb7p;eRd5`#A0LRpcy61^3FL45wxPgwrJ<}qtMTK^@#Mo(j;3zjrVW4wKii`I>FiPf#`=FCm z*3@v0dkg7Qc*{}Kp~OhdsMnulPj}_i84xCvsFI?o(`8~})^C{3QB67)m0B-umyl^^ z?fXQ?j!rAXNKzAn=8)+aQP>}SNIxs$ZWX!H{qgOY!3I#120A-*4CBRlxWxQpb`9u6 z+Phi?(r);FR)s-iZ;v8^p!U^O$UQU+B6?8xkt)4!NbMhXy5eUdJD8bM4b8bJpqP5L zEA%2z6c{FF*&T53^AM&Bd!}>3Ath^pjn5Efei2TfH`rMIXN8kr#d({rHK)`EcBhV< zC`P0BagR(L-6GY&f0iM@sI7y5pC~N7WB5H5kFQSYb0Cu0TF9sKva6 zEy;c4QvZ8PwEYZvypXlot&fZwRBZi##vA^0M={~krCWn;=)yGzL48-Ks4qNoRGtZIZNf^L^8&9zOzu$o-V{WUn&w9Jr(8_J zShzQTmMpalPt(l;Ga%s*Z~h5z!Ym_YUXtGQ%uqT@F-c*B{C|#aEqv7od zJ^swco4Nq|_uwD`J((faC9w5^z#r!p>`+?s4ve3Tryq1;!s-6x4 z-M1f#ktOPO)0qXlgj1o#mXk`^rE*YW*7 Dtd0iD literal 18536 zcmeHvbyU>P`!C`mrGkVgZO|p%p$JHKmq<5KOD>^^AYl;FDAKTWE{%XvOZU}n>+gHcJ?EbL$GzvC`|})u9cG?+=9wp7Ged}qlFSV}ay$$Sj2p7go~mJBT+PG4 zxT1xF3AD_@gQb9PS6tL&o?t+`DOZ3GxK7V>T`(~4i7)^!@s-vhN zWa?EdcD zdS6FTg;vth*_@V_ornF=eK9;*T3QijGYcWLr_%op2R@13w{mrL65`+hgTd@zZgxj! zOAby!K|zj3TpV0nY(Nh-7f%OQ6Av~Amj}Oz{Gs#I+{M({+R4@0(Si1YuE`5WH&@a7 z_b(>8{P&wrS8EIOOb#ypE(=&7$Hi|rIN2X@T+#-Hid?h`shPVt+PPhj*L1LU72^{5 zr}KX{qi6d)O48BZ$=Te+1sEpA`|psy+y1k^va_{0u)d3F#5n)$|99Je_E)iTbaez| z?QCr->)>kc3{3X#=syhp&wKn!ON8S>Tz`r0_ul-|3T%!To(RX~MvLLS>4QGRz>vU@ zefn6#;|dai?W3VN*7l3rI;w{Vhi#WVkVnc+!ZrIVZTpKWx5!APjND1ru}Db`qcv}} zyt&a*{I*i(!&3=RIu2&vw}VOCZ=EObS~ISPpFZ=&$!<7`?Z|EH#RqHF06IJF=@o&2d5;iHjdq&nO5pR%E`hm!2uWN}djx7c{i}0N?cO|q1y*Bf@>CpS6%W`Zm-)=MkE@;`B;OLcdlmMQrf7t!y zpvzGUPJhTy@R{FH*5}lvVnQv$=ScqKKs#u76SkRVnVdaUyioXZj=bEa%yMlgo1^}8 zznOq$r1s?8$m=Jpv4BCbk1aHkN<_eWb%33JV!HO-f0>eDy048MW&l;7iFs*SVu9zx zP@o^X_gxpvc6xTAY6vUe?464%s2eMM(bC1H&$rn#GwN6ulBd!rb~^C$(|PDSeL=r7 z2L1By-&@Cm+HTU+RZE9MuVQy6@#RC_-G;*x*}zw=Pg)Xv#9szr2q*f8vHPW2tgd@E1BOuG34sV*W^Nu(k+_bSQ$ zTpZFBd@3Qn8iP5^f?mr#6`Qr0;R8`o3peM zW^Ucu?`ZZuh@j&6QNqG{|H+J9fxbhpmy@%anw5@pg1FCa@33P%GJDi=xbu8#h9Xk3^tm0!!^ri%7WSfg zW-fZ1{c9!^m&6;CF-Na83MMMcH|@pqALxF0IbQA}e(tjnh}8ubHzsY@%*)E;E6+1R zW*1O~)aqBb*BsjzGA}VD@|iS6ra**d7h*f2SeBT~{$|(@XPyD4`wLC`+8`UeHS*4{ z^@^#nnF3}8YH_h&lis2-=?hOP4JyRXYhvDu24xZvGl*_KYsyU7zauiD{^Q!e{^ml= zXM~KeVUix;T-ot*luX2_X(Ohu)`TTT-C+m+ltPNYuW}bSqR^*`++pRh7`{ZhCsmogONW=&HNsf3p@#0c=gKRo+h z>BGO|JN>2B+wFrvKE2{DZs+8J-GhI;rwS(M@OvfEqmb*3KQZgH1M#K4y@+P^x-F;9 z6Zprw()vr>!y|E4a=N!4mT|fDAi}Lr7>^56o?G9(oR8K63n%au*kSqZrJ(#%0MCd5 z$(Nwrbt8)eA!Ut=Bpgla^q~adI)hF;+Tr3DT?w3?PNML7bIHau1K^g$8~a!t0|EEOEjE zQPL4^x(nOXT>mYnfF@GLP+A>PckqTmB`Sj#l*l?Q_snT~>#p$cbzzawQhl9%Q+i2{ zR;3e=;k(h-y@qH`0`Un!WE1aiqh%Nxhw<2nGvQG+dQmD)fDi*I`Y_QF<0NMM3X>!; zSa{~Vef<5qdUp1nTx>+h+pW>*4fV{S6am!|jcmPixge5N-_eii>iiGsH)Q2W99`BKp!r*%U^~kv|m^%2R~Q zV+S}4k3)|49J92#)Ei5_IF+|6i%SIsJ1+M$s%M2a|NJ?)*kx-7oq*eqd&Sy%&+a25 z?I$W9)c(4bE??i1rMk(i$)(wGyzL<;m$J~|C*q-k(sesKn%bN)7UL_i8R{SiITjT3E!}0J+-q-5*ga9Z?(~)0noW|x*>ocl3`}r17x`^q zAi-wf$G2=r_5y>WW(16kO0W;=;|ZU}a+>h{<99K}-bM_k8^ z^PFz@mLz;qpu<^jKR#p>Y$?W6^cfHV+r3T8=oVRAvi@JG3GblNlbp6VbM?#`X1OE3{Do(Ow(Z6yjF%_6RLh;wY&txIqRN=Nw@oS3v0e7 zrAGQ4EGV_NZTo%8eV_+-opU)9s)yp@uUhpXK}PY&qmcsca<1FGFlGJ>a9 zkD4j?SVf$_X*_!tj7Q2d`Nq6GD?33uKZl5V@`2ECh+;yM0d!NZOwZ$Rt9EVp+-v?> z*IS%?CvimTV(9Aw5vz7NsZyMYE2k?lnP9Bk#DC1JQI9^OGUa1)091UI1)5F!>n)%I} zlmapFUiqXo7B&n}wR93wc7vM3J>)n)1HFx7Tu)CdIe(ofpVqiu*3iciBEixu5mr8} zQF1@ys_ioS@zPw`(PBKEvfeC(xUqCOULb*+SYW0i`Wjw*@17i)L%l~)A0LV5d7AVM zsu9PQ(9Qi#ZPX|>fxAKR)0fEb!5l-?s%`C)eI|z?EWfd`?GetwDzBDM^PwzK7UNR0 zkf8)O8{C>gx3w}B?HqD+Yz#=m!Yn7s6K0zzvIs|1N&fsPPAO2xA*dS*Ge<(VDWL#+ z9lj^K8r83wx^iLT9tW*tC|(&9j@k+Fw3O$SougQ3Z48h3dIEGsCu)XM5qsiS%$(V zL&Q1UUURK2G7*gOPkBAjd7T*pVIl3|Gr4wbnENO~C@wxHG5AfoJb2fw_w$QJsioxH z15}w&u4W$%0oC!sj}Nis_6fro;kUiqPzHT*KZ)Yr(x9eZ<}xG)*BTS#N>*Gn<07v#%&+o2sp|(GfG5cnKn zWoLM-*zPL)HqsqQUTG8k(KfTpZUv#8zelYo+pFm+w=<|Nd$av*52+(wAkApyuiBFC zP&Q3D`JBn%k@2aGsq?e$B=9ga|0KiOeo%d;QOJ!1#8Rq>xuGxYZl|cAVe~y<-Ylhx zP{_tl?PD>SYs@`f+tut7J*!cVt<}Nip}e~)`C~EPpQa0C@hYFR2lkX#N4XHzFmb9@ zcbjo)oZ=A(#ZN;`edl>HQ}U&7Jb&lDwU&+*>d#zxY*XOd%7`j2lW z{J{+DZATeuF@maj2&!m>(9`}n3TqDzT4~~1U|<#W(x7V( zLRp-j(aA)V3UXsl&mmw8o0FpDkFT0v--IpSS1vK{yQ+nF{3Kj?9HyOHev4h(*!Tc7 zSZ1ra)TIt>I@}ex;d`x(S2Op_aZ1cwo$+}-B{j5JG~8A)wr#~WEc(W}VY0QpN$u?O z&88}Bg4J!9OMA5XOx?GKn}%{!z13wXTq>co+T7|ghkW6-@WSFxqI)dc*_71ye1FoA z06P#@%%hTQ71tI#OKvPbp$f6uC&p7FbqE+uPKI)i%JFNwbMy53VW&IFbVMGjc|z_A z2g{-`J6#0wac;hz(4Gi#*I+PA{Y=uMl8dfxq(b@NoeKS{B`5eBv3PdA`%eOjznUn2 z;VVxR$sWK$u;eRNIam9>_I;dS_*js7Ed9qgtj==0`l|C7%MC5EQl_@%$#e=CgKF1o zhyDzRjkPXC@(iPv7SUVew6zSyYN1w;pQw*c2yuHxk9tvYaj@ID>88!U$?-)7W=4t= z8vPumUme>dTQP1VyXUIGkJB&eUzXwhNbqQpoY$4=vxD;f`eIi*NJS2s!(_hS-X5K~ zIi8vOJ?cfSKId}DvMV+x9iFn?*g(J1&<(acBP!yZ98x38So|+()Y;m~vEL0V&3+n| z*)WxgCVt$W>`rRGt~CbTIP=y?!ITl0^9sn#j4uuILln z`p%8qjay#`wQz~>(n@mEVEoHQH%$5Lt4)!5un4hZoqOxtL!xi?tl=(WrAKY6gJZdj zTAa&2#>z+uy(==Bk->q7GW>QuOK?Te6FWDEbVp1DOVMGZcxlBGtL1m$3S`(Cyo zoqu^R&gPfylRkw#ylt-T1!o{Le}CIG&*4qsJF9ZPv#wPF?Xj_JmMr zPFHsrqs-r4<|$dLIg*kNom=1>N&@8%O-|`QIM_dR2H^lu>pT_}b-jr$e`tWFGnI5j zbkUJ|8z_HPSH8HQX`K-QQ1Ioh zP7?r?qF+`Y{Uvb;75vv&ZkshiOLEV)yjtinTl5({T-t14gpsHwOsD+OXrTiYdWNu)Y~NUp#TY519JdXF)#m>NKP zze#SrLKkb(0>IpDih@_so}LzG(ehFj5)Cf_xke;7UkkhBSAwt;e)+-Qe-0GdkGO{i zcHZAW0O-pimwEeemTJB0N^#J*K>qJ4R)q&MJ3D)A2r2G!X!h!wYH#l;Sc_*hG5sM9 z$sDamPwHX5 zB&W3l9>^$7zHAG#?$@Fc^=cPW9fsaW@vF0acYAQRSij=n04ZGOG-I!O;)L2u=}Llm z?kvKJH@D*;+Mj_G>FQ1qf5604e`t%(o=rtb%E2Bm1lT?OWmjm09E^(>&@KOf z?uhA{toPG)bseLb68Wv=TNMrc%5Y7P2*d|&(mXc4RJ~ChYgTV?>sMx94wHATfi-cWa4YeZaisX+ z^EL=7o<$SxQe9-aGyp|C(HSvi(^vY;Yg=o0)^L^EdoMl@IX@rej-(gc>6`$7>d0_k zF(EHIcrwlDuQqD>EAb5i!*=4i#6TL#^Nm^vjat>H#-? zyW3OdLU*KCnQ_6S@zS1B(pbGEoIb~(9y0JC@;irq`C1eHn%S!UN}q|#(&WT9S<>ip z)TSjEd3-if7hO zQmc&F;dFV+^WAML<$#Q``qDPJWhecXTT(~9WmrN$I`>d=_;)o{qYAd3ZC(=9oh1|OJ%2u^Eoy&jg=~jxwC;I5-X2}c%-DIY$ z!61jZ)-K~R8^>g&TJYw}&0{?_s6iY7VvF7cW`-xgjx!YPRQ>q-M3u1zJX1_aiNn;C zVl*gUzfChoW3P?IjpG-GYdsEvxC`byg!J0$7V{Bc6McvMJLE~xrYgUI{c6>!mKv*k znJIqwQ)~sF%%yZ!mbLHKa6m5|yb&b6dR5H7Qc)%a z2KzJXb7JG1?{V>3)#vFHg?@ZRKska;5?U{z|FZ|74UznVTfWu63xYC;@GZ#uK z4_H!s2r(I-1Er{q##p$p%Q=K7gL?TZ8ciNX>lxXau#F|2om%zo@DiyI!D zopcQ3ZQS(P8|-QeU(?D^@Nl;*;(moJNEY%v=27=5a!Aein4|ds@c21U!=3V&b?eX@ zpwJKg@+(iPd39RXI2dZ=Yw7A5MQHfW4nb6Q2C*n5!_8;h`*5l4fQ&hqq~|nkw+q z(YYO+jz4WBNSR&ouQU-z_twXQ)X)hh5vv5DK_Elym%pHUAP3z?K4C(qcDO(bd*i2$ z9(0!I1EAw4?q5hQxlaWFEwR%-&8^TJ)B!1|FH9x$GV=n$6i%T0);t%_B?nigfMl)C zkP&?_!Pp42(0MrDCBNjr-w{aprr4vZ-#N5=e+`Zm)POz99fc3dgN4Nm)`IjT;Z#CjhF_Dyv`Z6v`bvgN zeyOwtQ`hglgm+N#c`0s0H&+=U_g23L5!1+f*tPXixj(q^mAs}088q4DwYIR|JT5Bw zbB1L7$z=lm0jp1xz1QDSUvUV_oUihPGvUrmzT4c3vJ)(ADY18S z%^GZkJ;|sQtnWNB820f|e9JPwMo|~RPLc0k&A$P4eS2#v?9ij74KL8eA+8p!%cHnX zCI;?YK?Y(A2TVx%a&OPZ4o@5KK@oy|ZriA|E*-(vJWim^n3(CFg|0iMFAOe!rp-R6 ztp8GQPC2-+B#+_y|(utuZ}IZEYCO_lChm=yow34 z53Z=)85gcVZSoD~=@&Ufwu+V#P1M-zBodf$TpE_dP0a2afhHLXvQX6o4#5q-l7ocE7Sa8^u>xW~`p&UOYh^(N zwROvT72frtS$nAld{21MW}t8}foXedSiMgTfAB_FOg(L-Z8KE)9BI9ofoJP|{tIQ^ z+|jD_ma5O;Z8A`Csh@uqI(*mIY;m2!soTFv2p@Tg%_Z@2i+=ixtq!&ax{%5CGLXk#N>U)J4#ID+}?5E&uSV&o4#1l8=Iv2jv~b$1vq z_pa>S_Ujv9_xc}ixuL5a>LnVNr6?u)bWh@QUrec?5CXR#3${+^cT4i(Jzs) zE>#AC13#8-eCPwoA>u5)8~P zZ1(l;Ul_+5Kt7!SA^A;_R`;VvG3YWtIt^~UFu8y zp{2o`2Q6Iz{?$OxW))3rBxiw42*t@E= z^PclqMhLdVY8p?`&zdkv#l2`@nscXr?DKxB25&|%XF_py;>j$rmLGK&>dL@{l>}<| zM^Dox-Kh?e=*6GlabLGAu_UOS4TU5LZ;n)KcBJ^735eAlND{Cm3UIRW@EoAB)${ch z@JOAZo9-~6)~i`lI6k&D?1gNN6lIrKPW7a}JAf*T>!lCP#NqAiZVxRb3s+e8E7N$h zD$8J{I_sLYW=wG^;#U_?^bdZP?-%~M#XtCYem6F*Zpy6Fvh_M|Mhd5J*iql-$nX{W z&L^gLhXnQO_}^2q(`v4JU71wIIcEC$>WG+ex<2Sgm`UcIzeEr2!(<^|-^m(w9|o4Tf*njo{4K`7P1j&nvCV$|2hQEQsfwe5Q-!C7qwkSAu-Nf&P=qZ`&b> z+~HOI$F?@n1wvlpCY79@^25lz{lKP1+!@e<_9~A46WkB2B6bQr=Tz}0oT?=Pc+2*D z7k4K81zmTuv~)hf4U&8}_Q%T}tuq-^dhMBm5t?5t;THUpS+9fDWn}|TrcXBEwa9W& zYy#%y$Jrz>0!o4N{qggixZC&ospXt2%?_QY)N?Doi?qa5EgzKTxn zg)H}g>yM=EyY=g85cw4jQx%SjU!}$le^pFrWEBw{9n{+%tYw}Zc<=U#^Cc;ft2qA< zEvUT>9Ga$|A8QYPcu)%?SsxGej>1l~{%|O0YcisqMK{wC*88zmD~ePnw~ksk+}g6U zqE*CxL9gaT*w7kHQTc-~YxBW3EB&aA*xu#(iN-c+$rB-BeOu zRtNMvfOCnwr0w%s{y+pGGhs%b8nk{u%=5)-@Y*?#QWntFHL9WRDjc zoAni0#`PK=l`j_F>6P}V+EzFq-Of?bz|oy+Zh@okUUZJ(P`SNpj9Cb{?ns(#TqZn) z4~cNB_dRT-K<+>U9g~|z$)j0plMWkt)8M|RMS*T^yi5DT4&(bR%HoRWD-7xGu8vbi z_?`TsJDnlwne0}RHP*RBnH#J8`|LWgfz&G+O zb05rM;kWO@JWAlUc>VhI#E9k>gI}H+C4mVZrcj0MY=$LAxhJM$(#pttgw_RH8?VDQhr ztu^MI3tB?OO7kTKxts(#Cc6B&8MEsFt(AEV+I~0KxE6(MZ|IAwr;99EJQ&8DsY!Wn z%fc+A0K|P0;%=u~Ka8G_edZjFyql)+TvSr>$r!J-^B`U9L@x{+^sLs!TDtDorYtK< zs-WJX-e)}tEM0%HG0Pij+eb|S92Rj}t2K}4oTQ`na*uXM!4NKIvjTi}71=qxG6%^1 z=+tn*=78R?jkyR33(E%OV10eZf*Pekt{iF0cY+&~S+P00KgJznS=1Mow2f3Xa$G95p4A86tcBIzF6ex9^_mp|yeqtE7tgiO983fCLzcDU4!v==F4Jk;0H2?M zV_nMTt*(JLA(K5{h%*$ukF~HOEml(eZm#z&gnxJ-FZFcU{H2#dN#L{mn$_&E4fj?9 z^G>RvzJfQLeRr_hLpfM&_ty5NP z|8%WRkIARq<}vS{Wz?9lV@r=%2Wh&fV<(~MWZ@W6&R@qb3XOP+mdh z%)1Y@t;QiE3x;rE$Eka$0^3rjlSOUgE~9FVqU~N{2FS?{JfYgH*mkx zmnI;iYUytb(0Z&Vk$QM=5DmW?8*7d@sR~3F{~lX+CYXZS6wJ?)AHTQz@5-Q zNaR!;JgIwn|9SYgY|=UAnx6CHlJKM6&&DL%EDyeaULDd7Vsh!DD}9*P=4y-3rWhN9 zGavo*D)6avlffaa%;S{`;`MrHG4;$ZHxP3}Jg;V6KdcJDEZd&pQyd-k#X8PJvnTep z*4DR%VaRd;>HTTfu&*aju%N$=>JjK5(4+yHO0(UIQ()pI20Jl3yu$X5x`T}E~ z?fEp^K|DJAQZeaey{eJlBKGLHM9#Q92a$KA$?&H;^nM3TlD4MH^pkyci$X>0_Q9qG$V|B?uc@G=ATul5oXP( zooT_sfWaRbhA=LyM!DB2F;WHCJhNfJO)pM@l#+NoAEX)eI3#f`W<^Kl4%&NmLPv zvl>n8)Sc82441R3j8}s<2dRXU{bHVE_7j*t@Hw7~UaF~I<$MbFsujXjel-?q`Mh5 zTmo(1)^*@d_h9KaRx1lTVnaTpM`lLK(1Lg#yvrM3^=&)B4cJ;rgxsFqAcS1Y@C1d1 zNUTX)%lkNXZq?jN8ggv;&_+ZYy_8BidpwwXUhP%JPH(G~8IfE~^|V4Y%~dv0R7}`! zcyixIQ%I z4mtfbpRK;H>gODk-Yz-%2%q^m_z6J@PKyNZEA8aEkw0@h_G+)?Kc(cqiI>g z)Ujd=j?YI)1~P*cad7r)7 z-fa`(HGU^8QSWk+zXv(#dhHn2OTm3^iE!83WUy24V@ZwW+~2Xt)fes;9%lD7%`H>q z04B&pnVO37Sxmh}K>{J2yB1ABL$!~oOPMXTH$24~`)Pz7+xlrHl?B4PE3O9=hA9FS zTSFrz6>xy-KI!?%vRlMscezW{%L-SE2^+2y_tiD%F@l69?gUzYR!(Nr6He~1GAIvz z-NSBJFqGzdGMK<`*_L7V-0&Lz%VSq_3Wn4D@lQjjS4*d7-fdd>>yoaH#qg!hs!H>Y z>Oo{nLqD4#c+@;nA^sZ$?{dTqy=Oy2Zo{f+Zw`>TDu=z`N!1r`3^zH(hYiP*DY?Eq z?uw^@$EQzB-4pfJ%FY^8N=tacs#mK=)lcgE09tpvkgYy=Hunl!CWaj~LjYI-Y(HEz zO$khQSZ^KYz8+T_RvHt)YmKKvpm$KA=DZ}ta!vF0ciP---_{8W1sYp7_t-LdTw2kv zQHX{55=H-L^{CTb7C9p;sfWqTqr6`~Yx+^55Y=B^-}Gq{nik2=wN!^%tvG5WOMfNZ zU@e4xQlB#ywS4h_5YurR@`QFo(DK%WQ@h8P`Goc@mMd8yQ>4h(htm}nou4`V>Ja^{ zMMc#?fxxYm*ihdxp_A|4n=4;A5!h@{w>25ZY9<~H&noLRx8&0+oA)A)+QePqh%a-k z*@f;3VPiC>o!pVNCmZiOI4UChh#X(2^);}9Y1D@tPb|7f*w-WzHkbQlezq$sCr>!; zT48Cwv>#)XdQ4}-9lT$rE&n4)zv8Su)>uhaad6K*)IZwT1gxxD7ijHfXQL4%O(yOz zA%2G1d~DkP;-}UPiaG(G%35{@?VHd7>k%V@+5tTT%7|`EH1YW*H zN!uVzH-{pvpy*6tMD?alA}QichB3wxVp4-83^LlD!Dw%;B9dan29svzUzA-Fvqj{; zA&HtY@5ESROj*d;D~i_rRq7U$c=g1fJV zqO^@MF4{QAd$9h*-eXd^ClHGIoLKiIX!3Zdi!MH6_<-lDx4?4d0ofV~RGXeER$AyF z01)trnKCOo3U;F~g?XZ=_JRry`1_Q*!61Xi+^v3BxX`Q}-~Ty--Y)#O-zd`^e!h4fQ3~^`C$J(p{1Vw+`O$KcEq#q##zW&@0RH zyjeZ?s;TGMS_Yvm0bJuNLAgqC0J};_Nocfh?z6GUZVm3G*yC6^u*th@4cQL(ByWkW zG0PAOaP3prv-oxbm`VV6hf#kzZ^;%wqpXmpn;r^22`Wa0zUx5wSR3K(NP$s?pZ55m-*0yvrVtyl^+e#egDOftb<_@3We#+C=0yc~>C`XWoUOaQ9KSWUnmtEii8?DM$5J{mIk)`j=&N{(( zxS=k7nMNL~zH$|Nd4K;7*8xQ+1{dN%lh{7Tc!3TyF}X_c!*=psr()NvhKy~6<-&+D z7E6_9R+9AS@XqM7N>Uz6QAU{a-~jZEE#+)5z#g{ zbty|=P5e2$a6uYLK=3fkm{e z0&9-=AiP^{=2Ii=cY`Ui2>{a1BFZAw_@rviKCzETI`(|RSAEn}FQ(UI%b@Ju(y{=l z>myPw zE+k9@RI*W!V;Aodnrw&!njqiPtr8f(e|x00D7f)X-$4foK^rZwO1}i%cPgK<4<#8eQ)La(Zm~>fD40(J5LJGhH`o# zWf_C`%QFx{93{ZOrW47${zC3$0OVeLwvXqMxP;Ti)3T+S9+u11ToDJFPHx3!ppOXx z)d4H~Z&BgB#uoM1_P8^UkdPo4yJBN_Cl~IxgN@PI(wBgb_(z*MQgAzc*L_ zc*i$VCb>aZ&-YKxWGOcPE^{t8?ADXnA7-A(2wTN9nI< zeg{~7L#2xrnq>@tWo*I}b@Wm}(!f$5Z6u=agwgr~BD(T@X#g$S0N}{^=Ko!mOr%^K zw4XfbO?+GO@9FC{$bfy$Ih@^d?!{9akeo!ly3{RD8w?RZesAf|Wj35|8QbF4xSyrp z5E@{9lLQU>^iZIsaUVFFWydsA`h7w0A|e63fCPw_X7}2kI3kgX1LXJbFyhqFIXNiy zBDd+nt_9u-{7a1y6Oi?NiA55$&>HE04Kdhx?D&^{NC3Kx^Ii}Oy^&79?KOj5xVyVUumlJif(Hri5+u00ySwW&-;td8*P4sD znTugHtDB;#y1bsfpIsfHtSE&9j}H$928JXfEv^a%2AKi;3c*4EA*|Z6J-`jzNmWV| z?8h+S9`HxJskV%nf&v&F@C*y~2K)^evf|H8q*G{Fh}ebxu3 z{S!aT5)A6^IA*~8^+y``1ycWghs*~5lVdi-Kd~V*vLXL{7J5wrW)`M*%>U*M zB;|h%hFux0akgE8rhs^cT49*rMJIfEhrcnF;$H6PkKCXNG8n0G3l}2OP1#$ z(9nEGgm!C8C(lPF6GsN(sz{OF_szi13IZuKM=Hu{t4PZm`e6zNoQ zgMn6S9$aj2GHCUV$biS9n=ICl^9{HBE1d>ZED>L=^&IP>4kQEwAtB-U_RvD5NpG%1 zROGq&Naj+d3Cy_4Nnh4b|%gV{lowDWCDHVd^#C@3%~zY}SqAdVL5lq_UZQc`luX_PW8X0;}DosE&TyF{vR z;o^m>$m2gS)Ql~AW3XRj`91S^oKrSh%>2*`bp6#M19Tf{0^H@vir+vAW3HN&)xqW7 zgqT8TXec>M?ZV;Q&p1LJ)`T$3g=6=qSVoo<8gTS%CC2jqwfQI)gw@OsEVaN!pNs9G zr-z#?0WZxWRjPyr&npn6=hgldnPWPed931~!Oojf40Rr9^idj!Mo`fc@Q%{=Kbbz% z+us~70Z-V#Baju3({@r80WKY=YbBZ-4-bRstCc6 z6W5}Ce&bs<~Y2gAmO1_&QmxJjqFO7OFo*KS1fdM%Z zQP}QDp|2ClBm&a5UV392+N6v&I={6(jsl~O5!cz_0xK6!XjCd1S0!H-OIjcIjrdPn zTie<0A@#%a^w$N&InBSak_EdX@~!aj_1*-`ICc(7qw`*s&x30zx|$FlrvH4&hXz*b z>RifBWb65AxHF9X>9TYtJ>CQ!vq9Xeqe7nfJSh}yZI$-9IXuBuHuGW3;+y-c0~i$I z$y4mI-$0)rj`q7(ncLZ&Y;IDr8USUmNNkxT5B~QLZAKISqSxdak%9TF zAZG*L2FC5sW zi2NkCF*Nj_4YQgq711H=K0;R-wZG_8@>t|Ln=CT!jx5uyb9Hb?$n5{U@GJo?ON0&p6m&B^iCnqc8L_k33 zLgGYrQx7(-ci4E77P!GI|I_Q{xSLyoIadv-jpI(2)DAxGJa~Zl8I|<00oT77Yy#Ms z8Wlw6 z+Ek$gE$UHludDW`354lDN_V2qB3mUBcC5C?`6gOox9AU_hwGv*+?vS|d7{wdNK|x= zss)N=-*ZF59v>f9Yokm*+6%QmklHteB58CrsDP6=o zZh&!RDlLWI4=5q1gos}APDe1VpaP{V@_X=<30W01L)>;zcBsmTN*wI}ZJ|U|{-kfr z5_DTUuYO^kdbI*uN5!zLoV8L0ch2v_Q$l&nM05}cj_W<9vR|Cfcdj|1tLys z+`-=}IJrf8(uCuub^(*fA7b*^F@RR`lo7rWFRRW)=rH}J2GORS5L$w5+X^f%C(~sF z-sr1?6^0!U;#a&r_w1RyIf#egvLj2I>)N1qRMd!mk5{r(RI23A!(X7BGr=CqE8yYN z%gdQFPvkBFii4)Hnqp&PReinDEtJutwDqaeJ`qCZ+TfY#ILvgXk2shUbAT|LiB>ZS zY>YkvYn|13ov=pwAh1h}%0V>V4Af|pXxfK$n&fpy;dDVoP1S2B`Ez_+zifBFhFc^7 zhH)HJDOxyes4z^$s%*-e#WM%#U$){9aXS_E;IYQ2zh)IG(_KPT!KFqF@1?(K!)9>9 z?Sg}gqxet%vOyg~tIPl+GX^$lC^ov~22$d=4YTl_XIL?Um*2T>7Y)y}5fwT_qp2F= z$Lwj8C#t>~tbIc0fOmpi1W~!c*6&9)s@RRm+JJyE7s0y$TgYXGFzfphY6+p!(^F;q zPn&A=-9<5+Zy1L$d~Y^F!}sg8;I|^>wb53M>EG&v8;%JsqHh+YIDmo-T3hEw5VBUa z?2;70UvqMf0yCzNm--5Elis~tdRrSj;dyDE`r)cfmSVRQ2e|5(G`M1y84~kMULkS48EYhAW9>obgx2L^nK7IrmpIhd%CM53&Sf0fB z!cX*SKpGr3K1EHKpWgkfW84%VBw^!A$7D5COoX~j7XE2AWH0oK)h{1zedf7XqvZ6L zK_dfT0cNOfwZBCbdCoRA zBNB5@8}w}yDg1`+ql@syr?y~rGwRnhB*-6pGV^#CDz5RA9f_<1P3J@7(C>mE=#Sw7 zv2HA+edH;!;xU4db!?@U?E=VF$lr7`o1y>O<=x;`kHbb`VBP$cA;WQl_>3Tipyx5y zaL}&hA}h07DP|Q;78@Y7ppvxM=#cEjSe_Y`g>Z?ffDwrT(Z~q8+90&Y{;$z{MwtzH z40D!2R`SQV1Qve*@JO8&q3#UQKc?`m5D@kx)SE%}pRoTA0D#|d?twm3UFeo)mkv59~H--8*$Fm&){ zdF-IU(edA`?j`+GbbR>o95UmSs|%T^))z79|Jc#O zND||pcwlgptl*LJ;%|nJ|CGQVs#?iESMx!Bj{Hy4#sB{_%gpE|HuhT5@NJc8^YSB_{GgGOuBP(Y9)0n~qu&;=0cha9{HkkbMYAW3;aVPJJKdAA` z+#IDkmv!ntG5w*?{lO$`AcRd5|Lr>9Awtgo7$#yMz2(mffvx}px&q3-pZe84{^vqZ z1(L6yo7w$0Ih?S6E+=?)mCXMQBvA>gq}Mmk5&XB)AW(sE09I)I=}(T*qz(WWqh_+Z z{x6=42LlEN1{huXe~=%#^oLi3_Wz=oipwp~8IAr*=fo6JQvYB>VTduH6ftjP`v)8U z9rXV*e*ZzUjdJazgg3GK)1cb$QOW7&VfOdabl3V&KjSQO)(B+Q#P6*H>y z)a)Rnh2D{9d!#5(-Dl-$3pH7bIv*rmHg@(+6h@@?l>nalt3Ulo4Gj&mQEQZ|eSI-& z0%g$s`1lAY&tN|_deQ1c&VLhxJIwmKft;hk#2I}+E{7Qo_5W>h6`;)|O*;wy)8gPn z6aca|p^5GS)~kO3cepIjH*^@=e=s?)KH-8_D$5T9`955Cr*kO{4o#R1XG{zZiVl?h z{4dZ2N54%LzRayvNQY3$T)eOOSWL(R&!FeYI4?%aV?G=K0o}jc;AGSjoyldJf$5^4 zz__^N<$TN!ZdAOu$eJ&cXtdNAc5W6FD9B-LkxHX9*5IT>R}-ya4FH+9dW~kiu^X%( zE0Sl7c|%ZdZ_i8sRHCkM-5vlrRji@r!VY={U@ov8PXK0LVVGnrArEM>@M32Khh9_O zcXDvBR5qCoKyu~$iGQPP0GfZ4CiPT7KmwVXE|ty+--S=)4}>pSppdQf^qh6UyodyW zLPA3?_c_?KeyrH72)eDd?_V9zq@}t4$p*c|vu4qoJz%?w`yG*CX zaxw(~-~a%G!#ItSMzcHa2!cVtVRCkHNe;Gte!MdY zG2ZG=PNY#nMnUO4p~{c!i{^~|Ox}pQqL>R6)%15?#xuZ)GlN(r0US_g&BHy8*UXqTk?1NxE87Q=?ZMWb~aF;~fhN9=k>S=hYmsu;9X@m9{owr7vp#QXb(1;5JN{ z52tHLZ_tQ|sgb&enRLtb*<_RK;SdlQHHyFIn>OZ2)yYb=`5*{7nc1y%V%b<%$$pWI z5DlRtrD4BpQWbQQSp^Up&`ot!Re`^;Wp5sCNN|1+f8~=)q6Hrb0HAtTmDg53Rz7r?W#1o+MgmPQSk8eWWHz}(@v05-PxBWb;Rc-s{?2c;!M?t}p|W&~ z{=U9?V~HET7rp1r-$&MS8Sx(1hppuXLB$$YKZ?tl4FmylXLgt=tgI}rm~~L;3zTA( zpk9OHmi1iK)$SN%W|dljqR;K=S_-4C?NZ}Zp-LVhk0T-;>*i9ETNDoS58b+Bz|OhY z8VJ)1m!ma=o!}O>nyn!F$li*8MOW>1vb;A@fWx3&VbUAR1<)7()C35k(?Ekl{k~CP za0%~;-ag;$WSvd`e34WdyJfI15wG(uz!w1kEJ6h!83ww#AX`xxb!#(#5j9(Baxhsm zQDZfOL8CaB&V{n?+7pdee7^C{SCj1TCE|%XTXnM4-JwmBQO@%GcB08_2(r>pZV$D8 zb4b^Fx-_rB=|#}}biBdopv=%U0wS_v#>**Yo zlcSPMr|?aGHJQ&w$E}h8^YW}SB#1<)^_k6EUKL^4q%a#0cdCEuuKW1pbGF`H zq*jnE64Fp-(B^A7@x8@)?-Q---MJ~@`Pmr@2Zztgvj8g#9in7(nf+R47z%wxXHHp5 zOG{3W3VIW+{alqPKpKFncpc8N6wU%%*cw4twrxnjdkw*U`+sLgJcjaH5Fe$ zPW;MU`BS?Rbl&&o-O%w$n=CsP`Z={2H|2!3eu zCECZhQyy8T{Xk?`ACjBA&uKX>POG!oeqjXER9ZMklxk-v-3>6Ub19=+wOd2q$fYv1 z0KgHLj-uzhe0&s)@P++2Qg;gfY>=dAK-DJ->h>ngYt8%>-)Zu}V5MfG z$73){$bJwvBy?30BCtJAD$YK?!wow<1I~HJuI;JT^+?Bks1qU*i52r14*0JoYe&D8 zjHNpRZOA1$wFag?$H(<`#VjZWZkKQ-Ko zmo}X33y#22sB{3iQ}+!FOq}+*I9Mh#F4)!CeofwC`>G6u==Y}a6FS_K zn)d?MC$!^&cfI{wh1%>(%^nv`z$9+VuiY`4(9ZGtO5%{iM8$kn! z>wVEsG#=L2@uW35(`Q`H(&lp(3O#^`G&;Dtxsj5xPjr8NJ^m8vG)v{;WsHuoCXI_M`B(qD(gR*sYp?D|TUBa(#?a~@G2#TZ>O!ac z%i1s$yFfIYGgQgTQ;=3BCA&=fo!_CPJE(MSeQP_of1su5V+ZHOPpJ2d#3Odf5!R{bqBA?{;GK3us z)E3_eFF z3JG$SA%m&1fe5-cNsQu1;sZr)u9Qz%uMt_a@2%ddca=+NK=;U`r z8~q$n$hQ~~7JM~zTHMUWHt&Pp=xv64ndSv&^I8yH?y6ZXbtOo8R3l>w3JhFji0U@d z&I#$(I2CADqQ1=`=bZi(fsune#?kppbg45uiv2K8E`>paTlCg| zTkk-D?P3(9DVhGRUeuGExJ+G}QXDHY)4G%}M<*qoX3-Nu3sU$z${EbmxE!y0ljsyn>Y{huki5=H(QDjM(F~Zn0J_z|e zBObgJr^A;DXDU>ZxdknghK3pK^e4;RDoSIWt%s&E8<1<`F1L{ieWNod?t=nGoGYC8 za`>)qwqBui4Ai)AAN&uugD4aXKn$H20lmLPWKAq!$>x26x zJ6Y_^7GKxL{*Mt4j8`!TpbkU=o)Tgv`inh&FV7bxT391l4OC75PWk-^<>3BTwPln5 z+Lbdjba*{Tqevps`ScXm>tH&OJI?xGI?v*0vWURJRz&}7^Y?*;&H1Lwx%{_>tMs5p zsW|<&TfOxQg=6pJd3ub;uy1c!l>H;G7iMlmt83IvMHOt9y|t%QHoZI;PbSQ#V+i6l zm*(a;N|keVPS@lD0|WCLzp!!9={1&A)1%WUeh`z$)~&XvYlik{v=~z~?RWM%->gy9 zJErD>7-Pniv(4Ld{~PF$!odZHNoniqA`ylw9~41A29+E5ReM|{Tpc`NGZBu~mKnbX zS=UutGCJ-I8ylUiq{Esl1U7q1OIv)zW!<8}TWO;|->8t#j8+>`FJfRAq?X@hkS{osU=pwK~s?tMw=s9jG7zo8yr`Fs&{qytYM(ntp7BBcG|JMIKvCa2>zm3rt?j+ zY@GIby}RjR=OmiA#qn%ipf_%0I6>@8ln_&xC0|lhtP3i)$`m1*WJQK>srG2LNR2BD z(K11>g`BdXsOb0QUOEhleub-zqk&=>ds9-aoJ#umF!zq!{{|&04#X%|5KLVXNWba2 z!fYtO=VADVUQ_kgCFT>%r2ayg$wHO&a^VZf9^h<(_Kq3 zG*bB~n=A-`BAhSoTTKN!#!|iCsi~*VZkxPrEIT?@>|vGGYl< zNxR~x%JgNjK!K!YWKQ`t%pD!0An+$)l=yAR`!8L|G%Ky`(w^33uR4(;+su^C6S`c6&ewhyw|J68` z0sc)*>l{pOCxTr!qd9^toV%k^itO)&&0ZH`+3A2-y6zD*R^wNGIU7YTUQ<1lhn>W$Sa({+O-r`aCZv9S4y4ZT{8Iz@==fdmO!>ui{GrW29^MRvQx zy53vQSp&Wv5)&D0X;Fx=mwK5_@Jg${f&VBRM0~~RMewNi<85-?O=v99L3;xRMVK!D z4IaYLRxskwt>p#d0H z<#lt*>(Wn7V?I3C6~-)qur+}53Em=8c?#3>7novZ21RBW7fAj0(2yECpWkz=^cnA5 zcRr=#d`*c)vAR}$Wh}`GUK8Lt4SREShGz&$(@8W!#C0dXkz@w^sgt(>!|w>eo2~Jv{=gR%vN}Iu||h z`o>Sc7anbQr~9t){EM*Q;I-eaaU(yxZZc2i*v3Ze8VUOWpV?~bT`$S7=-4`H z>F0BZ>ubE=TnV%8$dEH6xr%20?LCY1z@?k<{0G#kPjwbSZ?MjH+%Sjk@AU`c$&e0f zj6vF;lQ~L;Vu@&esj#t?X73l}TGHxZ5SLgWxmvKpN=2#UrBHkl zD^R@BZ<+r@6Bl$}1Sh0Z2`jH5v$h=1^wqdUi=V5|JY$QyE6)6+^amWc4u~TsNwps; zLseX-l1Z08U;gvOD`ytqj*6O7mF;4vCe9WFL;ncBxlp17u3dNe>_fWvkAofD z;(UvbKPuIgLnfO7GYUWMdbQ(1*%6Pec;f3RjPR1bzd>o+$+8dnlPFAAO0(Mu<=s0< zxWZOb53Fw?-;U>Ne6s`c7>zOdCr6V%~TYk#)hqDme=bqbMv z!`q;pnktf1?sDLb7LOq5yczUKdHVDRYEA&CAGE>IeFwJIm6l1*{ zG}U@Eui!6c69OMXqj(tDr|BLHxGdEyTRA!RauQK-H9jLI#9=*+s~}o6~M;DlLZ;q;2n052twJ+Ig0B8q~yjdqbg+_KifR}x;H?g zy%#fxvd}d&6UE5R=gfgSkbf`|GH4>FayHu~qBk&wtEp&`OF)2H|K#CZsP0hE?x<*H(eHo)1-c@{(Z=GpwzF=ERj=YVqvwB zl?fd|x`tpsen5I%fuJlAV8HgMpkKYE999U(G5Ax+7kWRpNdq~?-RTKV5Eaa<@LBS1oxa5U@Faqpxsp4QQcC+@d0dM2uzPEbcHGcfP3~Xm6 zV5gVKX&TA?WQ0|Kp}0*0^J15>At_V#-zI~@zG4a%uhNKd1XtnP?( zXF0w)ka9lab$PsfZ=fFCle>sO1$3 zokZ9=*uHh|f0_j<6SG@@SAV4=ACp}c2};Z3m(M&}c(KRw-#Hlk(gN%XWyM6Ba**wg zEQqa^6A7cK!^7RHTBHzRWS;(6E7izE)OD6@;9F$9=7YQW5l~5PbPYYC18<;xx(P5= zBf!hS%y)8ua&oY;1}2`(RuWMwe$XwMHdKc)D%2H66`LO_gOH!e%#=&xa??bwnU#=v zY;R}f8(SL7(>fEuF959Z!_$6f?8kjnDKvGXoneRYcK=a$a+pL3{xF%u#z;Mm73JTk zH5L6OZ@a`~6x+eBJ)jCsFVuV;K75K}Fhy>kd+#9m>EkgUqHn2-#0e7lvln|xUr@)? zXLTrakMFN;F48&l@Mg5TZX@@1>*TOjKR&!q$`vGopyxL|11B)J z9wWiShn9dv*C;BMxQdvV+k{ZROOO7T5uYXj!`QzCCi{S zU?#qY$75YtSUU;BHNOAN3~^aujl5=QG&_Cz)-R5DVvPfV#MEeX${yF=s~v({J;Gb9 zvJ;(fgVSLhU%T~W#lXa>#9m}O#R3WuF@r-L`c_FwCEa32A}^K})Xh&{FYz|&)U2PPc3+$U^weT=1HaobuCY#c8J|xv^vwzc^n52_ ztkyjBVsmz_)3!Bu`tj}<5&93DT0+JE?Fbzv%%~JHw!b|}qzSNw;CKx6QzbV_UmF2} zpOHzwna^(7K)pI`6o$(EsnbbJ%p{ZAMw0QTZwpCtJ`A!tx4XUEFd*(z&^HfD(a`}V z&S3o>ix-ZhjPFa)c^68}=XT5~+S7?wZP<|kiy2Se(Q7&$pT0^R=-Qp%`o?9s^(j1+ z+hX8KGzj_qrVvDB)nt!D4sP{~9}#Ol9yl+PRZm3zD+nU(M)QyEG4`um7V1_I^u>^* zT!qr8#{EjIV!goyH$9CUz#XaMOuUxr{wz8F#p+Y7@#>?AjoGKzz^{K?!T!F0;H}Iz zQ)@W#9~#;pN);2ZW-(nFdEWo=(u^tr6Z76fpNIZWn6wJu0#lpZ+y3~+0Vga3_z^~gz+N}z>%T|$2C!NkYDKHZXgK_Ou^AN)MT>B@{gxfkJd`Y-&Z%ua=>rUoHY zTVfESIaqbW^L!`jjcfAqWZGTvdpO(hQvCXfwUcT3X@JRPjRvITGfSRCm$Z{IDCcxVz(ofNPi%;4G|Vq!_jn@zsAe0E)uKqVf{$g zl2SG3RdDd{Md<{gg8{g`-uclDVV6rsgb#v__H~NvjU1dW`;(_FC$8(=ii8N^q&?lyMO;e=5^Jcbaikx>SsTYqMBS_ zw=8X$K&zYW-|RoGa@Dl|0Ae)`&I#yPj=(4@D;-7t#N2`&oSQo#C5W=VH50_=UCu*bu8eQ zIW(+zA$bW%=3c3(%($X0yh#OSnm(C-or}9H;6&Ip@dY@?%Px%XSv3oK8?-C)w8~RX z=A4u-w&B^zzJ6^e+8bPMF_{Y2$L9Q>7UKhRLC=4(5CFG{oPS`}*Pbq>l6r%qara|; z56)_4c(F=?&S1N4#k)Wu(N!W>1rR9$Ld7pUKUG`r^%%Il04-yc-}4@;3!SW4-Om!e zMt18KXva-ug9NEW8gMblu5k5ECq55kW~_j#b9ZonFLp=7!Q-L3lBp!2T?t|yvqlpeoA}2MAzjT!ubsV#r=5`jCw6(9 zk@UI`9f7KA8Q0gUI*rDC;f8I{AQ2B&iA3x!~vtmo(^}V;b-$(n|w$?pQ?9kP8F4V+I38VYinz| zvBWl9Mxt?eY&s3~79}{fV*l{v0J|0c8A^4Up!>8oB-+*%a(gHdl>i@~vq&}HA(>9Z zpl#XXTE5@>Usu$t_#q7T8-oghZk5<~`Gr`bpvL4Z%3qjlEUco|%pTMef2J&;V-pn) zlQ_yK(~T+CAlTo)pr7v2t?Lo3JQwgBTxfmTO{Q;B%Cz3z+VVOxo+#EhV+z&g=KbM{_g5;WgZ;@%1xh%k z<7w$PHjD1YsZ6TLv$5jEed4TSr*{K8FwDe&cOtV(FihcIyPR5{`seC9W6IO5i&PvG z{a{~|nh~R{Won=3t@nVADIAR1j)?a?A&8aC(7$e6bn~v;@u~jjPm$m(WM-pK;?S1s z-v={z9we>M*d8wtz&CQOZMkm(RWBoot>VR0r)SLNsde?yBt7#)n zy20o4Vzx&v{OR54L|v|wmH7Q0&dQg?=W1-r0c=`=g-DhZsmmbkuYtG(NjS=jHolTV zu#dRfLSjC5DjxF>{x{K?Y^c9L-DOzk-U-Oa@R@T~+W}KB*%lItMLPNSLnr200%_83 zRZ2?w@30@sQcTOHyL9RR++`QqcNWpxf z4H>6vP@1m`b-E(krAzX>02)#)(Tz5Ya;dKAmZ}RK8takHJ_@c)kG0;{X|tLrRxoJw zYPi@OzVba-;$IqODWt?T0=8ZFP%?#=2A-;*1bPdk?>Di?>f{2Og!}iq!+A2iv|f>+I9az8V)v<5VX&{qqAJhe#d@Cvo#M|$2;_y%strJfb=B| znEt`kgV!>obOEysm6By6gg)e`_WKZmjeL1=0VGD?NJtN7{5tXW1Cg7 zT;8ZbRPtDMag(+1;uYR0XEgP!LDiIW`Lc=<7btK0Wx3f;?Yr)my>wr9y{@8c)pFTF z<9%bD3Y(6Yuw1TKTkh($fjZ{04>}xWcx_dbv*Bc2FA7{tY~DNnaOuO zM#(U8BE@6gw^C>}6twonJ8;z-pluyypBC^RklEiP7&Y#=8(pq7s|_Y{&9dlxuFIF1 z`%i#k$o*y_c@&Da<(X$pTzKF*kq~TCV+Ge=etUQ5bbJQT>jX;v_i9i79`%SF?jN3K zYBTX|lk-Ii<(zeK#7T)VA~%Ik3RtVXw98Kr^x1F@$;2Y%@~Xd~{hYTt8KagS2a0{1 z0WTj};aM?uM)<5#SvxrS3v*tpaJ*^cc>1Af`3dJHNAuxj?B%Gpju!j$Eh$~D=?lYv zS$dgh4*XCtM;FH7)(ag};jC-s?A)p!5^;+s6rb(TI7dNg*7?Ys-!C$bqZJ=tw}-PM zAM=}TWiR$av{Rn!qhGZbZi98~Kb;+&Sy?z)^SdJEQd zWS8%!Hh6y-lZoZo>U%!l66O^f$iu0)#9iF5UW|+c*W$HyJRf0&gQOSiyq)od#_e;n zXGMBJ+1p^I1{7bxj zNR-v+FKnE93!4`YBOClZMA}YZci`oa#~E#GjorI>y0OM(=@CT{lYAI&Q-H~X>9x;u zc8ihyAo7T}FM|+=Q;NtB3kj_cV%8_J6u~|V{7kauX*cwWkT@N25@(qtjb2kc441L>OVlY#L}zVI*mI91h_l7loKS4M(C_jegj?PV}L_N&cbd z`S|1P^k*3T(Jkl3P5Gmdq{dWV5Ist#SQlT+K`{2HRxAWhiWg&;(rJtuSMCrOE6e<9 zOO9eMFQm_#T5MDI143GB%*lCociAk!Qc4*d>Gc} zaoieTldBtEoacAC$iQ$aJt`~Ds@Jczouij3hsAfDJA5trS7ev?cCs9)a-z1-8jBcD z4s?~rQv@i%R?*2$8!Zir;h~JNzUt!%;uY@?gZUlP~|W+1IHByiYG zCpP)ra&9stVYKi!Kcj9{dLUk8=VH;`GQysoZzl^wH~{iG0xsLqWI{OMDG`zHN{PhO z^4_7}@!?URM!GZE>IQ?$oGnUn*3i~E!|6$$O8m7fi7<1qH8$_ak(^HIr#7aEKODS_ zt;~*cJM|2z46Ke_MEYrwu9*6FD%060H=@>bB9WSJXQ&FIdk~&4dikQjT^;d#bo7r4 zP-oHF{va*n`=l*1Nltt6(0+3jN@x9h{LL5o@!k3Pex3fAASl9*L6AWc-;_4g$KkTn z#nd_=jVgE68QJREMQW!;HRFqcVr4N9g1VUo8_CyR21(%fZ&D+9Ht&p>Lax*`DCYbI z1g(!My1THM2`Uju2Aosu9W8_!TZ+AL9mbwJI}q~q#YOSsjNuohS80G%TuA2B06m-o zK(pEuCLtf?49AWo1k5hE*^lBk3H+shs5L2t)Hl1|kTcZa@?ghYS&mByLI)@7ISHSk z3h8XY4A8QltzrkAL6Nk=z%Ub*vWXiVCE96MTpb?$>`5j_y(^1OiYs^_K(Wi1GRGz) zj)#lW-a|z{5qyi-I6GO#jD-;*L7`$2V+4vn@uE4x>i$)i6Obb<&dALCJ!)?$%5`Ly z#biFmQlbPCYokuuYRm_8^EQ$m`59&_#yy3o31z6q$p!>qB2Jq#o`i2dpo2 z#p-tTh{yHydxjtVS&Tx0ux`puh6)U57+WDPCCyCZ_GEoVsV1U3aL`WJ@;0Az=opya zj9JI`w>PEVv~NS^D?RWYjWLT-3DcW^&wcMoZ}oYh1}*vdzFZDXke9Xk6wbC3yd)M| z4E^fctUHXXQJ$?JRG>7h_t}5Jq|+Qkuv+5p@swZSRv?^eJK>kOFjQ^w@x9dvhx7Hk z*|Qqe;_nTEo)Ii~I{H~h^pz0p5St_z2UpvPH05K&r}2nkHTf}QQPgm6?+qJd^0My< zHHp)n+#ZGzjV6LpH^;~N=~z|+s9+)*zRT+#DyzSTXpG<8hTdLV?^+rbp4RnH$4DKX z^MzonZhh@!22&Ig1UQ`-6oF|4eW+8MYfllm0Z7{nfu~g(3Js#{M!WPeJ z$Ao_4^YHwdtKI3y5PG_n>Qad-?5SxjOxNq+MY0-T8|$jtI)|ieOxT5wvbrkB^znmym0z8iJ5nSS#co z4fZ#IBkcyiT6Pt8T8$+2*hGw^QaRb=*Z}fb<0mGR#cEjl{E?N3!t90D$c%zc7>3?Y z1%)K>4}nE`-#r_>Iz1y{A-O+h_7Ea;F1Oqok>Zq*8Hy$pOSld$7=dgf->l_CUFoVy z730g8#_!L4HP`oZ=Qx_d#L7`tq4Fzwd?MFN zW$#;5PATIJNK*l&DsawgB!MC<25E)*D-=yYsvEknd8(%ZAhR!5vV%`2OnE3JXhl}6 zj&fsO_Sp}^V=fod4~7*+glhLs3Au8zW; z(C2c!0vCzzc`+38c3hQTXB^98UHBq(-gWpQ-R-aKla+_CH=D`rFeBYP+)kM^JKzkr zZfM2X@m9UHZXE8;SVQ+G#8jIQjP3lTTVpY`{L?cmB+RG<2b1v;Ph3j*2(=e?HU&}l z9bcQJFA=qy1)E6DQq(3BYu*f^Q5|-O%a$%_vLu4;_KyFEpx;aGFqu(hwbgCon$XC_ zTa{(9@~#SwE>uYHrqQa3`5(9~5K&#IG$n;jW3?3X>*8`fDn(Iax{^xPLJk9^jIa-; z#%2(4(BgEcUCL9^4UJp8(MSIEhCx5BVrr&}esp+gzqFHu#u>&aZ1T+QG($fLO*wVs+ zu0k208qMT(SRc!i(gmcki}fty!1*%`HT^Czdd*Uch1#QJdM$XYduHV&Ko!dCc1&o4 zFg-mDoIC{%z@Cogi1kL}Vc2{L9^TygIvQ+Z{j$;9j#z9koYvy|WCW-H!jNq^%;aJ6S<}>|u+-F2ms~?>p47k3`mlRSTwZ z_wG13h@|w4Ls)BB#*3&~Bq%q48r6NP(+jI#`=G}4L%pPc|U!kQp5Ims8k@J+>|J+Ul6xAng(Ck83>$2)3L2G{zaI4O0lQ@a}_&TKe}CP*J}WIH9Q8 zdq>jHvB536m&nM&JneHK2%wgh6&bn6?2I8969*Pb%xIPCCr8Z#8nmP3 zr;VAzTAKyMue=-|`{IEVc?!FBfKu8yCgW_Qw|5p{SVjDhS-tsNW~v)MlU>;op8D67 z`1n!F3C>6%mj7sMgbap`*4Fk9AGOjsxzps5-so{rxZ*cbR?K@I6J30@H{rfNNkV3u%Lu2E&YUGW z$!WFSY`bLd@kJua)oq_08aTRq8GxNiGG4&`tZP{aZ-3Oi{+zEA&5iR!4F*oflPSrk zhBin)FQ&pq=DeCuw`FpMCpi9N8}89hEi!;~zh~CBk$vxU>>I@`(ng+)%oA&qQ=CrJ0d?oayx1j`mA7RUbMwKPh^(@m_ zP2myiRH@}_|5DEPX9)q~v8RyKPT;(pYzo8P$;m6V@P)O--6>7aTJxQ8GNOtvIqt zyNGH`A8FAEIB#9{){j<4e~nkAq%XYaw>?wg#umD44-SpzH?8m9^tpM;DMUaGRs;GY zE*oBkEg{d#{oOJ8zEsC}e=*VC*hC_tbhG}=>FT}kbS}R~E|14sjXcS&@q%)5vu!{u zwP2b+53a1QA;^%2LG$Bx`pw8>k<~W^T|kN+fI>niiecQ9132z5>8H`0igapDwui!4 zItEg)0zOnoAiyh82ngVqr~@h}Hgns_mWS%qRlD5g>9)6^n%E?yPyVj3gGYQbZfWJN z^O0`!ZpVvw+{$OI?6?<`Tq(eC1-{^5x6-PoD!o5&Vc`wy{cvs56^aBnor5GND~R~` z_@p(2gxcO9;UCV|APjUXqn%@hE{x|>tWf*hJBPqyW%7HB182nhCIGQEr`~BYaxVbOP3k<$15q!9{KKTCn=;8Uv3k4;P!wPc%itHB)r#ohSW25Wd_||y7 zTvyo3IicpVN@xB^R$B~Bj#wx|v)_wH=T&D)9=bI9`Off?FQD)n2Ma~M+MBX6+aAON z>UaM9WR4Q&U`1}=RHiQ9`miRp8iL>k2^rMY*_mLm;yd0Eg!BCP^9{eV+adQ@`N{_m zqi;DDdU`PT4}PBoTg>+-sb!@qb?fbakV`n0e+mHw)P|SoN_Zgzhvj%beRe zAP#rvw?AO@e=+yhK~;Zm93W0fOLv!aN+ZIRknWI9=@gMhKpI3qq#L9gq`N_+yCg-r zk%m3^vA#R|$Npkx)?pmx4)+}=pYuGgFiB!41yJ&Vmb%~y*i&4@(YJ)0mT1tM7!&F> zBSxaH5SQ;b`Mvnth33y&yrD6ghDwYk`}(X_dm@LDGd!8k>i`ONcdpq5d3U;kj*pMv zkZ1%C)UWzyn>^hKObl(p1Q@xPJ~E;`(ayyC$WHRy(4#Y<0<2RPXY?`f87j;S#2iL& z0Rn)wg3qQ$K`!xPeXsd8AQ5h?G$6%EsT-p@3BVrX;uo00cYg=00T#@qH3VyA& zD-V^CdR}GqtUxud_zszQd|cylpf{SWW;(DPb|s&@8O=O>FBy+5g?HvkI{NiO!?|SF zTlJR^yfS zJXyfEL`O+gDkv;w#xLzNj})XD3Fww=&sGoi_upU6280g`4*L$V>|iM_TDYvdW%)po z9_FdlG!X-_FHv-ZU`PJ2?Ic}UQ$Pt#@T6>@cX+r=tI|?~`2--=3@z2PG)tPeHKfut zLGbm+XzL;T@&#fSFd6y|5cIKWp{<4zx9}Txl4J>OWGUKMCMW z;kBEXcR@MdjVjf|WBhRRwGkiDGwqzgeB@&Ypy2irVC+lf6X%&Dcw7;D^1RgG4#E2d z5PfKfZIW-bs_le3Kl9{~(s+2Xnf64$2WW7NnGIeIQ=qnEWZy$s^Om=nb%G&z$->eP zFlHkq3*`BS!#Hs3jqU~MPfB#%LFd{!(iPk5Y?eMg-JVvQ`+h)NA{tm{glY+iW=$5R zp(!-#)Dse_-<`kH9}p96CbyM2Jg|BoCsU-(UXF=1!~_$;&20rp_9!vzf{QV0@SURq z4p9zcbQD<)EdIz`aQ7apFEP9v#jcdb!W*AEQPjtfSkRN|@zQ#zcp{6tqA*6l5RK z89;Xv(V3ExQrf)|FXV(d@`T{UH@PPj*>VLMjIP}T3erx#X~oLST(_-y074y+fN0R_JNS# z40mH^Hk|S`AWF#~<9%TuHKJ07i*aQUJvaA}*`dL8g_CL_fR}LX=YAVo6_;b|_d zA^CZNs1ju3^n01{BoKwI$P}S~UG=cqj*^{|m}q%7 zqf}kc>jrOOx_nwHS%B|3mIZejy8$ZdyN2XPOl`M7aLdizxj?%*X)Fj2`}X>F%qY_u zpbdbk$_GH2iqSgLXwdY&#yiiJH z(E3>+$}j;Zj^f>b%$yPZ|Xp$v!lS+P#W+jXL1%;p~+*f&j8RaN#@ zk-YapD?NBAM-Vr%jx|fuQs)*h^V`P8I_4X}-t$$yxe1n^vMaOOhUzVsX^xn7Q=;Fh zKiPbh&2fKX-?!9X3Dj2xnExZBL8I3 zk$(nm6ST4vDz^mMqjQQ#p;yvN%^+@Fxw-yo_mx8H*c`5YzVQ-g&Qk5$ikZ#MjPFoi zhB$2Mc&g$?=?f^fS3)SsO3H76o$e*U4-(UO+8>{2x&X{Q2O zkkZwa_dQ;)SM1Arhr*Cxl|FgeZjJ7IPl->~qSVp4%@0XKBSzqrWnSr6lQPT= zJfIy0Oj-kKV3sIV0s&6ZI{t#av3CUoKBK!RP!(MLY( zl>;9GllnGxyCN*|6ReraL@2WWWL#v0q^WxxV~fMkr$|``Rwl8#2wJVV_+g99<}Ry) z5-ivH<0$kDo>-+{Uxmz-Hjq9vKV0oato}%bT4bOX#$k+^Rm@QSv)fQKqaa;_CHX}AOX{=(LRHzizcmsk|WQ!QD zQz4%D!O|!)j4}SL5EKTF^&!fd%?wfkUrYq*O@q=kR7x}uxy3$^i2*-BFzlntZ+v{& zbSM_Ty)nB7lwZ2)I8fo+8WmE7G?)S+^!Dij$YCrElXb>oxO-bmn1k$Gr3$0k75H4= zGshfOOMei zOl?{dDwHy3mN;NSC|RK=stuJ^>Yd4#VBRsT_O3wrBTt9u8|5F`SdX+%8hjtXriYzI zme63n$H~DV5(M86k|7;Ug{Tij9zZ32NYOzsu^()h3w_V^el-H$S=$aJ#UiJkwa>(U zg`&lk)^t|xIPz0Z^Nye3nviB}$@O**K7=BymP67YVr!175MI?zjevWf#G!5|BiyFA z>@Wfp;`o=uP_!99UNVHQIY<(!g5D|J#IO<&bGTL?&UA;?EV@GtGkZWs3&M!M%C*$c zSo-9x%WNci31)@p6dW8=#AliDbcvIJ5(ctl1MOe%G1jiCLvSBqWXt-@-V$e=25G`uCUt6dChrwICcMYRCr|YxMXwNym z5;qukYb>PzxSSIgE85cZJuUTn$V~_u#DTeb#$AOXW!uv`JT`~#)dwggLKBTB5qD7% z8d}x97A)Ho3#Y>M9>uGRQ=B)`o^BQJp3)9>pBKNApF?g$MOi+)31^8GyekriyIdu|1vXo_HKZS6bhfoIm~v zwHljGyhTpDrpxGn@I#qoK_2c7WydrG$6u$`L43T>KC2U%6P0f!MC;4j2Fy;aW~00) zay7dodC}Exq*jYBHW}YV8+?>NoYi^Z+5d{fJxqmLtk<6ymaDjd=ccp`A1`q63ONvA zBO?DQEzN)#rCor1sTM_I3YBnV`Su>n93FiH?752%%$IS{5F|X-y?wEX{t7G!YWI-$ z(p}CENktYZQ8mYIXGUByXaQv@HX>=2%@X_hOqrdkPE^9A%7>iX@uXPz z2Mm_n-XGw^Ke1Xy8KBjTnyiM=PY{J>@C=yoorliwDafTVifk&R(_Gz}{LqI%MV;S4I1g`4%m$v8Iy4J0u}V5?~6(NJ4+$nrSQFGHNEEay$Hw>BLAS7 zFruN}u&b67lekk_meKT{+llMFcN~=e3q!oaN8SK*;>utI%ip^IAT|dm2GIyXZ~u`d z`G58=6}_~6ib!6^qnrJCfe0fYYLgwOLOLo|azg&9Fo{@5vO_hd`|smZxPnrtoZfe8 zB#9%JR>tA_50Y)IrN6l|AYT)Ndsoz28u{L4_@vdyO<5$>tgrSjFc1Gu%10nI>Hcrf z9~9C@NdVwvIQ;Z-A2d_p=Bp0N~8-86A-N7bS|x{_e;TK%Z80VsWJ) z+Hk1KgamxV<^|kUurQDWZxU2xe&YQJOu7~%SFL3(3iS{X?^tIXngrAR!-)?#eRM(xueFN--~4Flz@ z(@@q#SMY4XVvv$K^fy4J!zzY!As8-vKEm4+4vmCw2x!%LSg9xdM8txw^oBE%gOrHv zq>B;@dAfbys%M=b(C~r7dfx)X72Dg}50WF2OKpBZSS5$yj-|(JzX~30O{NuTn`N!5 zeD0;=gaHpF?6OY*|7~k4)bSxPY2a@lotp^6OKj)p`)LXwn2o;as4xqG3M|oc@bPoqu-;VcO>by;cQ9&PkJf<1-jF&- zS%C!fJ26n)sT}3f{w?l+6@fW~tyFKf@N7v@yCA0XYhx*sq#5z-a7GKUWd4lwjs)+NAT4TYV~>t)Ik|r0FdtmyEXG>HuZ_J&PpAgd zY*(NBT{h~(AqrwewoepNGP!?ZQ3YM!g=PMvto+wqCveBl)8j6r4u6t1emCxGZ1$j_ zpq9@-A%yDKJ*AHGQBouhso`V`zQg!*^yfa?#4+)e`fA2?AJoUC6TulTbSKz>5V?ijzPi z7h+!HcRMzx0S%#C>tJ%7%5Nt5t965AAPZl=cEqxEoL|%e%_84Cq1==L{T#sbUYU~@ zWZIl2>~MqB>V2X2J{~eaX`$Bo38(;<#d24q5w2be_SR8AJ$Va15=`DzgSBkgp zKh`UimVF| zTs~EKr}<|sJh;PC2){0rgW&^mnOV76Ix-tYaF1a9nglrH1W8 zLy8~JQBXv+jzXBFehwA>1}IV?8~&Ku~0DZS4gpzyE>C8&QEf zVpEdQ`M6-O&r~vf`2;dLq0TbaKbN+mCQL^13x0F`p-6 zzYF>aK&OBQEyDq|ytJyY*t+J?>lGou)ut1+w?1Ufulj36!vJHpg~{hHU|kaO{SNYf z#jyI%XWn3AalQZV&buxM+8|ljKk2_E>(~GIAqPZ5qA)(KOA7j4w`2*71z|6`9JOkC zMBAxSn$VEWa7+_h`DAE~si|Qff9zuTHSxV!v4`3g+;vlgx>>0W%p({DMashc25j}4 z0>X8UO)rNPDAR@46l-%kr&yiPs%WS7ICs9)Cbo9tuZ4_XO>AGX8(%-VMK{cd$xIG5 z(QEmoBN z_|cCwgOG1)oVHm(t{_XB`{CA@(2rM4ANd_NWO9($3@kextvLz!r#f~6=XO_8ALBRl zzVCfL;QdABF{uDg&prcvVeDxi;NNzIglEMYI=N$4jB#9rquiIlWqqyHk^c&h_Kr{o zcrG{!6i!}?DuS$@@QM!Y4M0B4IKUPD)#$M6>r1lNi#i6K3oKoZW!NhACDtbw^HDFW zo4&eT6)Cb-+*VeG?Tk64o>?12AUp7QYEh3BDSyG*MaYaf+Y`8{g~)UEYZqvAjM&M` z_xDxM{9a-pg_BXeF~#RC`#cFlX|13d?UcJSCC4 z!+jW2w)dC_Y`a-bg&hw1Cij-UA!}ZZQyHfeX7h2G|0qCJf2tO$IWTiPFg28BMZI;< zcTIO%KA1X>v$v&Hx^sO2OP+ce_>qT$e)l;V0+I`N?wbX#HKrQG64Gz<=Z8*2w{tU} z&5h9A)zspCt8X)eK~T{}Rfy0Ap5-7-edHbZX5g@?#m=?R`qD>(HDCj$-wdtYX1D=a zL7n+W-T68()}FAxuGiVlVC3K;?ogf6#WU#ieR^}wO+p=q*$KID7`@PIJ7J{{%-TIb z^I9#cIkkBH6q*Q!-!wab`q4~Peir?Y$21weLpihWJc{)`k2Hpi5BKTckQ{7xYKxcE z4>plE9%s$2;ztahmuCh15Dzye4~*HqV7C~bJ+^o&P_H@35c^~58{4h+KGAu8)f^fv zJ5OpYCNr|2%faoQg~)jRD5RL!+8B9GFMGW07*>O?FXlqQsN^@{+?l3X+45GL za=WcwypLv5#u0E(DVKcgN{YLtQAk;Tx_x=Lgccp5VN`9w%~btFLH+v3P?4!qn(a)m z=9$!^ro`mDU`p%u9!%nqjZuQ)AD=A^v(MBvZYhs9J^j7aD{Ixt6Ux`-n{#0MaH}Bk zux2m^^Jy8;`G~ann$H-+;ovEpmhzcmVtd1> z?vHN!;oi`dzB&zK{Q0bg?~vZ&W^^vcD(>+1cA;ZL<=u%jp| zjU7@Ak;81Ezb08aGTq57G(l!08#VTQE+#wO|2oxHQ1R45ALrf0^{%mb-Vby^%dDf# zW9pFLl72A+fWZ1|5imiqSgm;G(^*5cLlCKq#P2O@r$Njnjg*UYbfUl3{QcCKc6 z)`|F;gI_bwI)ujXHNM>1i1=U8NA;LV1=-ev#6k7vB}&2ZnthX0DN4WG;D z-AZ}>SGl4xMUXcuz1sQ`#%7}i}=Q>;~ zysyQ>O&^|o3TDL!T-uw6Zkq9>hI$on>hog7*sb?iw#Oi8CEeYpg5_ z+C15uzny-Baz+c;9P#>Z%bylHv@`$gRIR$7LV0f?Ngr9t7CMtz)_f>Zb z(Kxh~3;QIC8)>QbIL#y(c|*E#Nrx#b{!feaKtW%OnL=r$*kIWY;Fk#23$nib9bQoE zfpOPgKgpBKRL|jdk(WT`LK!)beHShN7AfMF1up_ePNe}YI! z3L6l{Sse9BWF0I?QD%J<6VeilmHz$xh&~XmtsSEDZ2UdoLm^Sv(^38#u0=p>fel9D zYzf8p-@E3|`^&Hh!~S^?@Jnqf6ia38Es?{$zn@0z-QD3b918mH6(PHHE#0eYHk zJs=}e!}Q2l`2h@ib&PTz8Po5mjtINQD&q%$99YUGs`R13d@$e0_>u~}(boC-zpGo` z$-r8=TkvuG8e2b`R1MrNUpnOEZwq+Cjl}>t+8I3}`9BQ{f@g>tXqf!>MvwpfcIAVJ zNuH2A7IS%KGbBH8T0u`zZ0u((ty=YInzSC0Orxw);61mZ1baI2L(yTU0fz6(}^0byjRy89N+J@ zvqqU+U-UR*WAnVCQebnI#`ifv@Wr!syXB$R`^0Eez*$!PD$25JV{^6TeCWwbecNQU zkID?bTtsz_wX+3Toql>(S2@tZ;=dX^LNvVhJ{sDD>@^=aoVmETEHrr*6#`j6-2$}& zQ)A;%fW-s889_`{KqvhZK>4^FqG;UtN^}Do3|vN4fT~)En!I{G07N)}F6L>kC1F7+ z5WN4wxpgtvQ^+MeLzE@<)J>_L$N05pW#^-hDxwvYDx|N;Y$x-p4uY95ug#jh^Kf5Q zmJKmlCpV=!xyuL2>-@-$h#pwJoi0hzsL>}5jo3dk)MK-I93nlr9CpkdI=!*J^}6jI zJ!=z01O|3I3x$R0ztOlV0kd%_Fvu)2lu%(dh{s`K7^>Uk;X0ZvN6ceQf5E0(=eYN^ zu^Jea*e|vK09vERxdT7|0vD1)AZvbbFg!8wE<9X9yMZoMK}6(DR@S#T4r4_ee0*ns zEdeeFK&3A+F3xddk)GOp-mGd8TpoinIOuD#d^?!#2#K;rHre7CJWH2nl!+!(*HoPFF8>Mm&i_=0li`Nofy`5X~4 z!SJ%Gp&z+c7dB?#nB+fyIu{mnFL7bP1tTl7NU7-U5}yK7w@96ZV<*+GrtE=*6MC`5 zDWQ(|9qw$nZGe&dIw$q@nk~cm5c{s}Y?WMsY{<1tmSyx%bp^wBZbCLs?MkPG4&33%Nl-mK1X8NPNJBF^vw5Wh zwZxIvW{-uJu>*FD%$K!3Lj`D0z6S>k>mP^iBw=C(l2`o_!;iCte4jxE@zzRUmKT+V ztS&ffR)5%781&UMbdUTRXFz2!RnP9eWJt55r z$AbOf#$ZR==z7?nb!Zl8^=%f9jU_0d??b$gtw*!(dq8bYmY{$4*$kGLxv#0;k z^q?N%#Q#tiPz;kRAY>zbhL|({ncz6_d>JU=#b&sPKEm@L#X!*BJMH_LmK#yY^R}c> zDTCz&Y0?d^$vy|DTAvyfEeeOGhsh369#+HtCe#82Px zMey)W=LY?83M8mG1r9Bt-%re1l3G&MvRnvWQ;K!p4c_ z(aG^Co`T#dq|@1nQ{f^nuVn|9J}uh3lP-_H6Jbng4CW4JTIaln_DqXJOG}G=p!KZ) z&>mG$8C4JoOm_y5pj`MI?J1q5UT;T$7!_{^uxT(uiZ#k$)@Bp9tt`#W4XW`zFiBHt zBywBv*vxR{&H_7@M*;$E+aYivuW!!H=(X1Amx?auofixdKm{*qXuRB{&){Llqhnr6 zG{T1;K^<(JJOfV;BkzQbHfna^LA61oqFeXo2M5)>aP`v2K4Qib*lLSa$mH_%;kQ1Q zlDSJCca!$r{xAOT#NJ)mGZxlg<`_-xN+dTByVOM;y8r~|J{ zDJg--B4ce>#xTRI{>@AvTL6j@%H*3~Piwy@Z;v^X6=W+b+@XmPZ;rFi^YmYM^vXV~ zqKjEW{J*mFi_>#>d{LKYykey%)BapM7rMD<%ZS4=<2B!vVTfz&a-BVckMb)7p-{k3 z94!&Z{Q{J14Yj8LpTTg?54Hv5;(-?wVr0m^o<)?`=`JaAi886Kt}eaOHZC?ld(%Lw zFnI_bp86R)L;yuRRJmsez-`+!m@1>Odi*9^gD|PZ(B_;d^_F_=SGw-w#K*@2#IpoW zYuEPo?+7_djwfvQ;ld521}Rmf`>-wFv#p`>`@?;WA}8#VV7iKlIL#hIr!uCHc-_(A zx!;8I0t6xLMOmxolI?_0r>E%;nfSI=xIc9oR@DLG&BhOs5|bzL&Bz|FxUaopp=$NQVfNq7CPZn;vw4$&HAd| zE-dPW1TeIcCx%}B2rTlztqVR&BSQ=+4>1_nLWxMVcc2`V3X*@lw~nW*_L*5tIz81O zQ#_3Wm6A<_j=s+Q)RyR4=9>P&A`#^VKaP}ztBB`eG;JzFjs^pBrG=wft|H}?0S4|O z;Tx{qww2xH`ov|Y=8>`u$^8$wc097qxq1_dI8Po@WpAtRMQEds)-{)mg*#pd@fBMx z1g7-&XP+n_=cMK3L98QnJtak``?vL*8p zl+en*r^D69Ft_=SPc(`BNVn61LZIxlIGE1H+Mo@9>g}@A%NZ4a3-ubUY>oT2B~;{3 z>?2)nZyFnjsyvE9cWx6zth~MXuMqTXby3;n!=33v;6Q zZC#!w4+OCnFHx`$y9m*6DjmFmn)O|36Eq9MRG5QDr2Vr_lEoOtRHQM=-A7D>>fdlj z5(8ZP4{$H*Xe!7*G3bzXtY`4XX^CejXGtrT?fXYO)vR}7DXg$h1sa9SB1|n!Od$p) zV&?Z83#4zA?PPQ1(?Gck(HK<%$1gvEL`2z2FYklUcFiM&fY;Y6FRi=YFm0;uE$&98 zwzA>B%gL*hesnu4A2UTCfPpY|^J+;Q&ciIwt&z{28tYM6X@7wN6AIt_kE9#x*|J4X zGf{~zUawXR9Z$1OEsibX*H^t4Q9&HWt3Ad0QjdZHwt*HbNgt}UD%f(xy6b~LJVW5v z$G=fDMHqyTNZLg3WB&bQ&_2|uChGh``(bZ^hNHv2$JBDs9=`4Cy|s++7QMsbxZm04 z1_=l$OC`7ESW7?=usyqX?;a&0{u|1&HsCumB%l%RQJw=k=jC&YE4e)_ zprXaU(xf}Bls`yE%}_w~;X_?G`E)q5-!zCmIQ+8cFd*teV^HJVsVmj4EOBS06d8r} z_48oY7AfQNGm#Z9_ppfSVB+t{i&7T$`{E`V9+>2(;qyKcYz!0Hq~ApG_0HH0FOGqN>oQJsIuUT9gGtOC z6Qfyl@tz&UXq7%+#&IBA8wb7&(peGt5h+vJ0VHq<{#e+1PeW!u^;2o!fRF%K6+^1REn1YOqtBDUaS5NmBLSf9K zMfiN(jwM@N>l-CB>ak(ls0Q-*#0can^Xpm{i|EK|UF8@?1AvSj@nVqbqj=!II!>QiYrWqL~ z{^#4;;7$Jjp3(XFn6$HW*?Ey%A3yZ`V6cb$ZSNie=$*IR#sv)$E#*$*-ck6atTYaj zn@Iw5{kqs|)*!PpmgF7q6iBT&bEneeNWh%?K;00i33CZ~oTa(hOnd=q&U%eMkJ;*9H113J ziz4!dD-Q-ki^pe;{ok>pDFH_8)>OIK-zK5(v8HOF3o!wA2Y3ka{Z+@u!eX7THUH?6 zfvlurE`pE}^PZl5@jofGLlo#b^3_S4WWB=Llm|7j#b&`8XpeZNGk{~Mg; zck|CFa*glLrNJ+nPeCK~4V4@Jy&{FrHYm?0>VD)$`#ZTKATa={)&KC*_TK_AsM6Qp z^(DT=yZ`A+le@k|WqI^Jefj@}$2=jIP@%SXrz~>){R`ng9Z3aB_OCJCBWg?(Gb$^; zG5tXJfK0d1_rHx9Q48LiGnUT%-+A8y4dW=> z1HDf2KSos_?%?Td?5kFQEhi|25j`xii{|?~D2$Kd{w1KQI#S0m^@;z{5K~ zu(iYI|KkV7f9D5=koHpY-`@Rl=Lc3R_`iN&XaHo>|Np^b*3F<1fa z9iA^twyCfAPfmXiZ}8rq4E(AC3=z4IN6$Bz#beIE7P1xPgQduInZBMhwW;|p8y_0~ zj$%j^fOqg{vwshG;+N4Wnqcp7a9mtmSXfx}mg83sztwL%8CD)!t1lS%{pKxZ z7~Z+!R(0ea@FF~a3{dWN6?E``n=eTDQ2yDld6`C!adtBA8U6DjtBx!BP-QJ$v zXd>H*1VFTPj{t}60)(?F)(>B8s=jpqMm|}}o}SmhdYQrG@XxbA9wV{K7rE)hlEg*- zmq0c!pm8ubdoD^uL<9_>v^xs#td~H#17xdbN)1Jo%0M2vSL92lhvi@#6H4 zT-Bau6A(VbWIJs~aFzS%3i)$i{Z-LO*iO-i?w8pe)DBTf?ne8(Tc$#!AR%G4Jyn*m z3JjG*#@>-V7Rajm@@Ka7>;cG5#DXyN$i>Ny^=DVPE}4Z1t0a=Q|CqpWV*2F-mM6Ypkx+15js0bwb zl9T6qBB@taR)!To*&)3Fm>3y~blFo_vIJ{|UX=T9R zu=`oSPfUZj`#v!bBv?L0aC&(71;8VMq_3DTc*naGSs)r|T1sCu69VDp))q>ZJ77_g zJ$3^I3&y}v95fXcwR{}AAvoto6j48Y3s|uosKD+kJOdCh?wn&lHO1SxBr$OoBpldp zxemqcJbs}VKp2S*y~Nk!jHQSTbLL2!iX@xfbGwm~DyEby@XBDaL;m3lWR{>2kS{_? zM-t8JxdnE}6oz~A^?{=t#$9Re>{D^GM(RuF+iFl?^RFP+v~me)Ga!c@832zfCn75P z0{L;+C;*>^X5{A5C&9zPE#j1`B@lmLm93(8yE-c?F17^?E=D&zJRHra=HTSiWdSVF z4Kw}AG;u0HpFHt}w^$9e{QJBZaQojv;XEx{eI#tDpw3-D`6SLjAw`g3P4|`P?OZO8KSpQp<71kA^$})-1q(s>MlS`?nSVNDqr)9f^Ef}lGoM5a*;KFeU z1@K-CE-)&9rKmjlE^53Y?jBQ&ikY!)79-g|LNrLl6CmKTr|?T_prb@y(g|GwR@NAy zz&b67|D`D~4l^u|o|LsCdaAyS1+ubaZ`c8u+K2)evgbv;xrZXXfrEXfSdy$vO55*Oc@bbwY3w=LZMl6>*WY>onX&A8F& zEO;j|c7(U@wVF14%V~cFO{?U<_h2p6D%n{*WD%<7a-qsbJI(-;9Yt$mYKq{pVBg^0 z)Lnl6tv77V23ry!9u|c}8zT^W1$qfOcaFKWb z7L-IhZI}Wh0DH+`DJdc&H(DT;K#Cs#HZL#tnwQi=VYLW7+ZK^Gg4t1&O(HSm*s&SN z`A0T@?a(4O2k>dyCh%1ZkZA`(35wW4@I&Qs=jg&$-YRF?wt$$g-LKpiEAUs56+)F3 z`L#Y*S%y}Uf(fx@CjY_=E8TKw1o?n5Rm$3W8x%`18d0hgToqwllTkkcb1q*4z0wqs zj~Pdx_zUrrZhLlu#_?EowzpSm!>19kMas z#1MCmbi@xL!w#G;M-SrlfBP?xTvdmmq+Fs?&{G+Xi!BoeR()3&CxL`ked^P9For~* zf<1fQrwYPqqp)l=W6m*2gm>fQ`6D0T7H?r$7mDwcrCxYene#oo+V0ZKg=)4sRm=|P z27IR5v}W_cjOwxFn9-{6>nmx7+o&AC-*0;_tjW@e+}dggqZ+a&5uQD0 z3&UOeYU=<80V{qqyNFEaYErwJtS_*l(&kzHkD=bETU7M$4>L2f)g|gSX^xCoW&?8& z(X`9!)5nKos}|2Df!Qbe;i*^ASWJ$kq&IQr*nY<9mk%k-+D!0IGm<7(lj7kdeugk7 z)DaQ;ts^6jUkExmQQF(6?7n(5yxIzuOKgZ`yVc+O8e)^T@mN+C6?jlSH$U5pLL8m- zZFmi;j7HYF;*!?qnAn*;gDsI$?4m5V>88hKgJcxInuxqfr|Bvckc+vjHKb%S9W6Rx zDb$2-F18jMTobXd-nG%uxE6pGx%n~s4wtEG5ymjR*9M||z)ZXWVi=sJS0~-% z?h2`Tstq+%b^MHJ3CeUYUbqN^QL!c>o2LYBVosxu z3_OdDIc3z{JJ7iRWAr!aRPTCVP@z9x&q?#JPgO%99 zB-=N>;togv=CvXwk7>xk$)vpEWJ9hII zkJw7&a(NurVYtqZ1{;fuuZKj>4?RcRFZEN z9T%se1d2qQP#Gl)V{;AMZlF*NeF&bq%C!FpjUw>RB$s}SaL?c8i#K!;WoC3p#|H1h zr#$WIE1-y!D&!eubZ?yV^291DD+@S)3XLb0n!dX`&0ubm{1>xQIAfd7svi)<0y~){ z&o)2Y_5hYo)ogr5V%;q*iVB1ATa!#3p#Dnib@c+6bv($H-`QDHx9okd%YH^l{^rZ% zDVZQ)L_o&%VILB(w;*O;lrjHS(wtInHdqS`tQXE(>2_m8bF)`tt;k>&)c z)5}dpK7EGq!Dhw-eR9^W>AYOC!czG9lf~^#XvWK+0jm;++!=RT{KAc|d@3+5MXp2+2J`TQYzzMeNg zXmogZrfdblP|kYVAqhAY#qzw`WHdJRJ^CIyHO(+bP}+zzkf!V(5fSv*-L%qL6PEwo zyB|?>C1U86meEm+xhaxGOmBmbk{;J<%xMF?m*T)~v0)Hl)nj#THPFiCQvl-anpy#x zAMsY9Cak^N$tJA%5H8bAPEJn2XRe#m<_A?(c(JT3yxeMV_^CWL<70URo}POmc%mr- zNz=_LIq*GfD%pHhUacT=E!@>cu>BpuM3|#ip{SG)pDAXQGGZAH8Mj>ICR2h<1_9f zAP(f%BIm)uqQQE5u?2#@@O(9M`N{R7)Ahhv)1c&V&Cj~ zHN%g*XbLVy%Vg=Rfob;C-bp;d*ij_)3vYC`uY=nm{T1(^m@CN}8W}*&&Hlp8$yveB z*X*Lsz~*O+=~GBYA!71mt0g-KNR+9Z;r4_-D}gDsV_;`TwJnE*cS-N*%nFK~Oj9qi zlpZhjBDa{EiE*$`)z!Y#AB0G4XS~VIUPckwo`~I~TVvWZrfwF*wpj1!82MNdjZ=@+ z1Xl{(H!JW6zX{krIgkl?_?&}r|3nWto=JXK`jtPzf2KtZtBpP*`1&}rrG{7yra7{j z{;~1#N*U#Qi9e``udf%NBxkTOc(i=*O<&cPl*akmzrZv2Rw11dU`Q6fPb}r$v3fr~ zD*5h6nEZ(!@8=+gkWm8a3T7dkQ1b9_$h`a`r!V#|&J`LM3Aj&AUIBk1UOS+`^O{|1 zxeFNeM5PMNGgFq9KNJOuRuG2oKvAa#pDj86eG4QvMv!bLk~%hR6&X*quN=_}>eGG1 zv+$GC>q`s{R!4U0-pe2T(F&sPy)90e?cRKf7=uN4&F|qN;fhJaEDta?L6h_K3QN^> z_DfaqoRh6^C{aZX*~&z(ixqnzbog6`0zrqBFIJS`R^MQfo~O}#sB3sTj}Tne!hN&F^eVWUYyR9SmDS$~Xh;#_BALLIxgm@n&dZlf!L_X?*q8AgDB06a zJG3HK+~({V3aQifxkbA5S4sQ}6y>-ixWFojv8Z!qhC~3#N6Ehz<9uqEEjmB0g$v^l z58W}sofe=RZVy%_g95CcKaY4ST>2>EJcgw^GZWWTm&C<;;{50}m2RGLZ;rx(5v7qX zMuN*`2V3(Mi}dIT+WpOSbLWF)(zpU~JG%vVN@gOM&jZQzt(~3NhIpl%*87W9+ST%+ zgT2Y&(E-?6cxe51m7x$kLlWDC*CG3ecz7Z6rlkmA`L~;O64CSeJ}}Bxq%o|S5^qHG zqA4k9TkW_~vJAo06c!k8RX{%s{4d|pGY|K*866y{9#23$(^_X2LuG$BqTk@+S6nRr z?K5i_aXkQb*`7}3tKo%UK-ZQ=l7~}zBkMF?Kw0~_&_flbE2>WMs+SodoeNzcVY{Ic zmO~w)fm&NWIGBe%M^?imv>jDQTIV-x+nY)Yc#++ zz;vqgM?{r9R0NqayN64lzSn#}#>VKSLF3jW`I8~|GVKj=+ivSrr*MIRqn zFW{y`idt5azEEMtd4L0TMg|AxN0C2?E?g)s$G2!Z{qVl~|H+344NV>6vvD`8ZW$WU$Zr}S#q@JN`Sc-)Dx#n1n>|Py zZc0%c=u_^Ye!2ft2hQ-71LH@W6C_NHC5BLm722oJDjqwZ(Z%e>Cnnz1@(K!K6~nMk z)YTmOk@?T7>d8fO@rW2+j)mgyQ9NBzg=)-|W>P}9-505DC54$7T`fx6G?>A`EPo%H z&5Q6MEiw9v3W7enhLM67V1v7Nh)(#BT(4qZ2@hTuHwU^J!P;9is)G)fiMcgZaP5mG zdf%xp!j_FS4r`BKdDu@J_QGz71pHvGt(Y;}I16sQXCU%xrSu^`n9@PTFUs z2I-dL2`5{;qixFzHtC0Jt`Fsun1o_o50@R3Koixzfh;$R5MovmYLlisvR@6_`vE8` zJdVDB^t<7ykDU7k?Op|A`EyPf-dF&~BXE#vCn=UjEJZc;nQ?O5hELpmOQ>&FgU$1W zz~>k^{mh}KJ&}`RsdrR@i;O|z

KQ#R-kDv(crYU*DN3>t9(mI#9)>%RzSDJtGln z068Ali;Jg??h1KInd6bvTfpdKby@lU;Oi@ZszBRt0qO4UmJpC`q`SL8>F(}slvF@U zx|MDTC8SfjyQTAfuDkp1ojY@9&YWQmC%%sNeL`pN*FuwEO^pf)p{wkYi@11S6xRIZ z(Zl-BFFr`P#AzQ`|B2^$ML}>Su11;05ypkL*xA~)*3>+{qoFFX)M)C-fvz(0v_~eM zg%y@iw|W=Em%-)Lt>+D#xkKR4IO!6Di+f|^;)Ys0v>NNFg;g1Wsk@rv$vik0fDj&8 zg}%YQSjFYeDo`~sLa#eIG9b5B%9?wDSC?1;gJ{{<;(?n_f3Z-toWQzl*y2t}zEW3U zrkj8)BP8<=??a@LJTOqLdhqso;Z>hDPe>~BexwLwlj+tTYd=_`x-_`=v6-hM75rx^ zA1FQs7oy5-5s#B6T2ZtG2QL|?hlU1(2+z;ql5p9++8j_=TVF5Lv@?J6#{F!=-HKss z>@D7l14g6v_&N}#(vOyEa;|$zhL?tILEX#u9_ zOkJaeWg5*4RMB?kyjVpx`kKQKLCMT@1Utp1y|wK>I9#< zd3<~vNX8rnJu7>7IL2n)3;q#KbUSHp9XZyI=nH&^V6IBX* zQvM+nEqWjdtwN;^XaDaA2>{Ln5~54%OtyQT=6JdEiV5`uk-goY5ymE}PmWg3eIwIg z6dtQ=^_Vy?l9H-@4Rc(zd5NObmx}M~&$3mnPiXRsWOG-34 z9y^q9gS3uVI*@Ux!Xx1BZb=bP{e`V3Ro`}BmD3vQv{-lcBjLkDm6OIi{F^FC6xqTA zMIj{oz-71hoJ>YaN-L9=<#UaUH>>d%bHP<9pWSg2aM{pO$`oqDs+y~tSIln5G1+6# zvbQxgMfhEe13G>ebB_X9l+Cv&#vcS-Ei3S8xVQ)n;ldD8inVJ#`Vz`pkOVPgq%C9@ zT_dataP_x;bbDR%pM)vMKRu7pb#d8W?ZzwMQMHzM`_=@W#p2By-LmO4&s=5!6#who zyWI(X^i2rfxj8=xcH4EVMp z$lO2iV40i0fb`?fa5hY0Z}`8GVL!#lfrP5xmBBuMAwSRN@U^%*e;=RebM+$TJ{+Zm zoC#P9^RM{iR8%01KzsRl5k595eDKw%-N9>cFiPHLSNMkn@)wn)AK}f1Vi4nl7U6NT zF7ibd&_yl^L*kE-*V6KEamiT!-jGAMMnN8)*6Mubdb(yNCb~p7V)5VPCj>B_{&e6^ zUX`1Sih`owVR(vcc@v~yd^nHREyQ|FRBOXst4iZRLefUWtq5E;vCv@4R3%QKEr_c- zrpsnbfIX5l;|(cwDq{zT5`S&tVm*mlxX^6E9Qkhw?@TZVTb!BFr63$e09pJI9aWyL zikTb6>r0_SuAK1(>3j6^m|*t3hQ@rtIU9Z*v*s-QH{u%y$E^nzjfy1YaSLvG)}cyZlq2KhKHXzjLhDP{mUxklVhe zs%6ie{z>71H{>9K!;l93W(?oIfx5Ha;HH0*r$GYZAd-h>@MA=8Aj!-|0#0@9*X`^7 zsFm~yffU=%@47=$(P#F5yJSXseBhrz*0 z(c}Hf{3q8ZV)r}IH&n19J{cAGKI+vB*VI50_B+wpTVb@>#{J-@7zlZn`xRW&OWb^O z+xVy`HK*xCfzqkUH6{kCKpSQ0jrqV)M(*s7t&V6#haa@8)$d{^n+b(|_Cs@-72;4> zBbwx{7&S6puF35O(^BNV#oDshVpw~QkSKzRxua1S`*b*O3UwPE9wwXYSgQQ>*WGbU z>Wi$)@_73No_wDxoc8wN%1W!5H{cV2nE(`%2|GcZC~oYibk*g)mAk6KZ7+$^v@HC5pi7LX8Djjyj`NITJWI_WLT z`987~C3ILmbbjG#*ntui8;`+zdP*kl^5g69w}Yt+V=LF9ylLgyXti%%$vI%F-Fvkn zCK_B)V3x-Anl-Dhy81h}!^hd?DIXAS0^twf6U(uco|vc%A?!|Y!G$8pL>ZI}+P6?V z%yr?WXYM&sf%rsNq{?``IF zvTc+cqqWy7&7$2M^hj`*Q;#-1NL!sB2dGpI=iB4R-5U)$SUz8FH^RWdSLmV7_EnO;R<1L3>ZcNwk{Ybg zADh&zv(rSFC^qa@DIKjb+pKw(F|YexmiM<`;2S!~@6Mae31noX#J-*e<5b8EEV}1z zz0pI+C=oMN0Xwe?)5l53vK{uPY)nkYyMKCptu`5ZE92u80E|b?M?Q|%9^c-g7VF>4 z^(XZOIe84l5&LCi+U!RlC8w7q(-|~4~r+xX>aQriumcul9vCS7t;3Ca{9rr#Y&Zsz0P%p7#0`J~sqn1o@OeVS_ zF^VG8CnP1z$=Yq>X4{u+4Vx66@{70W+Jc#c`PusT1)cH_MTv?P{-E)fG=~?ib*tm( zxQZ$nnYb>}0G}n&)%CD5l`mBVlE(*|Q=g4Gn(nTyvUy$JC!p1bRb;-D_#k!D?1q;u z$ZvdU3p7b}FOCClc2u&(B0i08L}?|E9qZKOxzE>kc>3f|>UQnT_VYiWq%gm_)Axm>vHsRmV07 ztzxgkOZIDqNZuCO;rlMBkwvBWUPREFI}=^n6t< z8q(ev@H94*VY-0Y9kIW|z?HtXle&}c^K)J=v+&w~x9@G}^|iZL1R@%Zf!~9sQ9zcd zg84fULmH54^tu>uHXxugep9T~v$XcJOyu~B$BC#^yhJ%)tt;aZfTXK5XTFtxHDzL4rr< zRB!jStzG+m5=+7#-Q3tm_xf1) z#3(75FlSLx!_Cw$`YEWP^k2_&sQ5CV(%1{B)f|SF5}u$L$G}m%n^%$>Rdfs#ddLnI_%>aH4M+?d@8KVE`fqytUZ2&Yy5ov@ zH;E0RW;d%uI>75h>P`klbU%D|*hqN~FnWE~P+yOitFi@&@;g&5j|${0+>gVlNoqXV z&Wq(X2khgBo_M{S<<-@H>ek&4XD@GTh6NgVUZ$r{1!bA|MJ5@WSj;p^ zp<%Dqys>aUy!BcUxf;%@$`)#~>Bm&*upRnv@58`4lJCTu%A;)KG3Y@=u$*`+qq$;}9l1xBQ()uts zHxA7bIo!DdFCdDXy274D+BaYLHvXE2-qm_Ad^1Mqu3c+69%Zj(`WQbCE^&rxg|j$}wBJ5_w!#9J zQk^f=uYYt+*8`>MGdfOJVqWK`QVsa6FiV622CYZ`LtVjV64XiY-4RBomrEVaG=?xp zID}1a5P}0tn6MujZD#H%#85%F^;g3(x|~Vh{Y{KiY-c=l8;y87!eX zS7GU6x@SjlrH-(A^dHaNKR&nfIF~w;$aCO|=KqiPu$$9^O)uqmEa@qa91EYwed;-!-dy zmh+wna9=>PkP3w^N$mW5!tTV`>qSZ)Xb4-~MRs(cH!MWHjG#b?IW`i$KiU2yqi57! zTPufXUojc=A+wqB@uw2EBj3S~6?dhj1YBdhWbYI*g$wdyub${4%Ai z@S{c&09u6$(m1NI=mZqm;HLfr4W zQP90qj#ri81;1;f+oSIrOM22uBrWLlpkHLLl{`8yue(aGxPR#oaL#{^E-w!Yp<6T4i;*|HqIaQ3Gx0?!nz5CfTC5mpQO)b zN*$zzq=9-*Wu0q@CDg;{#(Z0y6pTNIfc@%lJrQ_|b~qGN|rkpwXn7tKUeTwx=U zmgcZD*{zs{A<|=`PiaCifIbJF1uaE?bXG`+;?b^eqNU2$|B6)YH0X{yF5qoL+sO(U zjmrMr4mt?5_;v=steBSW?1`t&-M#(Ze@8P zO=J?Flbwm}1_l|WZ+2xTopzN!8C#7}^Km(Eo*q};`eb)Jp<&lgj&MG$zhyIlZT_Z; z${=k;`#0>7d_o2$!X&NKOA$#6FUgCw>l+HGVy|q&*8+QnPD7Xz{ zmTO1!cU^t_s9P`>{R0W@60l1(na9?~^Pj15{#$kfXml!fpwZZsd$b8mBbbZ~3#=w& zQHY`yPq4#XFABZQ@GUVia7S=#xLu3e^7HplbdsRYV1wkb>W)RTQH%`4P&dV1vgmnP z5$=Y}XnHT-&p_{68u*J3;>cbY#Bejb*|jy(*M2S>r{*G-@!i2eyf<9Vtu)25?qQAP zB%ss$<(sanoLWCOwP%baP`|GwzaNQ)P?5Z&b_d#Hdjr3bmF@(Bd~FY(8vIxGXHIKs z6ui%i*K=o)heDvwh<+F4mQQl(%~9z}B>A%jqs&1F@N<>RoxMH!~%_b)6BNDhJ5Y^z0cr8mpZt=e72sgn9s@f2QfcgR#*Dg z*9N8PKkFS=^E0?jmPzW3gY@#6t^lnlMk>D5_uLX}Te+At&G7^i;3lX5((hLz!e0*) zqOjg-d#*u`&*L%QTJ%O+Tpg|EB3Hm62QGp0F|YRQ?1sjQzE(SBqE+6s_Hv%h(DBAW zrO@ecdU2TAt9gd*O#?I8TfZG7d>c;Ax=%}vd#c5AH+Med)+`H8Qd)Y;b=vu9uh2dr z%5PZwR`+PWy(dU~pEIR@{lN_T2<;>)LudGN*}*Mr8N%Ve)wXzOgQdPb^%TL&#JF1Uo_uj4kK>QNs5h6+ zj;mg=B85@9oZDvtE2NXwyb;Z^zsfc9EJ20_oN#0yNWWeA?4^$? z^?Stplw6rw|IX;J)HC6<+~&FJaiou>G=g9=ZG1kQFImUI))cTAoh~CT-B&K?V_j<- zrM5Gfl0+xm=w2b-8-2xMRJmL-(SU?UNj83&!Jt7NFgE>j3J2FH0Vug&93a>nnX^=- zKHSFX_RUTV=YZA z=&+d;2f<0~YP3^C0JXvM=V!Wl`z)Y_xOjQUI9bthIK`-9^oT0ws4pKY*8k4p>Hy=W z8k+2OpCy)3v4FQvk4@n>?aLmg?z@BCg0DTQc&Sv1gIX(u#qm+2nPrFtJ#=W>xt}X5 zCGDprJcG=`g=B{PeSA%(t)pL#*(I;Oj)#>p{Ap;!*wRIWA3j98u7yMwI?Qtg^r1I?Bh_xxRg){z{k27v##HlMRlGD7I`74b-%X>_ znf#|`LJY=MsIoW!^4OFnvK6VTfHJh9gxy`qG}QzYuJ=+@utsiWeRt9%tt;Yb!aqqg zXwssNe)g2FJU?M&OO*`zgi)4e38SLdTN1Xg$kp~s#*XkG-7>J>fFm6x+~wqZK_AU> zt>d)U-&X`6lI)PMunGqHm12?^Hr;lVrA0dHu;^A?eZ0378>c9x4AtP*fF208o73BE zJ$@eeD_ASkGu1*FaxJXiyKYnWKg~b1`P-}dhJ>} zGwVoq{^-fE$*|tkN0iw@dD?~~@-R3Fzz9_Nj%L4V?7(LIZ7V(f6A5W{rYv`YbzK=x zj5X^I=rHki6!z-4!*S@gC z#3bZ3u}5rBPG(Iz17c1v>T`dO~-L7MO0?Mgt`}_O5yG`B~ z)&)+20s`*9TQBETNPg6aa)n0OG!Xe;YWFKsKLj3On}ca-7C^tDxv6RBJ$n%VvY*{6 z6^e<80g$|Qo3|SrT!fP5ut*p{5Q7lJ7I0o8u*|snV2PM83k4%i+5f6uBuH|xndI)y z;b6H-Zl)6g0~x(+f1}cMGSu?q5;`Ncs=aei))RAiiCyZ+mIo@h&c zvx&lNI8=RZ8(jC;SAta3qF3U0dIh|Z12?OJJUl5eQX}UjOYO{Fp zBAWjjkhQU0ycJeb>2*Fh8l8a*)8$7x+YUDq6EYO=$EyG=4qYHk1i%At3GnbDjF{#DlKJx+ z1obM8dtl!N2ni9C#FT0uQ%fm=D3qZO=Ucs8GGSq1MbiPc2cUkx0k5x`&$8d2VdQoI ztDneSx1$9eA#w8pwvp@eCxbWBJ9`reHX*P}WGsy4Q&t<&t)F&x3Gja`Hn5xwWkilD zx2*M^{(#Y6n^F2`{5`#uaIa;$isj-N*}{~+zo$RGDQ&06^z4GZt9GkAK?$n!3MaqY zudT+}*_p@3u*}R)WE-+BiL^=ESmEKfUo6l%>9809KV|c5^9h(;6_|8?G72e>!(-N+ ztT%q8mYj!b9rdBaMCM+vr(^Z`{knr`@N#V!GRlRj4ddDR$q+_%qB}`)bi&A7T|~0@ z;c@_3Lae&)^G|{!N|)Jb4B#@mR@U#JeAC-)+hBDD*WEAcu}2M`~fn%BQhNJ`Zr5$ZrKwds(QY!4(8nWsHqnwC#4x~_p3ts(jH9T z$(}h-`mw>CvCz30G+o2?&ddWjZsW%$$JOAvI?nc2z+ehkqWFajXeHJ-D8~j@DJ=** zfKLO9y%Q9MWA>SXkl@NjPYs(ms=GxX0jGjouJpSygJPYIcCJy_fT`0o{Ig7>a zR*jUCWro&2I?a&^-Ltq#6&9^&7}Hq7e}qjm50L^o4^l&dp7*u zcI&LALvdKF*4(YVQz^i%?*<(2*WNQpho&k8UZllpmHJI!@q&YctI|t5M}dog_N2PM zm{+=7tRFx=D%Ec~3wj0z$X8+fyudt0k`AyZLgzz*f*_s0 z#gh<`ki`7}l0e-^Pzdr>fCFS&4rnsmc5~R@%zb?B0C`Bz^F(B0{Q+>Lj*gCio-`|L zgTpduC5EcXZ!IUU=PFHSfxyMoe(8YZ;q;@7p{~J^fgG)2+TIl0P<96mZ?r^Ay%vC^ z=bC&0NcJkdt899#enzV89TlS=d*GGCTBIgEVi9M-dKU~Z zp@Gfgprs94ZZK$W?51xq8+0lGN5(3}kFDXp&*2bwr~z2GO8Tv$rY30?pTg-cHEnrE zASG4mcPF#8RdI2Vk;**pGgV4mR5y8MLj8f=!mt0GpsO2EMtZH~wC#_4DH&QE?4k6U zLe&C)ZMhIws(O3Dco-n8WQ3edN$=~Xn0XCnUXVZ0x77Zy^0h-;lHn0&AUY(Ei?Mi1 zJNq7114HmrQ&^ZpoA(8m?JPP$Np)+XpMaceCMVZ88Fw)Zc2Qi)8t94F+_Vq1zgD93 zr02EYi;`H|*t~yF*flxV^;KJA({h#(CAK&{t$*_ldBHaCDJ^~06-)Kx38!B?XxtI@5+jC(KL?m}rwod= zg~M_My9)VS*TGbVPbImKtMO`)$KTvk-S!lmgjCI4lTDEffce?#=FNUp2jFmR>!~22 z+RfMZw&=t7UrcEMg4a8LFMv+M6P60=NyaZ^q*!nS;|JM=MQHw{DvQ+wYCJwZ9#Fjq zg?xDyNKf<{lygM^lMQf6aQGU&bhjO?Nv~M2R$^n)DP{sAW#BjEb9r#HnPvpGVZ7UG z6VvhuAc6wG+0eo&#t7lH5*UiB?_xB8218z}hk)Phx63Xx@ch^~?NIa$)P2cX7(ZZqKa#(Zh?M`qPk5(>z0>*E^R?T&x(Y`obi3Qq; z@J9I(jL_;@4iff4n<7ONRFX3So)Zf;bn4xWe#W66u@NXXWQ2rcb^ESNtN;sJP!jZk z-vdZ~R8}bG_Dq(vEiT9cR+r7aXBd*FCNbdsB9`v%uyb*3Z4G^?th|^Qqa7-}hJCo4 zcSvb}RV4pD*g@IpO3gQn*sPq-Nl94kuU1(iKU~B5-JH?5kC2m< z_Vx5YFXueJ%-+*id+vF<24kxTh%&9to3a2pue`t8y^k7irWT__jEQ@3MVfs%w3wqn zp{hbQFmk*!m~UY(>_d?5o0#Shy#3_FBS6_~G}ByZ7Gpdzy-)xbEK1pP$>Qp~>phNU zSx|qChkk?tUu|q=!sJ?{3R5uQ(vBvVRvmJBF)i3Tva^?h-43_?LYQe=Rl9^%(ao(c zV}>Q7=bHhZl0j<(K5Dl&cW7mWYA#Pt!^g_Yp%xZRTOJI&G>F5P-f34xr)zb-_Ikj; z{3zV+wz!@1BqrgStJi?-Oa>=jA-yr;p)F`q=6_D#{~j70C<(GzjZ@#}bY&wbniK-* zK_I;nvls9V1a}RRuGKYW15MJx#J^=@-V=w~kUS;>!_b8iH9F+z2Ma(2{kB|QS=kk# z=d6y;Y7F7;oWm@?+f{_yV9Gk;vOU~H9nKln!pxV+Wk>kP1!f1l*fDSm4Pz!SH;&u_ z&Z6BAv1H88FPDKHOshvzFUi|cakx*MHmLSXzCRWg@b98wkuB}a%u=tWGxRi*3ua*I ztJy3IbE}(*CitQ zl`j?GgI9$ z_Q_?!su6`=L&$jmEgJWI!pF7{U=VW125$3Y!afNeH5b|?QLd#WB|)yPvK~2~_*Bdig^|-;OJ9A^o7%3vQ`)w<|);2uMO+QViY4nF{44SFX zs9K=gIrg=!galrCWX`a-WB`4uuS1&fxSHO;kr+d0DJ zyS3^J1pb`Kl~(2*E>=MScUDOuvxc*sZ zlNSAuFSgrB_90nw9@we)%(--DD|vci8?wIgdwMt~6Y?xF$S$zLx~4v<&5$d2?{7ff zhdxpGMkYegXE<7AAjKs#M||g*)u<9JpL;pWO_%6PS&yeN^fl+r0l%+bS1m?fD2k2c znO_~neT&nBr8;eNS{&OO&MHzaJIhTVZL>8oK^80L&~SzCJ^{3ifmCMHtT~{WetEcW z3RPujhlQdx7y;26CuKQG#7&wd9Dvjf$@BqaQV^nY#pa_rO#S>8t2*pq*tw#|Av7v< zciVhMW=h!0jXE@sjzCIEVbw;oJ#j=vZPFjLEw(l;7Fjslm^q`(u-z9Q4-YBV?9Ch8 z6wekeszn-`ZU~A2Y`xJ$N#cc#f_|5k zvK60hGDxhIabw$0aIbt%_g?eN(N;wf$B17UX}>K{<&jbPv^g5qE zB_yEzXp@xy8i$nS>3a`@EfNlAYMk=xNc<9St!?IyhBBy)d>3{)xtqhn`V%SaPBpUD z7}`rzH!G_g)Rab!-$^*$0V9(iM~m>WCvv9H4cHk)=>#MMKNtFnK>*FKP(iLHxG!_8 z?QV$Of=kFhQ<9{PK^CJinqB_bO{GRp1?3fHB0jg`jXQ5_+U#PHsn+Zm-IV%E>r6hk z?rGeI(5Lf>Lg7bzMh&@-^y+=J}Xh+aoFe{fiaI^3nyx1OHPhV9pq<4+Py ztX>M(E*?t*2@))z+5K z>kG4I>+Lq)(VR(#;r=dpTH1~gkKS`4E?HmxvZ1VV>o*K1q3rL_c)2%CjiS*bPRDB zqJ*{(k#5HC?r~k4zWt+Y3WM`bqLzpVKwr<015UF5N`vmkr}Ti8&Q@IJZU54Bvi8IbePf3+M4{2)SdT}6ioT0W#`UR2MLxOSUcE+U z@g=<1-OI7Z$({9KR{BNri^1HHSikMPUB-j(m;iRR)m41cn92`U% zV*x}=1|!tR%2n!Wa9&)f3%au2Y9>L-Kn%x9cpc~y!ci+7Md#N7$wrT?d4Lp_f#%P(<#GWOz z3D&)i0OV{CmrzoI=JwJnOv38xbCX$;W8ifZkci687S5ZE#uGPbamQjGOdZP!Vifq2 z#!O^poP#80E*@%%o~OJ~Mo$!k0A zn5m`1Ys)JekMG&5v(+7hl&VOGtgyFHQuo@+j0PVzsQk-(RtLIg9F{2{aEY~@c6Le} zne$ht3WuxoDvDp<_)cq1rxU|MMvK8q`s{4DxxI&NyBI`<>7Gg@R;e3{5xsV5;>siXL{r3pYeG#UOmTSKy% z8E6_Q7kFdm^~>D<@xHF*jtQB<<49h)I1xnYQ{h>J6+R@hezslmg*GGC^Yg7YX23W1 z{yGfe!MO|?#OUo1LddA7O&F#JfQOq$t*AR@csc5sIf!}8DzK#nR0|Qo zmyvV1nhT}55W$08LLe$sw(u#6@Z<$%vBD>m05qQgpvK{Iu@^=&w9*<{h*4|vH5kTI zB#3WS7y=>YJNYcR^=c6k1*%m{AOcTx2a~pi#4@=xg$oeARK|>0(aviW-7OKewLm6| z z-ByrD2`Xdck>6eL`o7j1hVeSjs6+01eB8~277VJQSH&6g*AH)I?(c)u<0v4Pj@#yFaMNEzTNufdj{M71tyr&_CD zKEcpuc4V`n%lPonTaxk|7-79E^lQy!0k2MX(6fEgW0YazU4fN23w@?N=dI+xM?wCr zuug7Nsnf71dSlOz#Zw$mR=J9y7EKTk8OD7*mJv&Uw`bP7xKTQ z)Ra==jxKJ%rz$LYHBJJ$1_Qdt9*uf97RzvzAAkmp3iRXgzhOHmr$LDg(lf~9>D zZH3=?u}}ZIU6+mY_-h+OLzmmDV<9hRxth+iGA*7{KV|enFTu8e4zaJnqxo3%wn2_x zY0^jAA1Vvv3?yTBINWWY_NFMTpZG{V=d8ScxVz)yi(hVS@@?~etyjkvurs>na|Ly@ z;MAYdVBX^X%+Gi9c3UaiJDCygrbWNbS2FXNM>OV%_7N9utT;T-<6(~`8`ZWKR2`y_ zeX)R_<1}Tl+WpK(n>hFvBQa^R*y<)6OxyQb)*sKXKDK}~V{ff=ED0pIq5p{YWy*nY zxoC8flZw?o*%{MaRg1Cies-~?Fq$tlQWMD9l}Ip*9-z6CJFX{)Fd6l(c5?3(Hc`Z9 zGse-H{C1ggZ@%0fNR%q%;A)15lXEp2TzLQN+TBFRK)UfY~@B|6t4 z-N^EuEl2r}DV^B&g%S_}YE3Qy4G%lV0!Qj@xSbBLrYida*d@1a)5hQ4Yj5UB7sxvD z1{U|?ZB?2L4op{OiQ;@ctgUjO)YGHz`udg2Y(Nsk0Ejr?g%TQA43Qr%D`bwBy09bU zMy*H5BXzr-Ud{R6oIiK@C?M5fk7Kb(uaD2U(Co<;VLpb^UH19pog+e6QlN}Tpt;7N z=9q=AXAVEkZV57VtFNVwJe6AX*Ct#mdV_K=ScfwlM#(pQ#t9Mxt*x3V%z7dQcAh!L zAL1zX%g1G?fp8v2dORuA+uN{p7Rz%H3uXW_`6dgY(k9U2*0O$_&9n z=Y4EA$E341KTE$jQvM#7IHzWk66>=zZ*u=i2A0zR)zAmWr4Tqq&d8paK!|8vX4-|g zM2KXd@bTb%d2f`;#JqwRlm0HDfztB5!~ zxr>7xK2PgADQZP4v^M!V&u%s<4NRooO0g5IR(1r?4BZQ3pOC)X82uKv^q~pYWRN`q znvyz@9Qk&AS5jN-2To3L9B+O3Kl-e1piV@1ilbJ-jp230KV0oMI1LjgXjU}epTZ#* z^QSWLdaQ`#8@3&wX9(Hp!byEs0Kf>)|Ui^qnE;xJs33Ac|=e7Q)Z*y|-v?vhUoECK8f&I`uA$3OH( z{O6E13e|S5m9|-Y{Rf9w^K*Qm;ir;?>5nOVufGw%p(z5*0^I~s?O3>^Z@S3%5J8OL z8#VTepA@sEhccVy1_x$`2rGJ>}70^&8C3HyC2;=+*Px^a)PI)7Q@ zhKh;AdnOAs4Tm^(qp%!7BDe7=jSYyqhRHXXzCod@2yc{wa*_rFHPqEFR_io5E}Jmx zhcA5o5$D8JTDcpy!%YZ=hz*7yizDbYGp73+QQ0{OFxVgFa9#)em#o(Xih&XmO7J&E z@GqobAyA8*o~*>bllu!dXv_!wf`mUj9kyn4IYuhM^mJa^GEyNia12;`an8wg$N%Bg zrgK5k&l%z{nr6*k!XWYK=*4K+>CF$Lq6RcJF0}dTARk|OUnJ-2BCv2bn5}=O`qJL^ zP?SJc=V)BRrb626br?$kc7bt*P6NzpdO)EwR!PeU`7?1M1)+q*B20r8et4Zh2i%2w z)^wl}N7gUH!7c#~Z|7WHJEIor$z|nKuGoiR+FxK;)a7-vE=4Aoq%l*885*#j z885I_xw{{u>JaqliHamrtJZJ2Hybc+V~f^>p~Xs-1iDVC?!6arSG{b)t>-B#e>;G6 z!CF9(a1PPCPsjT_yrH`8iAz&J~oADQ+h@-*3`sfHu` z?ilPkT(_nRl*{2(v$g7Xqgo%n{~2FhbSFoL^A>2NpGH!d?L_@ zHYuN8|94q|dG%i)@LBScjU_>jq?ZbWf^jel?Q!|HVO6*1rObM|-xJVyk+gI@*EEZb zmboMl-5@_5P83CCS8CFF$aIRrv)%@U>06?*LqUD{UKf=EjrM!}3j7Lh3nQ`X<29Qg7OxN6CMzT1kP7Mc8>=&KE^Lr9l1Cj+5F5m*&cgQ@J^SBf7Q zG=9$2)geN+`;(mSY1Bi3c4(4=!Udd=Y0(!P5q~V2(S4vlZ;d$ESkMt8i~W`{ylI&$2R?`5eYZMY5aK?#Hf!UDlN zjHRl4S*%WLMjyByJXS?}ugDEsJqN~Yij=6bT0EU-BK{gin)nq}Rm8PFPlo=S(vew4 z-jR>Ke#Iun6JnO9j)3${G} z`Fd@{pkTAX7ajhKFASQs@g1vnC)7SEk|3efAYiiULaAWXgkeC7R#uxXAs=iUaM0j{Co|$bbFM6$5w-ykPjBC^1-ISf7Jh z(7H9v>iiiZf1V9M#obg)NdF#XzZ)%329%AnWDuu2%g^ZtS{1&1=+uAYAkM)VDbBx; zR(Cz@@ikhCLnIz1Eg!Ud#y`CAPE!PJ7&th+gB4y!&Y+5VuMXHYDaF=LQTwol8f4K! zwJA8lNj3c$cAzyZvA|Su8q%|4TA&M>r873$e3K)Ovlf&(H`^g8IhO~p(wXmA$KyZi z{jbl_!-2v$KJ|~^Yvw->R!&g2LigTTqyN1|9>n^)Q^`$W|68};%HW)JjNcyr*X|Gq zp%lOe9u|T9--ZQ$$j5;ls>FcpGVPy=ftDpl2K;|k>GXf3ReqPs*c$wd!eMmHU;Ls! zOa>7s6L6LZf7ONjpYlMe0R>MRYpvLae@7Om0K6DDD&`|Js@UQ`%nbfZsF5jWG(}CK~5I-OGU$ zNj7v*!EF5_(HP?p6WivBZCA&=3;f-ml3pgW&oQg< zp*}cJ7{w60fRly#Cf7MI&@b|yq378mTI`kXXN&*!p$HW0@3D@K9rM2_@qf5`%c!ij zHf)rZZXPB_LL%O@9rMtUBq?ArUKmG^ir|ky3p{@Kp6|9j+5huJpgx@vQD*+PDE;fxt>Am&F6Ju#A75tGQVssV%~ABN z`z+OeUwK0frbrH)mC!q*ZQ*m}t8hu;;hAHU+c`uy(ga*Lr34dkIoNqksfk+ZA#sbf5+PcZV7d0vE%t zFA3f{zkl}s`UOrNRaA(%Cx`A*y#8-e84o`^|Et|zo27lQFb)`^wC%Iw{+l(ON|=%N zI9n&`HB|pB3{#nhg)!O5NAP=4Q7Hae7|=cIn2Ok*cKN>+MhywkBT1NI z$v+l`!rpat!+%#H0wVyPz;0mozxmvMjqg|Bm~5*%9n<#b#xW)un6LQ=_r-sg2sRD~ z^z%WEiam?Fwhal6(~f=;N+beaXj|JGP9hKd*{9f*s4$wE6Acasx7^6bor#92$4$%h ze?NcJ$HvPEB_=6VxS*Qa2QLuO{i+x8e_k5LgYQUqXSMF{`vua)8L+7l`;^cATSz=C zTM<;S%f?YwmZ$#r)MY5n!JYM!*{(V4Je(Kbr z(Po8@|7}Ln$@O6NZ*AB>u>JF&C9~=&i!+VZN(9S7piZ;)jR@F8|DIxENQlQE2%a7z z=H7^2*H{@Fk`M~@`)?J(q415^-^g1~lJq{!A`DS?WWe_STrUix29~r2U>>06h^bFs|zd56bXgmh;sS!PX-r` zv4xNY>>_zH=*OFwzqg*@hePd^zI^;2!8FXNP>UGM=Myf=zsH~2SO_fBFI&3K)PMY} z3jB=a|NFnUM{pTq=I^<~*ZIflW`7B0O240l_sHJ^gVzG;v$QRh|0X3n*~3@4u*~56 z_cMwhdhmvfqvFf{pO>NF!)CapTS@odD2u=VGdvYyNk_roF-2gP@AL$#n&^M3Qt$kaz{EIoz(}-w9t2CEXgR$7}_sgP;8w3>FFE zapvfQ*R21%q<&C6O?iHR_YwOG3HrPG!juH}sRB3f^}mT22p9V>!H)G&&i|NTG8EC^ zJ_``z-~G9X5@0-(Ax#jcMI76*L^ArQ#vfSof4uu(!TXR1aquQ)R*RVhp_FIz( z|J+ny6J{kcIyz5Ul_QC;xU95`tK=!s^)fV{@>U~8i4Dp8p^2n^V@r&fMHZG zl)C2MXXStHs0Cc7SsJh2@01bnUWkMSALoEd(fG5J?PUd{G_&z#>d$*O4FrRXDq@lH z=g?Jw8j8o=tA_i(3!(@j0Pl5TSY;iWfA8mEH{XAlAqL;={(SBf2oJmY|9zR|rVae{ z5DsV*qsE!Jxw&p6i5o1Fe*;D-pz)xYn96|Ra-a0b=ht)^R|@^$SXLz0XL8CGf0gI< z%b8}i5<|Hbx6bKPj^aO6Y2arp5L%P7<8SPY&sr?t`x`J)sO$3ny@AM3ym+`GR4ttH zp8)#FpO))}d(_4HyRy{=v2eO5ZAT^%w*bNUq>gPe#2)eA8jF1mriYUu{`nv4PKE*- zZavC$#XkMx>2g;HVC@zusT?h1AOey_kOvf+|32_(P_Pv2pIUQM>OI zFpky$nx(4wa-Ev_28S5ee2FMd%aKe#eFoX@mZRAQ<|7%rqXPrdg~w!UhJt!}^B|f9 zm0T$ETDz+|lnmyhQAd4E4a!F-p-hc3O|(O+nHwOl0M3JT)?bTFFycU53P_@P_dJWy zu(k1;UT8)G$dIedA_QLl=eo8=oh$xL>=PjJ-VUZPO_pih14j2mp{xtYzpxle{dq9& z(H~1_F__#XW8ACwiYhE724&)KsRO8Y`T=#{<6w^4Zl)5L568fGMx2MG;75%D$fnV8 zWh_tp_WI|82FtneRiHnNY!dYwKBs)dL!f(7bZ|HX*~W}wJOOt%*Gkg@%^-XSL_1JK zW<_4-2}LVpiS{OzJ~8rR{ZFnhB_z?-(UmPF~Z< zPvwa}vLFBvFcA#XU%%Ffg&~)!7GYn-5pk==VBqq(@6Ui-2CH(7Mh+}8Xky|Fkg^h0 z0JO_E`Sk(!cPlBDzn@wl4bE6LJ;DHNq;9&_ihS;7(i6)OAOh@h#KXlc9MPHp5i{Za zVJRNq({ja{FrzLebn=N5L7GAyvJ};Dm8LRvHj_zoO7ShU^ow9pL=ge*wtls_tnY+s zu>#W25AgX!qb6sIV_&EUpnt-etydPJpvVQ0@(&zva$%u6funD$d($639eyjtNpAS~ zkxk)~6`)4)TA6~xSkI|fV6Z^aGZ2Lw0kw`?4A4*?3@huBBP5R`p2V7$p}o9lQ-avfXU~7;|T&TXXIJ?=?Z20J36fO{`9o-G1R!ZJ z)PlvwX4FpK!k$p1n4vkVK^Lk94MR)+=F+X9KGp3BYNuy}9*loSN`XS6$Tvh_6bm(n ztJczsHj&O@M)4?*HjnvOhg8^KFq<)V6NJI6V%|7yjpktZyzl4`jx8|pvymiU=6Nhs zCYOf0cL>)03J)wA<8T{h=}{ZdhsM%-o$u?Abk}|gMZyt#PleMaW+}164s2WQZa=lU zY@uhmfF(gitDN7H*a`$NHjB;Ny}Wj{#5{JLPvxdc)uaj1dxr22PO|>pq(l@&^uD$G zT%boB$7(Qexoj%DVsOSppcGVLs%6V3q2KDp(NZvus6&M;+~57ecv%;4cST2r#$=IB z5hR1vi8k@-v9xh8kYnZYfjpFQnNC`uW9y&_8n_ty2(o9l=jzHbGBScvc&)~uT&O0) z!1l!AejF6>3;KoBY7i`~qL?3*QPbCuGFa3G<=VlfbaDnj5nsNlv>K7! zwa?xftGxF<3eFb=TDvN(pR#sLW zIz!8szJ&E~olUOUiCyMbLWWkaWjpGR_@uu4fR>zSN zccpVypR#Z$Lobc#d>$0ccN0zvbMYBd1cH$;BRIfa*EA;n-stpLFodHA`*HX1lVSg! zR6ZxuMwo&W2#fCaFvjS;wB;6vsinr$fl;pQ>S}-WEM^0|(DSnbQ&Q9lcfUdE_y~ny z*%d{5O+M=IQ?lk+)33HN&%Gt#z1K3|6BcrinP z1|L}ZB)t~B0QXBR4Ej=m0+cf*N6nFFFcJj1hW!_2HV84B2K##)L>JSg>Bt&4M=4Lj zsUdvRxG`)3gdP>Xg#d27e*EULWZ=etqkulvHy5hM<(LT!m2Z)P={o5MUQZk~K#{|u z!jXosc!3uw`z+P&Cm@epJ_-@0>P13g6Yj3p{uc#dP7Fj>LGcUBnE^Xp7ZNlyA2hr_ zVq{m86=+9K;s^yRW3X#dZqvq#y<45ek66Zvbd#^e~IHh?jgXHX1!Z%erb2$v^dM2Fv*_#AnbMgl zzPJo9<0Q1p+0BJ}br7X_^`H#%`vtjhz$`5xp={0-!dofR&>m%Nj(FMQWpMeNo(9Vh zUyWs@BIc?1&%C3P6r48?^|0Xs$kI$M!KOYX1*1XvqJp)?iY9Lt9?x^ooZ5V!pPf*El6aU$lD73dcsR(mK+ zoD48UnqQiPELfW}eG>Dk3>0mA_nH5y0Rx+`JWpx2_h*Sy81cF)WKiP{`r{rQe)nTm zrM5(!9JMKU71+9f&x;KeB(d`qa!wMZmG5ee`1dhHgi^WE*vHnE?zp>L{ZYgSD{Fv$ zJBb@_1&|=-*8?>bgW`?C>h^d{iTz-5KrQ3Wv;)tH!xH+~V1i?V>}xCn=g>Y^i07?< za^S>OyT`|zk(%xy!GOEXxw>CHPor-*%pey#PfjO_=65$Iifr|(Oh3MQj1LFjrZw^< zF;Dgl=ZC=$GC%aAq0UG| z3f1B^KOhO-;6{OkT>@bSJ_mEKOj_68J{a3$qS>iLvp{@E4N4z{r1f8Np|2`L4en02 z*xs_6ckL<^3i~CU7F1e4kDYjvluRv~ABEF`B>X9Zm)y(8p;V_vee!*uB=5;q8h#*` zuU@n3Rx$%qhu?hJS4^M#yRU#=x4iZQ6Cd%ho2x4jQlK&hTpnGOs%bqFOdZMTI5=9- z0a1z4w8)`xp4S^gT0tfHO~E*{p%Pq6l`CUaW+26|R_=|eAtkqoSjNuRX+Uc}JnWDr z`tFh%ivtc*PQh7me;=mOv7xs!x=PF6rK5h523@tfWGHNG}Jpa;ThV+uh|8`RLAzf*5+Uk3&;)f4k{eRi_ z7b~tkwgZ71B%V>GKrRqo;^tzT&TT93>U3kMfl|DcPRSp{8O|Y=nFfJ`+=~#t(9z<* z4><}+P;g_3loduD=z#dzK+svPv$v9(NFC*p!swUEwnW1TA_oP0FK?K2-p*+t8{cO;lKS|9IMlHpP6 z@tCIIxj{e^M|HxXGpc1NkHEGV3Z@>_Jjp;cp?zfY<`ix`n7}= zA>j6w%W6#DXz8m)*?EPIOQ5P@z$y@{PQL#spWXWW?&je7;{NpOyU~2m1C@rNR>^DW%WW0zL>os^Mj_XGBz65n3qZVtm*XcM&P1bBNbW7z1}vhuFdJQIKlaX9;SfA_ySYmvBYsM*$>59a(@F-Uj6wp_%b5~?<} z@cLYxRKyHj;xU<5J@q;f4!Ef*w;I#34h5^|j9&IIW`kG;UjLQ_U` zoLWE{&{V={Y4N=~-=75rCi{klWqS1_F=}JHp2wzx_&;#;^=(K5T-#qRQbuN`^EyC$ ze7qE$`B*}^Bg74R0^bMRrCt;59A?}VRDQMm^49(0huU?_u~jC{m|p;IoN3x)lMl}qYzXiF_F$VdwXnhzcQ9;pb_<-*B!VJfu zC)}y~t@gVSW0Mf|25#>&D!uvMfrL@n97A`r&NEuR5Jq@V|2Mepg$lS0QqoDsqdt~q zl|aK|#MMM5$VU9gSR08>@=QQaP|sna(77kPN3sr(EG8nS8Mtkd#hwqu*U6>1hY|0E zA}vYjjoUCAgBsslTYV+W|bw zN7IXy&!W_yF_RR)nXAOilyJ-;F#U`0Jb6q}Y)PY(4L6AQr0it6rFXp4i~ zMm>wc^`-g)zU=+AtGd@}$M*BI)}p|Qh4i0%C25mB^_V$e+Xk|NDd9eJKV|OHO(AG3 zt6`k+8_jGQ&kLzpWtqzr?Yp_Ei4?f_@yy=R62+&2vv&B+SGze^iO4yLDE5%|X+hBd zO;D1K*Du#=ASui%(f2IR=i%$4dK3>CD^(r^9I+5#+t3hf|5{UQZO^bUAMt416W@X^Sm;zq`n?d!K zKv4UfN!bA!nvLCIXJbgD@w5BhW)z57vp7C;Ez@ggRYg6$c-3EE4@!vHwSoH|ge8#% zxPWib?K2aIU}`O{u&%|3g|scR!A$L{N#l!T46B)w@zkRj0=$?)L((d560;1!DDl?&sEPAsqeTagZ?Xzf zXRotu{_H-cmT>AZ%;>NtZ1|q?pB2makv zH8-Q~>)+qh;6pbVOGpdRBNcsMeY{}ar=h$Rp(yNig6-1BX_0hG*ht6{bl4CgH81J@ zZxDQJG*ot8PXdHiM5YRx<|Nm_@wV5O_BhzO-f3+GGax?x2avIaire=^OVTRt#(&au zRBv?N$mUGtvQ`zNqhx>2PDn-m?0+HR(EwUet>h2Qf;UDjppfz_q) z2T0vBziVWZaZF_pz|nEK;Ubz2Ka9FJUNAmaXQu3;y=7Lh8JZd35kUS; zDHxoUB{+|IzO3~zc&g%QE-L_@O!fu%)-^466&{ahjbsv{p4E-%z&mT>GnD&vu*<+rrP?hu8L7!Flc!1Q$@E*8dm&Yg|TnX;qa3$SZg0CNqPJ5$pR#Tz2 zfWgB|2wK!ARaI!jWtxQHo)~*;F$BSV6MkwRLt%daQ3Lyhk!K9@G4ee1f=Qmmibn>&iw)w2Xvn7Me3-P@yLD2o5}LuD z_1RLj$TBEFBg^6K#VWIdqg~PV31|g;@hwpNq4`B2*&i`s2K8V*>zww`-5ODwYsiT_ zNnE@CW#k}Eo!hL^#|_ctq2GqpR0yO)XobnljE*Ckqy)?@N6x#ogpt>@w+3ZX#+iuS z$_Ls zRxqO}y=`wUkBxtzA$wzJK@-@XZrDD0hTKxxj4eKqju^J1hCwbALJ+0~eU?vY8O7;o zh_Q&^fvBz2lMS!&=xcFgBHp=`xr+!=v(6A3%o)2q`iiy549^imz-ac@6>b!A3zISK zN@7Q!PB^~Ie4SG5dN^qiOHvDydI$)lg^Elq6fQB`pbh*Sp&tr!H7K8FiZ!l^w|0`} za60#5+u|Q4LfH@1Z~b^)^#J{#K$Ot=CWS^ZMLJV6;Be~=LI&kK#c@Xm5=dLt4?Fm@ zJ}9V^jX945|8nRGCN)OqrH0c^t`f1|`ZSKrV}Ow`qVge>PU_(}#X=V?8COG?O@qsV zP)t4Sm`L(h+n9h5T7e=2zCpL{4hdU*G`npQ6z7}6JMxmYpEO`-Ja4;QdPK~!Dc!`67qv?SKJLupWy|MPME~J)N8ch4yBQ}HZm*S{34#zpc;N~P-TIs zvMZI`8#4!?xPL_qK^T)^gJ5c#dl!!oMP3GHAkN_#zR4L5IN#@nI7PBf$K>qC7G;s? z$g@aR6#0pDld?(LH(Gfn@I6=kI(qaL|3| z>nva<7LbQFP}Y9~{*uCe*U7+1=koYx3hKvj`gP)oZ9v|AaM{7d1rB?~xWLUZ4=?-A zKAZ7#I9K_}&dyE%8juKj9)W*_z&;ob31C?~&g-9m(Z|5TGMY86nEPsP6adn}5w2}p z7682qi;KX)ByJHnfZYIKN)F>-f4|!NGeFMY0GcN7GSUA0;BNjed;&ZL5kE1pS@o6r zovh1(;He)=9Y!AwpULz~L}6cDT~+ATFkg#u0h zKp_c@prWKS`jDDUTS7Ro-5rLKXY~k;=o@fB8;<}cSzVs30LaE;(gdiph&hBowGdpM z934o#M=3jf7;VLjVsp;_VVOOLtCa+3q7tvecT|`1e5*bylj;hUf_^YyCUq>jwe;#{ z084TJdDvicNgx*%lLmi-|DhrO2v|J47)}qm047Q5z!4D)4G#~`5vwDRN7Hpi0XNK< zKm$GmRAL@x&^!v~dJ8~v&?MR#exs&Rn_mI?RN}#VIus26y4vQ^MMXr6RJlNUeXp&p zC%Y89p+eCW2Zu_LyLC7cSfeAs8`S8nS3W56)6@m%~lkfqjXp1ZN z)R=AnMLnOZvjxs-6?zRXXBb~0xkWy@#;i-@1|F{r0GW<@V-DIrA!eP`7DJ$0=DX4z z2C8onAu+%2O)i#KCmW!IPZw}wY=w7x!0MM#l|hu{H<#tkAZpp9SYqChM5z_rnDDVo z&4EM=HoXdk3Ipx8qnZ4$Ol*KUO!)JIU*7~9dNzoe+4U`!6(NUS^1F^d(Kbio_9JFveSJM2TnCI@Voi4u-kU*6g+V$Cq)^i!U>uuo(cO1 zAso+x>RAomI&r4z_R{kntjGMo{kKuaXXfWRrmw~ul(Q7Vk|Vx=cya7V6tVBpaeXltkfEPIvt zn#{^%h4sIdX*wGCsth_Mvhtcr&_M!?A1gFxpppEfBIZrmncCc$!Rt_o9z0f_o=+)QA|dcUL{g;Mw(I^>p4nr9atoTP`R3m3k!4g_A!+R=^O|#rJz3>Pc=7v zdAtVv5|q1`{$<~g$%DPzOeY7 zRa6u)#wTTzCDT^8%l>!`=yO&^mCFXc!(4j#)UuqLgDEvuDrqJ?Knd`%W2)``W^KH= zE+NP0hcZ*r-HD*wL)o#7Sr>^{>AIuZ`?-6cwRcyHA5K7I0ZM{LxuuBeIb;*P`35^WFUL4qBL=ENNB95;$!vB#|80NG8Dy#6z$)GZdGp)k_sN{ z?-UhCQyUpsU$R?&7i2K>Wk_jDX7&4)ahLywF1kb(9!1WeCG4BacH(+~tj#2mn&?Jm zDALA-5_wUZ&qi+$tZGhYqWFnWJH>N(@d&w+LJRp)M=XA)qrh6f7CYVSXL@p}3dyTa zk!}QomK~OWEbCstk>b5KIf3V|)jn9;>FW>r{GIbHsWxG`m1te+p-Qy%l@aNHj+Gk$ z`U^1=?7>vN%y;>iR*-QMN;H#?lkee2;3I{=dBY4>EyjSawVNED81gi56l%D3RpMJ| z9oeDCmtu8WnS!I)up)@2N%^lpVIMyJk_~3&YF$P8lqcW~gt-PsL6bm|Dwmq9SYukI zBJO>y(Clc~?4&lBp|v~y#QQq&@w?Ep88YEiN&B8~)n?ZvrU8k`T#-_Pr`Dgbbu^|w zk~xo5XPXD*;tNHU3tnsb(hH-qWAw8&47K01XItTRzH>QFG1SCs!y=J zFF3T=rf@KKzN@sa#MPw+svcaJIib2iXle?jJbmC0NUS-|*f&um|4y@3P6+#x7H)-r zQNUcH)HwMti#R4nUH+sIO9I&2dijDnDQ5RXn0{nuWY{(0y%7?cI22!h*%>%jQRaG_ z|83gfy@7nN$5_J;d9qq=RC4UUi{f#cn}w9n*nFQ(BaO4ZXoQ#Q_Fl$2mORDSLxf^t1*5-P~~mY zK*7JEVIm1E%sQP0P63{2KRm_xl%dfUO zsrR%)nX)Oz=iGNRLK>p^`uVF9A9OWpOhT9xB5twcUzhr_NkGm2pb1K$6o2Az(ZFUD z@8JX_VPUFoEE`^u2L}^f?7tC`jz_C`aclT7o9}FSt}bJ1lnG?n`uThr#SkOp|LT3F z&F5TbAC0T%eIa!>lgi>mf3zWA#EwI4{2i_~3mKJ=1+^$W8-5x_9JvM+C>P+dfbA2} z7A5I3#*SqXjI@x!l%nvs!a`X$krF+n>jPeX@+Y+~Zj|1u%bpO>9YWj^EjTju@yKEM zrvCU(zMm{~+cP(__t5gX=z_g60Gf=t4V}i zm7P5X3Ycb-eb&OiRrqWp-Q>VgDMDdGa*6`=8h}h(XJbd_oqSr5XK)bzRDtvbz1GW> z5|v5421p8|RDagx;$;RLQsvV+y@m=QAv%u(M)T{ms92(jkRwN!#L?u~R z8+(HX!Er&Qf%0LDE*?rfz%r$lPin9sdQ-A0DH14SKA5FPeU!~%`Mgzl>Iw^u z3L-u>xUWBO4jYIM%PirBSG069)zyxOSH1W_$%g+WndnsxZ-Os&aX=IrhI^GGui@BN zG2{pm7^*)pDhur;$}bjzq+UtV&@ zy$yPnM!#;vcN2NvxrCo$)zr>Ch= zrgGhhHQM2D?Kqg>MHMIBb4v}p4(mHcZ@ADAmR!)}O4~&vY%=mdU&P7 zBqQ#KMlJn!pcF)QIkaSsdN;e=K!NQQjV~?s#^(IzP+AtE^*|gPbCig04WZq|kHsy@ z&Zi9}*q21O*lbd=5_PoMmZfl*+qtgR))y}s*p=TKJc_CQgi~{Or6)%1wWX^P1VfIH z0XH$2qB`e_&8%UoIh0~dq~l4P3AcO{m}{)77q?q&dzihbx<;$I)L#7!XW=q#w(H$@;$_0Vb2bT?M^rG5~ai(JAP3J0{qFeS-g>sx=! z7H~5^eIcWIFsNe%HJ9bIX{iG*7FoU#FFosl`CHFv!1iS;o^FmH2u^FltoVlCl}Td=znO zJC!Tx1j4S2DIdBXk{!clwJ)Avo;H$@g!N$sh5^fS>pNfTz4}8T*rQ!y4zc*4z%ROd zqwle8r1B6LXDJx#xvUHCZZ|p+3#302YU5+7G=x62lZhg~5BWSO@cjbGa!|Ba1h_o7 zazyHC*R-}3m8um6+`VdNO^fM7^6V5r0!OAVX#BAL=#e>?b0|88V$)*fS_Owe-N{jr zNS&KRXS*p6icR`j=HaRlP@|G_Gy2urt*)yuX^Ca9=CDIQ>EE9ya(OxZyiHZSrrpn^ zMUtKEMsl4+$9bmO0*Sl9@XPfX|JvTK!|pK8qQUek(Aq=1quFl>u$$v*vm7-TO0~Z^ zRbsB1cey^B{qZB61L9-^RL8@?fhn`hy%AU0phd!WWo2JIm|r_j!8amU zl~i{qM0(phVVVH5K5%QTI<&XSNTngI0$aVT4mB+zqu_}iso{vm$FNG}=^eBE=yQ@h z)wx(NU9TJxowR>KAF`ES9MJNd^%0JFRi~u9c1VWQhhbeDFSev3)832THg<(AuYAcE zYe9VWYYl5>wkLw`JqSvlBwYTU*k&v`j3G`0St?rVXCdG)Jt&aw4$vw?SetcA3TS_f zw%~a^n%zqReWOEonNo69gT_OC5t42;_!g8+RMHfpVhrCPcuhENso`0&jnLbIL>mgj z*ssO893Kbq(PA$3)2i`}lCSn95uOck(~Zt%xS>xmdWI@?r3tkpRTct0k#;kGrqSs{CZu%u=m$Pp5C9b6Gapr zq=VMo<^KwVOhZH}&JW7C?7cxZ@4{ip)XAWcsuPuj7_9~kn;ji=FGSd-W>1M&8mtOj4tb{w*W$tMLo$&k_+p|8Ka z-Ays;_#1lzaJp%)dj_9M@3gP$=_bVCP?g&j@=;gg3{uA4tK4Ap%rAPTR$Hsw>7yZ` z^Lk{SrZ&rOA?yBM>NIT#bjiaRS1904P(GEJkkg!fvFq`sNSSDe#63_8(@7kB)FxvK zZ%9iZf1IQh$79dPZAZ|fSmlk)E(J5C9nvMpGsc|}M6gB|=J%K4)Bvvf#TQ**cLhMF zA%RR5z*1gq1@RX7ky%-b;FwrvBlaA?OMs;bkT-*RyIEj!pGvP{IuK8)+c#{CZ#(18 zrh!bWkuX(3T>Sj@1x{y(ky36B?LM359+y1CsqLXwtT{c}y_N<;od#p&i z%7%xBGzM4Y1_J6G!tp}cHh|#J%6-dIrYtXxIbnMDoOCfC9ve7t+#jSFC3e<>7$D%d zFe00DR;p?-?fj*AED5(BBcFXqw(}d(r_{OEbV_fp*~jjmdi*et>6eUMjg$cdsMQh+ zGwZ2N_~Y23mi-6_G@Wz>>JKRekuB98teh9jG)KHK75YuiIJ63weh=sTDzkxbRKg@q z3%PY_fF`c9={I81Jg}x;E;a+OfgaWq4MUyo9e~ps01oGWd#zjxP{*T_6PvNzF2Fc` z|3)j2QDLRodpB9SOmho^bWo+SQn5P}xaT!HpO|m-$5r_NZBX6UcU$!8B`?!hJpfE_ zvlM_?&TXK7l1yLa03tTOysc>V!53|j;(F;ju+(8V{o(WezWYaQj#9OEu}#h<8|>w8 zeWsU$(e@XJmSA)8#I+RCmFH%g^cS0@8|(-VS7E*?{hX@kITvk$06z{{9Cq>x*eA-h zw70URTe1|Pfl>0cGwt!4&cw>vHAvp<93tO9c1c%c*^%$*CR**oYmd?^@8x8^`}Nt5 zaxI`#l7WUhy%BKlr3dz<@>B!E5)}l-od@$MY=*tNjiY2jXTt8~;W0yFH1dumk{$kT zNi;3~fXR9ec*#h54XI^nk6Ok`Q9z`X(+_>tM-hAPl(ekB-!u?DNyM;5`O3D=HuA-w zd?w(jJC~~q*rm~@7BsT6-I`qqx7R{UNu?5gsC-!HRwp@cgm z25UgPvOcLDe#lQGnD_y_kzfL`j+$Twe*i2}09XPZGT^>`NNjZ!1Zn_p0NCsz050=P zfiy^>KA}#qK9&+0H>Gg5{`vu!fCD=F(PWt(J{#FwCG;Dk4qq&@TKOD9 zdfk0UsXEf3gHe00bK@)uoZUG^!zta(%jRcjzp2c5UF$&oj2urYYg?1H{ z@i4%J0YUJwRIJD~fIS^$0Pq@z&z23LQ&Li@AgP)Cg1>7GVe`LHYjLkg=R2;KvMv5K z9)(T@KbTli&P~Y|V)30k|e`l2}_GUN5*cn z6OJo*{Bq>A$c|}n3TZ&luw&R_^~0-F!{vk0n;1D44Ck25_d2BDpk#p)=={w0@~ATi zcI7LQ0chF1u+mR|QRTDNk5<`r!yY!41K7h?rRf=Uh3xS5_?b$}m$OxTWPJQLpr|t4rZV$V5U^o;t^W8_C8rp6*N)^Cc zmyqXkToy@T)PRA7eFd8X*BXQNWO&&bvzd;vHux$^x5SI1)8!F{Tvyizwc>R)7GbIu zpN+wYP7#H7YT@KOPJ7*9D{(|_S?pa^UZ)RkB4JR74c*LjflU_9VRv_Oo@|F87}I`X z+iy3yL~TcWh87?yv~m=hk}TOApre6ttUvKiiCoyfoX0*tEn*SyZ7HD59tS!&Ta5vJ zKG6(E`CPNa6O{KL!(dk}*Ok)d)OtUXeSi zNk9B~wE2a`Y`_%%1ELbSAfiL-o6}9d+nXz94}ca4fkVcxxt*^GFBciHnyW*cHQT{z zRsYy-ye@;wq@EXif4s)@?3o@0VQ?5_GAGy?-e5(F=zyLEtdW#Fphr15AvvtOYz{LR zG@pUy$L>@`Ov@42bOZzhZ14b8rzRm`6kyeoLaVK{cC*z$vl6LOqLI`>ZOjMl`~65S zomP?Pa<`P_S@?8kQkBH=;!~u6yYxF4a#6+fciJ|*s$YDssTbQ>R{V(tdW`!ZrI6v= zBOpq^QmhAH?vQv$_Ue#P#}@~%0Reo{VXl?|W{eST*{l*U^4~nMG!-K-^%w1+8Vh1~ z@7$$~Hlai)YAvNpCV%=0(J3*d(56E7K(CGHwBblDk&lClfI@S+%WV&{Q+_MaDId6k z1lTQX&sNNbx*x1_YHDhWm3K5WWZoRpxqTZv$-wzfqrx>2|so-;G@Qa z43|i!m<}sDIhw7JtdN-CYTM>0)A;yyMvvf4zhA3GsB|W)y{{V_2Cz{Nzqc!bZ0;9B zU6r?&Bc#IwYWU(;rW)_*e|A3dsOk`&!Vn*ZyBwJd|4#pcO_6I z>kbgKB%@u41Zoc&owDi%37iF<%cfWMJ9Kcr;gnnwOtqcRU31rxRb#N>JFq3oSeYZxq8qO6kxNNpr}n$KOGqO){g#dn5lV_$Yif zLlNFcUj>-J{IK_dwIBi>?~Ny7m{nL}eF?~@y0a@0ed|SZD(vVHO5PWhhf>f(uy$~O zX89CRhvV|*ZP+;Yx8up>*C`5%QcJ>yNU0fw)PVrT9nKrk)l;vgbOb!GkV#!MkD4SSJ+t8bIdN`rnUHSHpY^+4 z_eM?pg;`^hEqCUeY-4?%)*=#8c+0C4jc+7p!B+H3sN?Cxv-=YbA^7M#W6@IEhQzNJ zq)9hyvK6}Wt0;6PV*K}CrL7MQ`JI*nztOR;C$_T5T0Vf*gDJIk40x^$uwt zjM83=rlO|TJ$_%6=&`_STAcRr<00rvpy1L&ox&lYvjrc8Cxqc0y;dnzrK!u8T+yu1 z75%pQkvJa`FbGOehEC< zb=*8dj|R=Y7-9)Ax5A{p&iR`A| zLXpJhqf0A)J;%r8SCH!}Wzg&eSpw0RtdOnWBMQF$sgT@aU0`)j`4BL{NCVTBsp%1U%UkR zPHU_SOG`QVJYubzT2LQgLd5W;mKq##Fzapu^a)5i+&z(_p!Pwz5~!;oM0YuxA?W1{ z`j~(ry0p&TZOv1nP%ab7Ct3-&m#G$PiNZ&XEUsiG`rP*h6zUT|^!DoW1py@#gV%bc zdUc`QTRh@c#vGy7teI&q7m`mlQ@qb&v)x_RlaH|H*9NLf!rYA3DhQIIcKAetu*s&o zn{eJY`d=amsXv?u!Vy#Gw42~~kg zfs}W4Qw3oBOU+iI+j+Wcfz~`w$wc>2 z=nCH-;WUA4e(97>M)7>>CJApXM!+6^#1O3BS1o>N#iCcQKv>LvysA>1Z{>stA-(DC z=2%wW?lmlG9g!gYg8U5eKEB}SAiO(#1F6BBo#64Kj*l{(`pJ~y;r$>iJyG0~ozXwt zM58;QszlRL)@d7LTs7A9#04Z#%P!ke`oDiHD38lN=OGx{wS~DBoDnLTg^HTghF;4| z`0{1-il(_~MZr?UCylO$Hbba#mfvF0TwP&2X%WuA#^RE<*+}a*&}J~45>Q#XyVa7* z(uLG{M#3?bSDR2cnwIKawB+MO5b-8e)I%pE2MbdhFSvQ6?S4xo;z^W3P5ZHg!5^KI zhBs6+l2u4FS(Mr#M_`bQ8s~Y*{$iLQH+=I~p26BoP)!#IgjpGhPk4`a;snV z`RAm?XvjgWP0Haw0>nf(9_N#a6&KM*`Pu51U*CO1nvc+_nR?3S`aG$(NiIcbDD56o zfW^*aeSdK;!Z1rX0EZJ7$@fLG$Hj1Rsm?@U9T5-7`Q>p;rDYc1icxVaQ@lUGZ1%^E z0^EXC+`qiN9n70=fCVhA2w$3qfG*b^P8#{t%~Ca9;QyT5aZl=WHj))ct0+~dpu+}; z8X3E!C8in--s%mZ=G3}g`hw%imHMs z61DV1+c2!nC^J}c#bsjl5D3?@IS zVa!&mJ75qF?72+{y#?JnX#x}AhWoqyNE+=iXnc1e|TF zfR5OB^j(ds`(z2{3U31c;U!8xDKl=zLIa)B*-uPlPI*lvTYvOh19!nrR}MS&$Zkiy z+`vr#Gnr<8@#n4nar8H;9qp>Cy{c>d6E*JuuVSJTdLjZt^((D2^o*LrVls}(Ot#P~ z$A-0a>ZgKy0)y@gEtE??tI1h-EG-Xoap+#X7)kd2IW;mM^nO=Zq}fC3y@np6Cdq}! zMBp8zc*e1?&Zq8`JXy8RBhMj?PwH+>T-8g={gOMjog5IDXnhqZZH@`m(1|o)jDBuY z-=RL<=)_sUWM3Eb!i;_om%S;e&3BHn&~kBhbESugc7BcqGzV~a*uVUYV@!}F*4+5L zP6iiS66)R(2z-0zaY#tWS?KKHaDK9(ho5%Lq`5!ESWx$CS0guPsjjp0;)qowU+vvz zpYzK)Yc*1`W>7`!Odl#$oLn+bmh&I&eAW8;?m{lHQ)-XiJqGvl&T=9O8R8(NmF*2- zy^V(A=OCgF%6a%F|FQZt%cc&$Kf7XTc3Q&%xTVANht1`#3T4zBLqyYOAkj-a{BmZV zj-0R3;&d~<#XZZ_?I$*xXi~QWy(IGd_qGh6cLL71RRqgJY0k#&M2bM%uKpm z)7#^ua><{bT89P)r2UDYX*Kl$C_d2ry zbQ0C@AS3T*IN`~rkd)3WC|`c#S}6Kl98nU{PQH!}v+W6yzRgdsV1-~y$jPzG^O4rj zdTEx8$?ycxlHFHGW8Ogzvg+Hd2$cZ?GlEnJWlGP>74b&fX;uQ|ykQAwk}y07w1Dw( z-yxU3jtMTli_uY+6i50O$B>{X3qE`d*)S!R8^UfTBx&(87jWcQZ2lY%2p8v}`ck*- zP<#CHI~5#s?B@FLzRco6Z|vt~nw;eo-^#GR6HN$T?2mih?&{=IuVXVK z-&uAkhD`aI7=;j=KXlE^(}4D5_8_ibqlrx~htg!zM_pG#L&wxJc;q8_ z1WfWn`>MuFFJ5B54d;}4=FOAbYw5X$Fssj!SB#U-Oy06*0-=jID#4$hZ#X;#s+tiX zcqvk_j`5NNmih8o0+N}oQE|Z{v4;3Fe9xX~x$UR|aewwSx{l@t{RBX9uYYN<=y(a_ z49BOZkZf2`tuA!jmtOgCV;67wqsrgLyLaYt9c-B^e&QflP;i< zTOWS|r0C;ywiulao`;4X3uQMPeg+2OC;pP@6x?5{sZ%M4-kb7TZh+z%OgnVijI~Q2 zgWVm=JLTay=CLDqbb*mvu4Z}EIVWIRQMPP9jMt8`D@ zrD|4Os8+2z&oNX`@bx0+0CTN7xU5~Vn-n+mWeS9n+$~zy^OLb!^hy^D?zFUsS8tPs{VB!sfV-)AL{WTlK`rouow zP+H$aBmu&4zdC_;mTj0TlEvGGL=YZ2Q7no`urseUE5&5fqmjWy_ylK#{JKpnt8_G{ z@caMi?yUl%>fU%^x?2H}8oEJRdO(nnPHB~HX&hiEK|(?rNeO9?7(zl6l$NfcJBIG& zZ1k_b-*eA(&J( zMzw>*a_*PAoG+!NX?Fv$ZO1=-2@HaLp??WE(abA5KO1p#KJ(psQ3?+cwD$szlF%L} zGq3R2QWN-{05L*9+<=4d3t4&bE-b$T>*@1_cMLaE&D2ohMNtyEm#7VP9qzoJ*fsD1 z@kZ;#U-3CjL$sIfa;KpNl6)Y-jVh`uxWX#z=q!k1kaU0cL9U-!Ep6)8gNcvB=;w%jE0`>b}hY%;A5xureTAZn3v%H?DY zb-8w-C$PPdo)!-D8&{m(T2rx$FtBEpl9KxFskO!~fq}}()iWl&##BecE)m51Rg2#~ zhT&ACT{#PAIoYBajPkOHWJd3k8QXG-`kfYsmg}pF`FJ2_;xR0b%%(1GL08&%{B$VB zSv~uybL(yyjuk3V%f~eIJsc^6wyM`TG?#|)K0G|-&Y#e`R^n>INpzyv!+^j<*0uhW z82Qx?Z=REq?TOBHhthi23BRU!chi2Le%g8YrHLOoI$PmpSNS0xi8}o~G~&?Eu5ABs zqcS3LGKP*11^yV?MoFA?GdPkFtf2){G!fa~X3-NK#XQkR$H!sa?y3l_43sA#-U|%` zabp2pRM>6A(tF;;q#X7%UM*n@j*PfBjwnNouSQNpGI#@%1iVc*%UpHBiug>HTMK7r zkWbd4fi2Yak(XcZm#$~uO+`sl>U3Xww&N;o5Ua=Cv=lsd$nPVSVdqo6p6UCeR#((F zq;J)Ku^`bX=DGQ$b8xXKiOwGL;Hc;t`ft>17^(7MDer^HkqpSCmX+shFhi<08Nttil)f*dy&M_*NmNJZ?(Sh4c&=Eq;GZVa#W`JQO)&RW??O@ zo8~sFOg*+LydsL~3)>Cp<=rqZwj|Q$x=g~Px{7bI44NRW2Jbf}fE=JStIVcuc3p7| zpkJJbZA?aD!3bH!#z>s^Ni+CKm2PLBZY=M&1G-s#?_*xr%SKX5qpL=tsnP)j&?8}hTS?H(xKniD#A|`SkL9tov zzVOUL>0mXfrmwHDC#FBcs@U1uUuz9->@>L*!6u9(-wr>G>EMNEa2E&Jl{byLcxLVM zDaL1yOuB{{gkgsn$eH*5bYrm60VBTdf7Om^4b3ldYsbwZ;Ih1}(jr-OsE~zhJHb5I z+GE&YqLN!9kxxY#E6i=<*3A>W&8@S2YU<#midz9k=EAm~)z6+(u8ku|p;yqhF$*8n zvRKQ;g@KA5VW)f@M>ywNoUzdEL@6V38V6FUd5Hu#+D7#qg5NET!0QuoWOrk6c<7Do zc*^OlH!a)EA0ADXyXzR-$z6}z3%M4%@G0v=^&_$>D{ov#;hW&Msm!?3zZb@ZqMbLJ{0Nfn!=sutq13J z8TPZ3jQVF@J-u0!Bk=2GF%7SK!p|>`$)U$y)gBGd^!)2ibjNR((x$jS*=O30jnpC% zB}r+P`b}{q4iNGKRovS}l!gctcJ5(m?gi~b?2h2L`#?bTd$Qv5<0k9fIhs;Kga+5z z{1N-+)q^YZLj%n zBSr1W6Pq(vL5XE596v1e)_$~{vnt0VSim)i_!uJqYn(| zvc@!=>krGB!n&a!+57q!+(!a0&J~pD6m;ktGp$=_$g%$(EmVJYtWi99G#pJLZ-U8tXW2??XX)+rBb(>GyG+A z+9HGQf_@8naP%NFdqkgWVZ+O!aHrNC`1+i@wlLkSRt;8W?FfuAHYL3~^?4*o;jFh^ zMY{PY`o2NR;=5I|SMcr0^10P>ghb^13!lhBmUPiGGCP>k`G8a6L~}8${fUWt1TFlW zS>DAZ*lvB@kNbd2#7Oopfdh;H-7Q(5lO?`Y{PJV%`O0tumK@1|YYB~GYh(Ni z4Nz7Bm&4(Ji-F0^iz|XsYl#f4KFNl!6jqIrt{M4HPa7UTk|Z**yDrGtZhELc6R$+SZR)Vv2MOiUz(`p`9y)nbV&(s z9CL3Rwsah`USDdhCgm5%(P!{S^xpS^!-?(SGZF-_IXdk=W>JLAX5dTgF#f5xI*&R2 z({X2KU)kI4-a=5;665@51Whb2kn3P2ak-nh{78k2nlJO^;TI!GQ9WA=xh#n}_+Du+ zlVH}?{4o)aZrtN&B^{u2*5igoCZiH9W;*$k*cX+`G1&>%F+WC%&6^~JtY8kh<;k$8 zh^JRB+TY|Kfw`RoaG6wPMd;zz!<<^FB)u$Ch9-iD@=6*@3_==CJ3WVuL7ZX_A_G;c zh+6vPqG0fu#HY-`oOd*}OA_D>bq*K~CXXjk?CD_hXIQQn&>lH^R+`Lz3x=-NP1i^k zcGM^ioSUIy5-2=+@}o2FeHmXl=h6^(XLcEjQ$bNqA(qzY$|?4H=ryy=Y%)!6B*P3y z4}B+@@~2Y#V0lDRO48}fcf_9MafIZeMoM1dd<=e&$!K57q6lxV zRoQv$jnkK!cj%&bA4~VKK-C=N!aJ4|r|vdZd>gvps~t$A$eUcy8uUMy@2laaE}=s_%X<@Y|W%^c&0U)Ax3Ym5vIaY zdu^NYIJ(0G*ZWUAQia579U4BV(SE;*&2GBs;y6)Fhd&zF7te4G!e~04a_uGETd0|o zG-x=6PD6v=a8Ht|wP8_88N^_q|T>~7adT9z~3D{Oi_ZiZXEYCl|n zFi*>RvHv9N%0VSH1L(@F~?p(7Vwv^o1O*QLM)IDl!GKcBOj0Q#LXnv+i zQRy@IdY=2J#};&~!VI^bCkFpf1ewax3;Su&o323l?GDty*v7<(pwSwO4P zft?5@*%A~+_OzDyt7~e0n&<@9ByL5J?JMX?Ps$H(X9(o;p3Gdq)Rg7EW+5g!w$ij$ z6p8`$)Tie`oOg1qNqwa6>(zt|Mtw{+K_GO8Uu+FXF?T+D{G@yE4)fa-$FX2s^7sCV zeBtV|0z}j#Fli+vTf0sXF|oQ7xL(UT(aRS4 zhOomJ5G|JIW%-YA#?8fQWt$^XI|2zQ4u$p{E%B4cLyL}Vy=L@qIG8+M;D*z5Te0YH zGK3$I<^xg~wrxn3EzM?9OI?{n2zl46?jAJLSMt^^s?I{o^D{W>WDu2uB_GK(N%=(7 zt!H7^kqnXdUtL40%9BOUTJH7LIZIArm~k=+Q}3@5*}WmNJX{+L1NkfqI2)HWL(W5q zotjTy(B7ORB-+4`Sr&_mIW-z~cl?sXuMQZZ50Ba-zW^}=lm<0E9&MrA`uelbGoUeQ zW{QZ%x~8v`waE_xs=CIt&rZ9J&?euDDeODk_46TO?ycV9V>6z!rtq3{UKexmF!&r= zU?%=ysoj3B%VwGg!*ajv=J+bCne}1}8TE6Q!f=sp86MRP-rY0Z$q(A#q}2P2$ff&T zYxri}z zd?i!))Hw)FJ((t&pXa3p zkAMRyYa7U%m&M;W3rnBvzfr&BlHKbLiq|HD1*vq6U!$AbV!7z-F;Ar|dYi>nRVvK# ziHf-P*aVYJ9>I3p{nFvhb#;jfTrSSzwC38NO!o8R*k$lbC;jJo>l_6t2AO^?pKP^c{xep9++Zu4R=+Mr^hYEYjdwIMC62_im65Q zRzi-Kh+y(Ajc?WN2!Z-a-WZi{$#N0rwpT^Yi=84OtvpR`i(Ls%_V@4hjk-A<*Ey>J zbKf1iu@&g)2VGlQ$kB*UO3F(7427xRsJ=eKV9!|2BrgKzfpBAtfvYKbNBEj>CNrD-B6Ulz7N<>%Eh`t&Rgo9Vogt3qyFL6D3ks?ow=g zNq|@%2`R|97cDud5lV-<;GoDWg1ER;Mp58LrcvR##)FPrXO)q!vvrms=u}bJ_$HbX z@liZw)3fC!gsx&sP7wD-^G#A zFd8`D5cx5bCH*wKW~pd8*$#bvXd5gt7N-GYEEh8E%BlHWd{qJgCApF;Tci4Odc+qd zL05dI2QcS3DxktIaQazZ9mPIxIp#8C6!2VK=>mN<*_{MxAlL(~lB-4CD|_^os04)d zIABVw+Y!*zoaPEGp38gtv&NaeANhv1n=fd#!cT6JgJ;AgVx8kd{FP-a7>SzibEr%& z8|l6u`CvWb58<9>b2V(p#*gLUDt0g*H4&fyDvWLjM!m>5+L1DVoFtnSv9h+dg}F{v ziwtR27d;?i>Y z634zvyc3B@w6xeML*dI3mOibnmmz%f<0SOh2q+mTSH_P)KvmF`jANaxr2SM^@w`CL zxU`2r_YKZXf7){S9ObUa(AfF!RtHK*S54j zqp!if?PG;iY9WjJdTTchIOCDlTS=_OQ}1Ltvjo2E-f#sWBUrHL|>pITxd}5f!YZWabekWILz(Tl6;hW58j0OGXU#f?@{@DtEH z?5|MEERx&ORJ9wiZB2E$zBn2)B;U3+lC(?Qbfgq^$}pNTI=NGm_{lzi!OSx z2gCvp(3C8keA;W~?1-So5iM!tsj;umvQl$(0U`m5$+=>=WGgDo!61Qv092ml%l)YE zj;wx2B;{BFTVSH)BU7?c67CJ9>JVkITP{FEf&M&bna+C%9&7Y@evA?zp*AjiBn5~? z>qM?nCMbD0<8qH4VOVJLW^LG@#KRQ>XDojo4)!sw0Qne9;a6P~Ef%f~Rf3=9B{R@O zw;qE<^rk!}vw?b!CkW5hWL^WX?-uRN_~G$nQwTvRlW+!0MnTJLM82xTM+3onp3~E- z6IgrqeWmjWt1nevdu?BX_{$pig9PogdqgdmRHaU)Ttz)IpgT?b6}t_`TeXcRGilg& z&Iu_k2{E3NG9w4P#OlC)^wRJ1yf(=PzEC(;K%M~>7zu?}8SE$;h&CUmUrLcWP*+L& zDTJMemZUCfjzQG02ISLsLCy?p7j5_#lPCsD8^VoT6eEX+ZQ0nkL_Mk@*2njT%k*{z zbc$&x1gv9UbgZtj_2s#@^ZK>)5P6gVz(Rl1R*4#Kh~mts^#5D_if zE|iG&po0~Jgp4H{?a%a9nWzg0k<)ibt?sPlONdE|1r+a)$@d_XYGWzg@aaTSD zm8@#w?+>Ix58tXwp@EPBPFd7}GI8HuV7aE@jFA`Q9>=9{Swx}a70o(x(b6FWpwYEF zu0Tt%4#7sgwO~^4Tn@}`c?g7q?{~W0fc7O4#p?Y_eq`CwRI4}5zu^_{PE0m6{6o;f z6oLIHCj(pOrTNEPJlla6%DSWEKzCP)$=&Vg(yFMow#`j_Ldk-jCt6yB*E@a$WH>^W zT~CDj{0;|z*;oW;mly?G4(TB1_51CtAeDVc6i~~K^(*o(LgZEE*1fki{HNm7#JFxc zh|eOLHhzlfEC={rxJX^@Zk-(f!FTm$@al;2rsHT-jI^VR+0;?XD4Nm-_)Q^rytJgU zdI4>Bm$`*27 z5p7e@6081cc*H}hNiVCR`smk$!is{>Em3bPR}?|_{;3%yHAB;dIOt8?*@PeM89Itc z@oPCdmUgYYrS;-1Dp_#Y5xbs>~QM>(y#h(Cg3(uaQ{1JRo(#)3E!> z_|6*bfs{fu1>wV)gB4YkFI#HoB2F`L$hgiZz#N8z_Ko3z=R#XZ?|T@qmC&{j353W= z*^ggKclZu__|7O^X=JdRfc?Kq1uiW#x7 z7_k6P4^(zrd(J#2QE~R#`zS6=m&MIS3D7n$SE{3A0%2Fs;|b|-qyFNgpU`>-!x+BN z!rSjs#Mhw8r=MJ^UrsK3!&N)P><~;*F{sgAxLWQm5`&NZ^!%VND852f&>F5iiVml+bdj8{ULU%-%zvVrr~?iY@NP)P_}-StwCHT%*v_pJHNCSK4Qq zwK}nJV#n)dJX$%rrM7~$bK~7VU%SZ=gNK=i-T0s0S~F27Mu3yYraFK77`A2ak-KAA z7aDoK8U;vq7 z+A0&^1PYw}h~U|_6Q?sn3 zOi2W;7Z+8E`YOY0$xWbVQdT{m>ir1D2P*onpb6$IY{&*`TBQ^eznAcy9Q@f~Qkk9^Jv2YKjqeZWb~Wwe&5l6}1CqRj3;Ki64rkew6`!@W zI`#Q+Q{fp00#h3>fdJ6|okXlkh4MRTgzAlO^U>(&5dt%k;!gUCH9876qkn<>d~QF} zZ+pQ+d6cg_0NG-p6n^~t!2z@Ca7Gm~mx1gDuJ``_V%X2L5IDPvr?7`1OnR_$$3x*Orw%Dk0V(HWbY8YW9@)(xd*5UoglK8)UoaVM}rE7yq|@pz#0I=BYw=#Gt3>Cdo>XkOO$b77#gWL31Ge{h-7wv z|DrkIlrhGSkwp^oM*)aP41mSZ^!n`lUqEn61QH4|7RVOWpN{e`|6q^@aLLT7Y>n+N zkN&_PL*YozIzoQy{g&@gYT(j%3J2u375~N-e}HkMWV9Yt_QRqVOe91okN!LX5&|S= z<~l>)j~FH>RVme_M~PHt`um1ZEac1K$7pt(x{#Tv!pyhcni5VfdLEp|nUcTe3E;gk z9cYa}wVW_<=#On76ce74+{u;K*I-Zu*6Ekv(A=1(aX+o66=ojFN6&(O|wr3i5|4F1J9YBNaG;#>~FOmIX zRUHRlU$Z5f^)CyzMhvo@7U4O>`S)nnUEt9?e>sg`K5)B0M?&@_0Ww`&P1Z>NJql(6 zWc~y>BKtp@*}iq3)kbaQ^8L}WF}3g2D#d^A&andjk47=^G5-Ab)M8JN?=kBC2n1i= z*ucCrM^7);XtLcI>Yt|x7^3nmIHWzm)xra` zN`+i5%%GfN+lI;kdzTtnwhRuQy@6Y#ku(rU z-{k)d5A@CQFNkas$!Y&?$n_`Nt|rs=qK0 zLQ#SJ;2Z^|JXb)X@y1_LyU3(;vbmJ>`**~0MhWY2H7+vN=`BvUJOkFi+7JI@4>WjN95U!@|U8L0tsIA_Za`b#|k1CqN-_wzsMuFC*hjGe^%d1bbI3*yMDiDJMm zIuV$pkkAe@E3`4QYqaZF@qSr^v+7*OyUT~Pbge<)G?JD}kSa^!^S_uHAPbPIk~={l zIhy-T2g*U*C~oyvTK;x`V2t@mNvGf40zP?(tQ@cz^!GNbZHqPSpc=-RjgN^c7{27!8 zyJq??>rQfdt{52jw=e%3cA z#m!FizOIrgtrXP7l9rc)hvVUWF+5sYS`iluh>-eYnct5CzeMj_ySX_Dfx(`ho*bUs z98ec4FsGoPAow8{n2QSp^Z>bfJGwpf0y(9xG z(fju=2m0&JuX(!JK>i-d(e?MTfCYjt{|C&;@euqMZQxOn%T^(EOIN79`z3iTM;kXW zE|H&||6}vtqy2hH3hLnGV(ID%JSN8b`;mXP{m1?)E;g3H`YwkN|4i_|-{Ute5%49u{=vRqd-Jmu*c>rD5%6CdEr$1Uu#5o% zLjvQ;<42lanCmDUKa%#DPUpDT7sSN+xXp)5RPVzc`H)NBdL(g6Rl?7jHSpFg%J%4Y zv{A_#vF9yKAogYT=2?p1mCN3jkIWjjMo@4sJ)FNEB*8_BJ3~?P z&abT?ENx`l`{YEl(#F{I)%_90!QZ`uTK#YTfJ3dmKfWAH7zdF+jjq)P~?6BVE1aq!-lMW68z( zi+O*DAK=|e9$$N6_lHYAi3fFKU4?MGQN#Qffe$(W0b>&{Li1lNxVlbC`><;C&B(twlLTJS z`mN#yWcgm&d0CIIVT=9X82BUPKP&*XQGuf)ss6NF&U{KTC^yp4nPU0sKSj~& zQk0oZ{5zum40owkj&y*MlO+W!|5L|;m;oL2-Wj%9|EG$~k^vg>zex7|-uy@A{R-#= z%m&rq-oe7ES)f{|&KODr()}>o8hk|tg3MELUF=y=4NCew_N|y!Xv^6F%5!F8rsZOJ zASZ>}syBfxY1%2v|6m+ugG{aFFm4iXUmaRrwqDRIH*R)Y8C=Xhi4_3o9L@wn)4z07 zfeq`NX5jv|BQrBC8u`kHPifP!Ft2*Gx)GoA{}?fV65JE$zas%WD|Y%rD)ghs>5n$M z(K24Heaae-jR`{Rbss_J@1H689Sf@0$E(<|V8wb9kA51J*+tW~$Bo1>(thwR!>l>JJ~*Q%b*~8t z2#jj%QwASBdK4}ar5JEOs8y8Iv|~MU$47q7$F;%f+cTMyFZ40MmUL-Zr2}k{*dY}N zJojt%*#{=K;1-6biQZdz!=#kzh-wf7#lGN5V%K}u=MhCn{y_cnjh?vvTg$9iUuA+? z*~bm#uRZ&{{|C5{_XGzcyLiO&s{Ooo7l-eqCbCnD`Hcz4#!!pu15N69xw+dXL)-H=D7Y9hb2{jBd^5uwr>bv~NYDyiXIKXB%=4IbnBkU~v=|?9 zBt6hz0we$}rx-D30-WgPg6|UKvdH0kg9;(|^+Xs_SI}-gpmTTC#rTW#W+*Z-mk%OtqJVk4H z!VW6$cEi<)FeMEJ>##AXDY+}5&r8T$`8pvAjwxV7|Mf`hfL72^m zlr5idhdjlUcVR4Lba&D$?ktdo79{h^I)}CSS^_)Gq?r7+`t{<2$QRSD7pJ=_bL@bA zbH}hcWTL;kriZ|v(S*8ESm`W&Z;vQFkxA8x@8^gB)LSzpTPjq47Xc&VTrH^2cx9&6 z%me3hoU$g+K(NY-ob4f#IE>JZrZ7Fltff?&swQ;aQ9rvPpwc%mAw4}a#7~5`+sRFq zHP}MIYd8UmKV$%jwjE*tF+X&{x^iV-FXOcsATAmzqL!N<%ImqzA&PcX2{RSuosuDV z6pYmxEktCi{?aBR?Xfb^Vq`phasX-Iv=4sI_+!jGCWUGm?%$YK#WS2kPzGyN$h^bc6a#fzQ3w9JnT(s0srv{f6=Ns zb;oBZ!_!TU+s3E625z#Zg0*06hFE!0Zdm{B$wSvl1?bsGL&Hg#NlQQln{7z6Ub{#U zJn9bTC%-4abk2!sC6yYmMkuNp&!6)_dSFhH5yVlS&=#9XCIJIj&SwEbLNZm@r7E$n z#16UIN+F0;3i;78BP6|HWE?g=8P5ur#TQeHRi*A8hF>R;1TksJ~gB}5tMd5rYZs<5%b(kSGXNhc96J(%OH*EVW}9dgLsm)FN~Vv?h+C4 zJy=KPtE7Pw`WNplDxgH|^Ah?M{QE8Et@~mCom|V|1?g!<2l%h$Jn~47n2MDknj%3Rr1y-(cmK-omUzd%KeRB43}+kveTFb_X|{CEt5XsQ|Q z9`Kdsa6+H;tAS32vaWVW6_f@-?vT1iq`DuJn6-y@Of!dnAIc*OV`@;)d`x?M{Z=yL z8$10*4+n?D<%f41qLyzZ>&r#t!9&J^8{pzb3%DY>LJbwcZ z!BxYs$Ncfb(>9n=5~#G0XpPEQ|ErCIwgPmIJcKA&k3W&8%i0H_KZfpn$(zN4g)0a6 zN}2n8tv*?0$8fV-y5e%kPo9>GJt-Raz`g-TzL>v z7wl92QROC+$$L2>(E7}Wr_Uvc%=hqy?}=eFle3N-+U*|mY^Td*rLP7&q-WJ&DWE77 z^G7c$^KIY~tCSwy)Vm1iH%ym$y1Rurw}rE&X2))eV2;ATssO?WvowaKbU>t1+p5Gw zHBv^BSo175#ZkwDs0Q>|*|rf6~X>*L)ee*OL}ONVNU8VEzr?_WWmggOz6 zQ3K48nj0ROu}~^HdaZq;x&O<6Vp2*?;_;S^gDsTLY)ad-X{r{Ql|rKyRP=3ah^{MbWnFjQ*qygou_=;Be4J?SP{S<+@57< zlWLIaxi>uf@p)7TPS=2s^lqLpil-#unvZo}g_qPCDQQAsq@&?TRPV&hSNo4bp%4q( z?f27Pd$0iAzVxBwT(CyY?vTE4+nmzNQoEbef0CEUm}5!bp@8bjb*R{E$EboJZ25|1 zpWl5QHLuDsnYqbtqp`L`W+e$HRyiA0vN$I4*) zy$UkSRW(lZkh%heyYFy*R)8&ajenZPWO3XSX zH`6o^p#YOLx3(^Dr+9yy2ZcW`4)+imkfS=d#vPg?jY# zLFp@UvR(!82G%rN6olYUYw+>unhC`8AIppF8RLHv!^%^*ixf_*xuig*59XX-`T*2(^ zO;n>@j9I8+qp@wJ!U=_va78)-aLn;iSlXShMdT+dNh+cW~tuj zwL0{EkuKW-f%#&qmZA!HV37umq0(nM;6k_?%bOk34^x!nhD;bHGr!TblxxiXPf(}x zhJ+b{M)@QFXZnbjF5nCd7fMJqxD=zWUZC`0bKoV7a%dqACv?10dh0`jf2*HR6*7)6 zrGd@?;8#c0Cldda((&w|HU-fD{X=?|7f9bTBXOHy-{$+A4&;SOk1Wg_HCFmBL)KQt zOC+a`B@zSc>!aDBCPo9H{U`zNjysWoBePs{vLS$C-|P4-`L`r!Z2&Na5wVtdKSVfC zC^uBYE^zuit3u10Mv0@|52Oo}GSX8p!lB8Tt=CGsSPCQ+kT{u3#L8*Ob8OyCIuT@` z4e0hf&;5>Pt$`%7)KwR_a_)ddFvIe_7UdGw#e=cHBK|7s#pZ9wO@fQqRHx&;4`;pL z!$M<0^x0c%juO;54F3H)ClzW_ae8UG&udU3Fzz#4Yt6B->j@)K~T|A7Ynf>>ua zu_%h-T_@V>b~4DAZvTOq{sF9dlCubvl-IUoNr4k`{6ZCt|DtlJ6x6y7S984&Ai4O# zt;Eu-z4w-~c*oYG1_6XDMe3Ka21wTRVHpn$3>+TErxOA{y=JjqCw=NGH4J3550rR~ zm13*8LxATuYxM5Quh5fr_AB6eWcuw>2>%n|stdtTpg?`+>c9R+!7sgw|1L(>+5_Ua zlJO6r$G}tpkm23=;=Zvz*xluNTR~TGd#3)2?EeEy2#(Jb@wx;+so8FMKRBK0+SmDX zfJ5>iwory~=B-3buIcO_2_pm~Y}1K!8OYsC=>%)+CfctI@qeGEm@7Hgd)^&MLpu0j z#DC)|^Ixe2_MbAGn8X0cPOt^XEjYKm^zlnIBL333y@Nwv3uF_Z0VOHa2?sj|${#0# zWsO{}MO^CJtTvXhaZhsnFm^UKF0E4^_Q8$23%3$h;=9xWa#Q9uk5^C!R|f_ZuJ=qG ztoCzW%69NP$F0?c_C=bnXZN|quHHJTZ4IeTyU5rcE&d>vifc!7scNm`xPEn{e7$*y);3?__faT3Ma*SB zu7Cv$1}8*Dhd21IB}yeEyKa;4;*k6%n+)LKp+-(GFPT53M4MZ_g*-c<%&lQJfLw)? zqW9_zM5F0{Swe~L*t%WGUc$DQHjSF)R*kdyRwuRHojV1jud?=6ZhPJHlJmqVq9X0S#u0iVN$;EpU|#p?zeY!)wsw=WMB{S7HgH&w5^G z2-o%`6zeVaJU6Hjf%(=td*q)DW!LRQ>7PFSg-06$*geYb>4YrsGcKmJ%ze#Av)E(x z$G1gP;}S^i`w4)F=eXMuZ2ia-eXb9yyGuR2IIObMwD10KYb1ri;o6~fcOoS@hhK{Uzg80|MLl$Pv9K*PP|!4JaC~Q> z(e0qb1wB$Yx3Pg*4Bnu4X)~TS>w}3UB>>@bPw~OGdJky%=sPUDen|u+P##o=$}TU-L#)1 zb6*?Tg|?Kc${%}2kZ19@6>O@ki3gl6ot@B3H~Y@cf9(*32>JM31SmP_HNSJ5;WH^a z`WD)%m@;ir$*`ZnmCAy=m*MT!J(&Bv<)l}jyU)CnwM8a^tXw(y?c6=Mk%{1rGJkHsYS8Mr%CcvLBx<7C!lfSU9Nw zQT*luaMYzBeU+VEjG`1CEh!u<7^q2Li&6`j?=Ag&ccXBuD1n%!>FAyI4OTMS)6?D5 zPvLg+Hdt5IYV52;eJr-7mGH^$3PO8R7v6B?Zn_HBnTIkhAJ~tX5=^>z(6e$E^ZRWI z(w9D|Z|z9|XNi|5wSe`AW9gnRA_CHcM+NStbLXS=pdB}wBT*_!9|aNot2@7r@Zb|# zASXo=D~JskpxAp?mCuj!4>V6Vma_I8sA8C^cSzXytXDNr(tOT8O#Sl-@CNlemtGPe z?@QS|q0bN#s2g1#7&+AvKeM<7u~m9wA6{~w{yxbkZ*Si;4TmE2IzMka>0E9gYF|vw z7Y>+hO~5C=#^Y#DtuC3ZZ+I5THe=ua7vR1bf)PC4teUF?>^?08-W@vCH;$;x_2F(I zk&vf8dd2Q`{+&JkAbpH%^oNHhf=(DVmg)Y zD>!o$u1*APuMgyuqW6)}R7C{>4l`RV1_mEqJ{|E3b<3`_Q_L8kllGaQsgw)B zo8ST@CO`VlH=dbnz2DA~(-z=jY-WH!KgC5!B8>w+Q@z%@h~OplI3Fssp_h42h+8o9 z_7NVqJjeHt(0{csvDw$o^E38sX4`pbHtiu5(K3DU7XOnCE?&FDB4eW!FF34AP03~n z?NV*KA~@vQ5jELj84*)ne()6RZEtkNz@%@1YEYQ5g zd9L@K@FFZLHOw`2tDpUMCbP~HI1&$g6BB1DXNTzQ+d-4hjmN{?%kPasK(+p7tMYiq5seY zHf~-^MDJEU!A5Ymzyh%64S`4B^1&@4=!K+Ezl&xmP?gSzU(Q+2cvavBx0Nr-8Pg6k z#A)#nh4?On7c(p6#C&#V z8DFw{H9NSx{NkoY3>T99O+`+#o`NrBrl61J+RbY0cUdhhDOOB zAZ5P%GR+vlpRcn3xc+PB?-TFH{^_{_DZTH21Q0LDfPR|5KOe1pKr}wdVuSn3GX8Fs zOUDS-`#x20S)}sI++3bkV?dS}Ldi%#clqP5=2y3AA1b6%R{uiU{y4jnz+m<{@9F-G z^Yi4Obq1#V$~EWTGc!#Cj!TDlo)`b5ip!u3Bomz-ha`VK`RBtP7_2HP?&ZG*0~QTH z1iexEe@_bd7UTd7R--m}>mPFkERg_!(0#5~!~fTMKLBw=51;(qe-B0sXl|kazwN)* zTLTO>F7rg{UxWRFxpGBV=i`+E8$SOrj~~kwjPUk;@FGIl^l3OyDHCz&&Z%)|XM5ep z=F)uNxzmYV!Nw-;!6XQf1`@Qm`v>3qihWrX=WwT7G0RC5a@JqP5#LRIz-rJil&LZ8 zN~Ihmp?({eU|-(WNcqzlNT_6M#arRseMkMy*^RAyKf9j^$Jqef$N6E=)Gp%6p9L?= zh;Y5U2`Y-8CUQ+MJ`n~u!gCdXO$r5E(*s9Ls~Y9mWRD?)f5kVmV)zVx9qVGAk;qFn z9Lm$ae_E>Vo0wrxe=a|E9t2E%xL}@=#H(CcrtuF;B(N?;E$A^3VDUV#!arB?m1RiI z`2EF4N7`0(8U8w4w|~{rh!_ByP*pCTbLm(7wZZ(iyi9|~$E!L$H}rjf%=S|9m(>Sf zG5RBCKu22T;2%FI*>tGGqv4^A@mn*20Vf9<&IdtPz8cYPA0}5rO-_G&6D1V$-X+U= z_%swL#d^GwZx>EpUg^2D7$de?VK)kuF$%okL8N(Z4K5gob`}B#9ut!yPf6I?;b3+6 zM<>nA2f816tg_A)`zw=@bn+C(*|dsA>vtz9xC8>NOR66X{#kpFFg^)b%%?B2O6Df= z{WeII)_i4Yen(xWY*8`Nh%PCUa&o9HNxZs^wCzIbic`CO}xdbmHh++uGim z4nqH}=N2|iK;1!Wu(9_ps@irT zX3cutPx`U%VW#i*SKljcQV2?ga+oyi&GOH{ShQBTs}!A_CZ1t)iyi2~b&Lx$`AV~1 zXMrXQ4C}E*%eTzPG|UjGZNtTZj14y^)ZJHhs`g`3wDD`9vK!+_de6;02-EdGA~`?P zJOS2+56z3U&q{P3JxbXdT%lXQ!C7kX+E%l=`q6fG8Xpx6pMCq$c1yYOSvpZt z(Ti|F9+$O5+m3my!noZG&+`c%+mRAcyHRTY{oz%hMq`jJFp#Fbw!Ep*dUc4Is%Urc z_Eln<8>>32GS^fPFJOckNf!9*q8Nw~OHZX@t?R4=#R`ZTq`5AP7p(b*ySZGQkBp3qq zTo=$PpRNVBG`)TFT#}9s6B2-RyV{O?tadB+Dw(o=yPv;5`tSnZcD(YrjOj?e%CIjd zUWhSXvOzVgO^+38U8Qjj7(O;uI+>nioGR|?k=B^mZ1MG$KV>^1RYO55;CZtqYgmNO z82h^qgb}&BEZ{ZRX^_Z4unteCt0Kr%6dtm!)~wtij%&06GK)#&t|tej;iPN1N|Z2{ zg_y;Gu&}kY(LS(Nk&ly;GB=V=t73yzk{7M;d1;&dfld}?xCNisPv z&{$e?Tbbri`;L5dI}keMwd1>0s#ZZQx>(euW8%P}0g2S_w-wb=yk~T(gve;D_1WKE zZ4x?exd6faPqI=NK}zdW$IJwDu8ZG51_8CchZH$i`b7DQ120UfBR^=DzH(m+$Y?co znDSb$=w!ma1~-B&Gy9`YU3M2iIp6!lL$5Pv6+K-+w&aY|I0zS>-sOd((QBph%l&U3 zDcnOhJ`q`?M6AEs0I-R252HXUrBoO8vFe3coYNcru z7s=(4Ch*#DNqee4=wQ*~Qpi`XTIxmR6EM5U-G20j8M-xKZoJSXI9bi+|6?|UIqP(@ zD*>0vWuGlGkA#HRL1@2E9LyS5s8ilrpk_zLe$-}d609*?G&dZ|q=Z-NV1OPqIb- z$5yU*v|c5qkq z%E1G8qE(Tf66#$idF*v{1&?Ua zrT0C!Gx!__yt)*4+Vw`ZyOVHDrg}{DI0mGFLSPf`#R!*izJGFCx7Ja3P0eJcr6%W< zKZ` zwYXGiX{YCDI7Bl3DP-m@b5vwxKVHZBIN>Fqjp4D? zl=~Jn@D%arLH3B-n`B}zTtKHH@qX)47WnWJKIxVa%N)G2$$JjJXUMhsHpzV8=*XtN z1kvpGb{t-+R8I5MMzTB!7vdw8U_JES$7Y`>k1nFoY@h_h8ZO{4(ORUHwrt>DZM%)& zpDZyJRcHjWGF!^e+~w7`&S?i~#LkbG%Gh)`1WL?%GDR=uzXl?F>=@_c7&T@B8c|DG zhrYWAJ!N0XpLOA_&xymRx#k~br0$-}VuH(1fh4f%hLQ6^(X-~VdMZYkU6#}?vx>3z zLV_(?BjEdIP>1%qbhw4j7BH>5?TUdECbBUv*`B^&k%_J$ev-12)u>ORbf(24jR)OI z&bV%VKy!MS^t${>exOx;o%39xOJt6`fWzvS*U5zQ-G}8rWPA}9+p<0S@&roGrgI${ z@q8^LMHDu}@?P=xIUK?ZgyDM*e7P^;!%6Woh4x=PdY)*Tsx}jzv-vnbJC*W7qMMxE zllLznCGKtl7I2=$t{cV(H@&S4?k>ZYbMXBtv-KBDatVdJ1=nd@)@8mt3CFs4@KE7% zkcn^UcD7Vw;OTZ&{r*&agxmU2M-XPz;l>FHci48=mKQpmRIH5<@^X^xiVx6VxHJ07 z&(Cjl$mV3D7P>WFMOVi4x3zwvZ@N0PvD&if93B>yk_gmBv>S~O*|1BZ2}j+(Y?68SjTcL`|aE0 zhvn{T>gegl!;;N8^wFFIn$TGXF3n=g>YZWINsBmr~m!5*k z@bOQUZZe4C3Cc#h`8Xw3801V0C_gWYRz~$B+X7CVV<1aC4F)yoss(xZxhX2Td^h!O zr(US-Q*e7oKmOc9wW4qm4V*|la!H^xB?EtJ+KxIB_G-JsIUJf2-spxsjT0;Dmkw<& z%>l9I(-(GKOr;ilixVAnr=m#iwF>Oa{M)A#6TgbVo8mC_?h0s!t3U?P3YE~jU#GOR z<%F?%H&u16{L34h!eJzAiF&(6+*X^FHM>X^-@TpeP|1=MWu%bW`6&f)og>l)--1ak z*q7Jb<9H|JfyT7E1W;}R(%&1Jrq*vfI+_#hN+j6d-v=rbtMi(;EW3=eC8=_n7C%yZ z&%XkqujSk}A(_x?QAvQ`?_Mf+U+A_fHxh2C>F*!>Sfu6pph&GJkKh^*9%(Re+YFK+hL=BfrY58cy4D_G zsogO}%|Kg&?xGs|igmUq9pnm{DfZdTUFBJWne=z~oo4B;Tw@=}qZcawjstq+w~HVx zD(l#q2pcgdx&k<2$SNyF#5n)=(#;0rvb!P|h*1+YLFJF#mNc~wX#IR4wIKTU)5>1gHt@3V-y|(ftr}O14*LL#>${hcb&}%mXhNg&}(0k1L>~o&edb!s*L4$cJ`p}aByYzbFz`r%=kgcBU><7Q$(vFdxcR2#ffpf#8YdmO^E~!z zGvbSGc-Q&ZeWlt zHU#W-3v@{YDP<$b`*wEw9AMn@{n_17_XujtJGp%9VcT4pSw4_dEbe`}Os;$KWmv`) z&^0$9P5;&pZiRCMZ=S zll;Z5esO5%jDYiZ`3ARu@yc9Rf%j%U4M_mx3!5A+=I3S0Jt+w%p(sr*vg+#^ks*#7 z*)16kp6dkLrFnr=HGAH~>dR1^Z5NKw3kWDl1icZxL7HM6{=`}T6J=!n{I@o8(i6dw ziulYL$pMRucgU+{cL0aBZ1X-+)HgLfa>KdRqo5-E(q%jySN-)9S74pn1U5F;2By-UhI9HT5^BGBj&w&^B3hsA!v91zw`U{sP zhxJU=XV`1eHhT%p?y;xpp6qlZ-Y_{ReK|lw@EgiiW)`~}nMgQoMf0htlr=qciY@eJ zgRvoB)!#h&i93C!ciLY>WbQ61O~Z}UQq)QUK0eFhcT8vY?=ew!fb{6PAN}|?-8^SQ zcaRO{bG+AJKmLkMCsOK|+rq2GxEUfH(ZNBX(i_w0DbDGSPF2n*nh&pk!%zmkc-m%M zWaw>yYH%i{rNQJU@6V%EN>%h;uP7&tS-HlC^5BIin&)F?rrn%B_f(N@z*jDos2(?8 zFO+LUbNizSQKDnzCR&Ym-)FpM1OP`^8F{lb;C04*kORgvYgIsKx?C6tV*}S<`&0FMTQS9@a3*5WwpY;9IE61a;i2)~GLE z#-BcQ-&vwT)>Ux6w~|j1^Ej@Ae67h4(&F$vI9wfebuXCNm|EFb;Jrw(;>vsF?W2As z83K#17=4BverSl-V@uBRVJkPuP*iw<2*7^A*;uuEvbWxk9pg+|i9YD{QXFqylUeNH zDDiFbM7>?W0r++Bc3uz+K)kbhSV{Nq!=9Dth*DjS^NN&bcHfb*MzgglS zW&%H@S?XgG;qG-=>4*|*Io;_#KO3c|m)c$MrRRa^I`t5?_90Re1eH>+h2Rkwz(sOv z4~vJ34-M*^%yt)ZB_&~?k72{bEmKX${p}Iw4>Yt92?`OQVH~^K(v<`8^8$m#ME7OW z>B$kdE)tY5KU|O7j`KH|_!&I=iA-pl|FhaJIP^Oa?sZ(2RKeXr@e9o*sQVC@H1JvqU!;LZ_^{CEN1jfutE%+zT-4_f=mWpm{_KeZVklGV1-pk*>Lt7KqO zFPrmIDo=UVkp?O%Blpvz3QB%zxR+X}`UyH<>ccmDQZ`oq-4PJgAjm-pkKECqVXyq; zuoyv~#Ie#}#%;Byewgrpggu3^yPcBXt%Hppy4oLVHwtgCR_holJkcu7V@v|7+edAm zEOhSYKC&5vwr5Mp1UFmtoi}+xgX^x;*G}2yFUUkZe0GX3&g6@uXWsQ zyBThVb~M?Trb4^XsLp7c z8hH)H?weGD^6fFBrAUu3Z*wJ1cUGNVfqFiD&39T~Tc~S`ln(X>$3_Q6JD0j4h?g&4 z_RiEo$Fl-C1ie&0j*Tg$!2yiXKZVODg-(k#Asx6ZG@#krDuG%8$_fhA^G-hm_)A-M z(Cy~GlLa~M?H`Q1pK37ggn4nD6Ntl}J^_M_>hifxg1gI`8G614BI(>#A__E!+pm8) z4y~-SM=vczWqxIwFdMJJKB(GZBlG38=wu1~Z_2%vET+KW(0kXqzMrem;yCc>+v5q# zfiIkynZ*X5B9kUI+St9%CwLullj$FRa6R5ZM~8cdFL$Qdn-{OsS??Q5fZ(N5PZ@h=_z=rY~QNeMS5TM@(j2-;#HzB>le-bx`X9` znAOpX6WohS!w4Y$*+6RNdcwPV06@t6pWR?&${(m-(uHNn9D47p*~nQ|LbN}%{(kJK5o1zaIi!vSZ!Oo|1uqK)+? zEqd*W)>qiQy*f=Q)oqKOVCsnXF^~r=W zW+`|2!Tg(sLi^LLmOfN%pLLUJN2Erk*Y|C+_Ps$v3u~qls)yW&7ttPts*h@en(NKl zBV3p6h9Hlb>-YNqybIvC*)X^3hwupp0(|J)*9l~ z;`3ob?$v+%YahK{b*=- z#ul9*XUqKU1CLmzSXFTOGM$uJ(rBDy=^c^4vj(#JwhB^qUbh>aBwa`tZKl_Ms{6^g0C-@Uw1kxiHSbE0*Jz(^dF zGeB9}Z2NPovdpiE90r?jr^KekIQSp^e5C?VCG7Zo=u7Q$%Y|whAFQi}g4@h1(Xv0;2WHMFaWG z*9x*du-64~RpHe^Z39Op9f4)c`Qm^tEP9c0Oo6n}6yC=l9#!u!#`jU05i|$|Qk@bZ`07}c`Arj%lG7o0p}6DGHa@Az!|vF> zMfUQWA*oYRZsZAgUFAeu-);*ki>iSudZAHTTLDd*=2dI5btSQVaD$gYec+9m$6t<7pTz;;tl`OcMhzN(nu+IC$ zV|s7pIr4nXh~9Yv5Wkbz_6VyoV?-i{(dJuP8tBclCN5{6U*#Yl)LMxrbBSZ^j(RQIg(1J+5~C%@Rz!08PHu6@ftIbl&egB{(dd?2MhiE*}I1blY^y7 zMw}!+`1Wo^T1UX}dhvnf1oHa^KzvnbD1Hh?LuGdw=Ly9vLAXKb6`H-z}NfuA|Hd#j0to*HkB2 znAP?vZ)r>dvd%185mZZE#>d-vc+efQ2$R$9+h?pJcq6cB{go(bkd#kE(1 zdNPm||SJeu)Z~1ytI0!WwIVQ*=={u-DjcrM#g=Oob`QfWHTANYSWUs zsdl48us^tYes%o8FEbhR958!VWCTttl5peQeen*LLT-}FESHEZ zEd%Xa>%|@g;N=4=@ef=-eS%?!br=g;DJ#9z>Y{LM`@r{O%x5*87{GET{l0%Tf5U`* zbi7}nxuWakRZPLX>c5K^{vwC^o{I%WWVOsGKWW#m&B-Z_rV;m;>u4hfud3Rb%*0Ug z`DL3)=R&6&a-|w_OP_rEx8(+3rvdcoc1rtBif^OaN7QOJigde!oxn#b)pghR)R zX&9LQ?1`wPVe9Ta})0hMzk4ohW&@!99&8 z;K+N+*#E@KJE1T0Z2O(GNr^7!L#?8*YM~^y{96jg_0OL9^rYp-aU0Z*C?JG+Gr26g zzrJCVlDsCi6ZVM@QSaV-TX^9*-XMc+pL{~N)z^1%S}%b2L%SAS&MIGEeX>rhk(LJU0UJVHMmEmJJi0Nc!nm1bqRC>z&TZhQIlSqgf6 z`$V3`H_WDLy2;&VEhUG@)Vd>luvTgyUSbkB8^;(v60kNkUgx=)k-&xnyo6&mMqEFU zW&3da=n_^5lBgsG&NO?Sn84{_MO26MUD=zj_)PA;;y&vWn4ib%vLr(i9ziCuliRwJ zikjX-Llr}lD*AGr=SPtxSZh_j-&RjsXM4K7w!AjC zYgq-6l9F25nyg*#6Hfx_+)eG*^OQ_@?MC2=6wN)ctO@eHsK(KPH13D0hxHV}dPVUM zNQEiz38Qj4RHS3{ratgOtD1=Mh9i@X2lM%BZd6cf>1$Y=k#lIOk~^PxylrVwKI}Wb7e4J>XfUuh z^NfN!6{qUz)nx;=JNq9kFcn%0X;lwDr2Gyz7{q}J$azmC3gBfyw6RlHe?`dv2&Kvm zH8!_jIX&C&;RHQCJ)8gfI8s|BzL(3z;xL?4mfzMwv!!al@s*(Oq4Gq7`&PZH-W4p4 znWn;HHoG~9VbaQ$T%V6-w$byiZ=h2R`RylZQuy`(FVCFm!z!r=01n2z;dtdlv+Bu&ojJN- z*%}p=P>0!KT$NXI);|)_;IELz_EPq-^(aB95?w#X3<@OpmcXC&0+!4u!u7k#;e~x`}^mdb6xxF{riWD>tQjUXU%7fImZ}t z-1p6HGr~x9V`qe%*Wv}K`OvAusT;iZP_o>@X0))tuY7yFBuJxFG=Q$txHB`$#B#YE zYi)S&)W$PUW!O5XJ4+g-RFS{bS7xRdzd8CPf;tKFN4!cQ0jg9FRy)O(L8JBMklTIS zE#|U(Iq@BB=Vyp1PekAof{qxwjytkXb@F3$;sS1VzaDPwV!gvXksBep6EhDYwJ%YSwqN)OncK({y0>{r{+_hnaTXTr)4JXyW*_yX=qiUvLB9HLfj4d$F*Lt z5k%u@=5VB&`aRCWh^x1{M1SPXz>Jx!dg4RnSGz%jC2C}yrDaNr4*C?&_d;oTkbu$5 zv3(jjr^VTae7izoQ^K|PGlzEP1l_qqJZI3zm&Kj;=M!Rdx1G+ZZte}(ASnHWc8Eal z{4@w!G@gJU!jVCEDEQ5q)3*r@OIk^8aB})pD!Q!Lx5D=M-a#RyiBG^NR5u_{DZymv zQ*z&$B)l1#5%@s)okLpvEu@ZjYdu3zB2FqqzBN`@`MUsvlxwFI_{n)X> zlP|?X?l)R?yaVeMpHFJbu^Zy^)00tT-hQVl5T-WLR<5`b&-C-p0!_C7MrY+Jfe+*FMsu(k zd7C0>!}j+~cP|o~pFifVg0ZY^u~+^g#&f9vVWO!nMD*X}8hj~hfJ#~V&#?bHOhWqZ z`d1b=0Kb*{zbgT|(V(_mG;aZOJGc$#7(-wJOrZUp@6Rgi^6u{{?1mu$C*H5}$`;e* zDCV<(R|H^(Tt{nmersj+y^b)_ybjqN-|n@3^Y+iodx~!OPkqTY%alcn&+LsNu zHZi5ar!ZpyC)UqTZ2*MBxM$iDVA%u|cLXEWP7@*j>!B!rIOavh{~!9w|KBl#D%5Db zLh_9YDBy2_!>$HGLU+`TkVFYkw56D{Mw!tON}Vo{l_=uZp;ZtT!IJogG^?{6u%ABS z($#JQwf|x?6e+y=GV|I&eSV(2|Q63pT-;a*D z3`hhUQA_xT4|SpEUf8NQ`ll5!HJZN(p28w#5Zu)2iXSGlu5WVNfvpG zwsC${wW@5ux_uB7cL#uBG_SiH9V%(F7L09;{?ie?jV_*+jC3~Zetzy>?3HA+O}r*# zuH%HPC`k+-?&iy#6YRmqZw8Y6az=4)LKcpiyAEi-?tnDrr;6ZeZ{0@V&vPx*@W`e` z%TY`cey^R8f;#omt68$i`E6}_x3`egZcpdAStS46q*MXK*7|U(3!L$~o*hXt)rBs8 z|4h8Q30YZ?Q1y+kv7Y8j_QZ!2u(!Njw3?pKFlk@vo=Hg|B;sfw!`AN(Nwj`qCI>pR z4VS5(ntp8yvUG+^y0}W-3oQc>nedUl)XHBw8JJD(I>g3nG>jz6KE3bmo1Q#+0(pIX zXvFNS#GG3r@X2#J=SqTVAwg!et8Kb;+}y|ejq!<(Aq`{&PN0J(mR{wPu4<`kE*T{D zFvN+FZLNNFaGt3}9yPoW)RZGO%F~vAZJ4Vl9M&@l^(NAZ+l!xfH>}j2DrWT*vzV&D z$BM1a&tAU4peCVNkGH|m8KWUAba}DZQ79ePrBN>VF+~P$f$<(7bda+e@z3uVAoLR| zqjq&_ERAn_u{={ivlwR?G@TgkrCKF&Ggyu&MYd$g zs0VbP!YkK!-7|AknKT3N&k@^FFeObb_yI9X=24Rm`T3SmdoXEvS&2fWbp)s)#alY9 z)68acez#x7x0*=*w9TOTKBw53jEh;dGinXe`SF!AIk!=QHUS_`Q*3^{C;<-?NcnFMey?vjSbm zmZMblZi_wP8SXnv?jdBJ=ZHG^1|TLdMsSq?BY-gMhnpRePGs;Awi z*UWq#CtGW~*pa(c(rEcE<0wz$B z91Ek$IXQVR9zhq`+?mVkX4V)He2eJt=-5JJagCH`B#1DAUMfo47t{RS_s(kj`uh6h z0?pACEPiazCxXIq&?vh5Yx}$XPEl_p-loEJr#l&fke=n6nOOwjl{(QNf_OHJ=kY3z z$H9bm!YNxaiw%RKg{Wmnn9T>4>fM8u&YrryTz-v?_Hv=9g3ku7(JDB*2cHDs=}27~ z)1GC~z%j2mfo^8w{8rpXTKu)q+{ozYXoJ#(SQdI2;<3U+o!l{)t|wk7_nu9%SFX4O zljcx%%H&MonzI$esM6X~qg>(ZdAU{IQ86XxgotCe>iF>NNTae)F8v)2ce*+onqFft ziF%eG8YrwZdbI*Kj%R}gq@wj*Ma`bwo@}8lO+FSh<03#9U471$>if&4z3nfHJoNvk zY)(#5;+QP--WxJAcH5J~5YwKagkkRrtF+X#pmtWv(}?BXtXdYSOu7*dssi)MNa%@& zqn`Xdf0Nb|XCf|#wf91LnIeH2l;bYmq9?u4pf})+45|CqMgB!`%DE_HZ11YRg8M5r z3%zuCT4MM#EhNfw%cr_W?jWZA{9C|{P_NlaSr!uuA!46hw`~ba!)NA$GU{dGTrz0{ z-Ey>r?u)BgAaVLk|lBQfa!KTX3+G;5ESKun{)C>p{ZQ=#n-PBG^ zAN{ZN1(4bpg9G;zJNxB0pI9BbifXs{w<9zb4;gev@`*uZRsXFWEP8~s!V^{?tLrsx zHu1%QGboB`=@z={K~J{^cRH^i$&Hl^6#i+6{TU3Vh4#4Nr@0IqI?0cpX>wW!VOrf_ zHU#OYcFkQF`T;plh4sNkGnaj??FpP7C%(~I`&CC|kjZcyG(@)escT^Ll6@bmPv&r- z|EC+X<8s1TBkbXEmsrV(_4fTyQ6xmX;oA`%k*UeQ%^^)7@>?rRIiJ(D{~kOoTkI(m zbj=|;ea~jk&2#h-ZhK0{!|YbL>gKOLaW$T@du?dJnV`H}L`t~}W1)-X8;m?|OI-w| zrc9G1BE&Xkjkig)sy*Eo3N%G&;fm+;9g)LQktL$W+`GGmA*)&J;zP31Rir%b71oVj zH+mm3X{kXW5QbdV+}UzZ_#Cvo5)C%$>~WwiqDv&c^nqe{*d#E%xq0XbZ+5}x9ofT- z=Q;c3soTDboylM&>PGB4T1^abqVXep$Ux1eHBU4dS?EB%9G|H&8H#i4EPc`M$ZWGf|6~V z*3wP?aYW2rsqexg$=~O}Bt*=ayN3pB@ z^vQnDLOX-+&HgD3v+)uQooteFLRkcNZcc?*=X=-H2w8B>VvZHkbBox*D!spWnTYl7 zQ@d2|{qx@{1Wc4EzJMpNUJ@JS^*suaIEvJFO7iCvAD+?_fAIF#OY!#?S42&Jhk_G& zkAgxvcfft~fzQIxTeeQu>V@!m#%dr#FijsDpBS6yYJ++Bq_lL0*?3?@5O5S9Vezf7 zzf2ESp;0i>IzMYWNs*7)yndhSvXt=9xz48<$8HDT*D{%qe7{9UbB?YTon$W5^yN?Eb+I`mw zQv{Od`;45&Stj20u5-?+HKT~LD7V{JwU@h_&*5K^84N|G2h|Azay+}&PaRkKd#x(LAN)-yzMe3M4s)_M zlrd2Ub5iy4v{;s^mZZYf%UA?+WGq;X0uESzMxo)2fGV#2oQOs6*AhV?aXk>Sh&Qbf zb-zZE8r!xSlq)(V%ztmK0!@TIhck3bU0m6(yt8f;z3*3q-aP#ap$^3cWKwZb-2%U? z8+pejMX(q>-RtQ4T}we){uU9~x}nuWH~%_QeyZ%<&HwYW?{KOB*Z0R)*Faqz@Dau^ zXjWvu@B$>93OH4+@QLd?|F)ZgWsbs%ZlFHV=a{ zaGJIV2&ikEH$k*A;*UqK0@dLKq_f>|Y&m6BcN|IY!^iiezM@de71kCc zKV4hd5x4io9}l4foq6cK|FJtRG5DCGmy|=sv2q+i;Y_bRQ8WI~e87Q8*UL|le4)ED zdedq0nrrvT(OMQ_B4l*&!(;F*v_aS(ei0tucfH&yMI{y-`0ibwI4d=~>-It$_%ddF zO?TDW!yh2&;H&MI_W@(2Ct^QKCQ+lztUrOvUZdQi9MGHN(ke{VI?Fol@p22hxVQj@ z*K47{im=cy3-j05)kpoGav z8$fmcn1;rz`8B$z3}DHYN#HC7mID}TlnS-D_Ir%FV(h+zQkoD-d(f`Mvs-C+Twy6i z!?{kOr%LfAc~()Le8CDip8Dr(td}eBNf-fRJ`OG`#o8;9p^VPzJJe1E+KAp@(pFN> z&_Uy_vGKK6`F_x6LB!|G7h~1(QX}!sTQs0X<2JPT0pKFH1OzZh9d%=uYRQavx4-z3 zdu5}u#y^LlJjr0gjs|F!G_jCe?0wybVQTB^%MfI%~|^#`o6~EJrghjw8s87(x;_U5b|a&PG3c%sb%!Qp;hj zlnR)Dt?U!Ur<2+;&}ZZNHkV4%DmF?$hPP zmUS-o1i2iqVjk^SE*e2|l_90a6q8o`nT6rVYUd|aKz4fQFAox99B~SZCrmX1BdHb4r6Cgs#l49^klk-k55SpblBvn5owsw5wTse&Ecz)5QYh5aO2>aiswP z5`3vUK2CX1qSAUAgwYc?44);7 zA9@}Suvx4ueiCph&?InLmKg!_K~?K)$t_cvu=;B1FxIrQ@Mk|E&1%)Vw=?B&SM0}ii{a?9viTYV8@8^;w}$L816ZU-u@ zGsAhwJWlyuzL=NQOY&nmn5sMx@>0<%mQ$RW`@{d-xsq}N=?dDQI#PoU8ad}^QNW70 zGF4q_%`g}Zg+en6SQ7!C{j9h5C135K*OQ>NG0mU`UD{q(124y6vcf9JANa}UL^cAy^t=-|zdrO-)jniN?ABb)7z4kmV{jS1;$z9k1I13BNdM>ZWzIt|T+#1o2*nYwd>iv(w zx`KL90=;c>SwvE^;zhp-Ibbaxtc$?EV?9v@Vj#YL{@yZ2ul4Rkeuxf>o(UlY0ufQ1 zIB)sVe}8PO*bpz$JW~pG6YmU}2+_UIRVj#j*xglQA{eXj@vws)dY7vvNcwV&ipa)9 zxs)&9p5L0X?~EpKTh=pM0H>j`uoT zi0B=KjsiaVklnST9jXGpFSIA8_<03R&!Sx808i!nQWI3**yt5;6^pOTG8!|ZJ2XXo=$blD^z+G5F^>M$ywera z`^e_UcL9K7N9#SZcg~OE192B1;V+yMyQ6YU#O;s`(ytd|kI_tQ8jdob5aUZ#0!@j7 zonC%oG+b{y8aV-CuDC@utW2Jc-F?7hKOt2h9(Heu@&VXxGtJ}_t>Q9EdqyQi*z#;U zyO6pX199|&56`&Y>`}6%3Ly?QLoz&(Dhu~jeTw?}G)JNIhyAZ3bwX~E=3a}FCS`vy zL!Ima`X_`od*8M)@4qhZSgA)LKy6s1%_Q-7X(8?{oo!y@vMDz3 zznTUKEmwHr-r#Ygvdd|E=A0s#&*QKwjEZa5^vzxh@Mc5A!RLX7@e6vHIekx3v1;qUuVG|b2I&e)@>L7vb+kL!x1tmt~ z`g_ktcuhP%2TI(zZA*bkZp05c|0hF=82VJiUro$-yWdLht-@RIoO$P`6qdwTZ>;+H zzvLQgZl7IK52;tVq#Vg}X3AqGk$=GR4ok6cnEBk5>y25TgD9?g0o z3QXD^c+_K=jms9(mc|2xCOYLc`Etjr%4{k`QG6Dt<#x}mxwb?2zxW4O&sL=fbSlp) zU&^dzgMY|o^A(ME^Dd8)CNe!gcqHFTNUxGJuvltZUO4a+v2D}1x3@#DRPo~D@38?T z;80YE1-lG9zB>$=7$x9<0}}rrNwCy;`2gVsi#x$UFa-oRg4n?o%0t#9K0ZEfE@gY& zhFG)M41q&~dBp55vTq6QUV@1R5t*NhTCM7r<#*q8OpbTj>!TXFdh_mwN?G2$p-+By z2$*#8Z{5Xf1|c6khy!cBKaY4h5id+S!Yl=+NVV;8d8oM#=l3`_zYNGxX|w@n!9!`4 zu9)v`0r>v5PKBBWJ7wnQwdc>Q4IFpITCh?k<85@FI$8*{yoKR2YH^wNG>HZQO0iMk zqwI!LmByXT5)r%C%lEHbHQQ-(PI9yO`pK`6Nrz-A<&|JnRK1&VN0K&2(owp2O2K|~ zZ%m4`*xT823g@cx=M`gvUmX+!OktV@l_UU?w!y8)9kn0i)s|N& z3Ox)4T1jf>lJuub>*Ju4y?rQ$7_2g`fbjgP-$eYAeR;L~Gl6&Y>A~!q{qxppF&mo1 zPUo)@cp+!b_>Z#h?me>}sYi?g`a}?$X1eY;%*c-96P}*zkRQPFcs`e5i~FkT!tKsq z<<|>|GOBOeCG%}gpHo=Y8=u?FI~am@Lp&d(YD0?Y3#Mi64GO-!pUnEJQJr2&O6=lj zzxnr_7ZlrxsYbZPySa@4ofV*-l${kyJJe4uW=#~v zj?&LgS)<>jk5GkLK&y5pp51pdeGiqE#GMvtEPb#6=UMme#1KBC++5Rb76>>i!*VLj zi<;BRf^j>y8L7kc?<$X$H{yVybA)^gb?RamWXY_Guun_pbEQ562>?)r^*ds>^Vns)cPBBzsGl>^)!PivgNrIB)78C&wNQWLg;J&O zzYAXWysy2Tm2t-EvXwljv+^Yrz&9kWn^__N-@c#Y0~FQ5sr~Qh!K(nV_Hig@mXvdgz`QHDQLL5y z1t)$$mo6kxIaa^LD@f-dpQ;jnb@ff)VDzI{Hptmc z^^)`v%>rk|;xSdO*S=y=)y};=_sVxyPXln<^9Nh`ifWzrv<|k$I;S?D8->|4PWPvZ zpu?JHA?KrjrgW2_Xz&^=JY5>(o`0MbfU_k(Dv(?7xY&};PvxnQGNY9GT(OL;9|twp zSg#o2G*J;u5|>qdjiQ`9o}2iLQ_g#9aBpv=rIgh{XBvJ02YU3p`L-S{RU`VZiWP`@ zU1|h^h!op`PkG&#_z^D*-`u!UW?iIH4>jo;!>;$14psq08D=z{uI_TLz{xVz+tBYkJ{bUl&G&@tXH?#*!0Xd5B!3IBwFh4SCcMRvps@~DPa#eVy z&EzyAJKt`870~pM@Koe9HYN@`Ep`|~+OOrKDn>mCZhapn z;FHlh4JXMn^#sIO8prb(3oiOiqXCyJ8Mbd}WfZDmBy!!sSxoY8h6o<`Kn9zuMs|KK)QT-6f zq-zwrI#|i^LXA_kaMvW(?+(Z2jHmT@N#V0+VX{$>jcUo^Txl}?vk?6jGQ>{rpg)xF zXu)o=aZ7M9SD6}??jN?k1Ux`sN3Uj7=2RknL|0iRxuGyr8C`l%I<_Rk5f;9)x!ka^Y5Xqbpg~L&JM8O2)0Ya*26O{uII6z_L7<~;F74?~dYw8~7PDgq z%BNiqY(GO^N9z*Qwv6&qz?VLUA3=0Io}`L}sNJxoak_jt*Za~K5`(3##JD{LwQ`$TG!ZQm9?5(yR~p4krL}_b;X2nVtj`Y4 z5J4U9+xJ_r+><<=ye2C$aGewRc)w)dRc!bt8<*AtR~kOyXfoe+XDz*0q8-{uHb62L zz@)-)CLKu^IlI%VI^dA15rCHKw)d3()Zv3nA`{;1)vNd?t65nEwTVsUAnUlg?9l#9 zZ+Sei6N^z6lS=>Qb(f;1z(gMOu7n0YN?$A+UdN8rHKko!A6c(MpQd&F!mvx^nQk61 z(8uo89)6|XO*zO$#CNgWHLZi@UI}fE#Qko&aig|fcGDh*CCUPR){r1mhXl0+QwcWGN1H^9k?;8?h|ERRuk_BaAVb+ySo9pjn9)#~9D+ z9-Yc;mhp%9`wYZ^&^Tt(#;$ z@}dns>D+ku&9A9R@J4-&vu=^zN1bxOk3{4-y7Zvc|1?j3swJ~a?E`BuyVHwOEIzY3 z^Fj3PIdezj$qM^mQiSgQI@UMk^3cb+03JHur5+yX4Y_e{-212$RZ~z2?GI90dsV^lVmLRwKXz0{v#`meGb)L3RTEGL zvY0&QHCK?DcF#o5NaR7JOU#oic51vE%j(5}^QBq|I&{WL7fVLIG!K>vi)m&ct?}f^ zx1NUPs&r37b<0NzOf8=oBKD0nDj74P*-eIm!!$C@ICL*Bf1g*qb}M&pcMxw##>J(| zT7;!1Ly;zB@x9|3uH3hcX?MVDIL(QR@t)o0krK_Is%|;Z?`qV^QOt6I6%1?mOX!*O zeg8|)ljOQmPI#?+TJwaj9E&($lpMUJ3M6mJN7rJ$4sJG*6LY-`a-`2SIAv!v=M6gU z=75131(dtrmz>=%2QXRCmVbZy?Vhx3jw*Yrj#qVCR8M8j4vmj%XgCQsf6`b*-6u@1SZTMfB!5K7YwvJk0j|=a#f*#F`S{Csd3r^ zuE^}>&_`_Z+U!|cPu|w^_(S@_LCe!)G7JJf$YkuIotXWB?sH4jA-755v8$ zF&aC#-O{;-?e@)Fy<^2sb+OU&r8TXgu=p29{8#NdG!XN)>(Qohtrr!!yM0mzbVIwo z)v>FwexM3JT}KE=h-=(yQiKlPu3ol`vl{#+u_O2Vh{O7|MIP3)p2<{uX4yV=|JdH8 zvVn|JT3sx^k+QF~n}sUZ0n<}c0@wL;75_sTUQ5JK4s3N0VHt3KNMzwyEQRj{lLhUq zT~e~Y1vwt5Ru3Bv;s5z7LN z?m`uYgRWRvx`u&QS)T)s>P3T|j&!%7X3&;=ihICvEf3Wvw*0F3A5qe6aW@qIk%uxb z`k}Mo9!Gp5o(B5*UxD)8Qjmn%eN+>P6@z}|KR;i@)K{R{N}c>DLt3s-yEd&JA1?;* zmw3sCbUk>y^QBWT>)#Lz9DgLCOpq||FBi0y)}u0<=@Cbv@-k5W4Z*zq4rJK@;d9g= zkpdy`&mW=U;DFl?wb%U5Gn`94G@x8YgAR^get!@dHQxvPAmUpI_@I3BJO2C6ut+ce z&)ohmHVNJw=)$1lptpNCtW2&O-tfACzJSCAMKAxS-2=WB+NXIuyGTg}+eUALqP-w) zZME``oWqd}JbT==tmz~E`B0kDTVUXJRgFD#I=JnSuZAT1KFBe`56B9RZP%KNOH;UE||-I*9F^3FhK1a^9!Pti=7bp!xq^9f9YMz z&)4u{eeteEHn1=}NBn*D3dq~936T71qy91Y6ayH*`iJ_*zjq@6D$QJ%zJcS^-!BW< zcM1k@#u()L`>FuwnZA76_pb#H%ok`$(u~>v`|33?Gnn3-Kr8N#ajTF-;s2T1|8=Hz zi}P|oWAZd#>n|_5cwOEYz^Js|NhpFQdcZN?5m`3b|JzqC%+xjoSSZF5^BcgQ-wJGC zGORnXW_r}VY5$$tYtaB>6MP;g2*LwOIR`!Hqdm)sU1hG0%Jv0@Up5i?80qpL-UlxK z3iVM#L%B*9Biq{EP34ZGOsTX@WFIlvkW|etS@N0tq0RAEJJo=x`9RQcU*Qo?sWJ)w zwq;qQ81S7!6wiNM3H$~{3U{q__RjrjpiOsx<30UK*LUqt$J??%_F6QY-2By;d@=OX zXTWOzGwlBXlOS&9^A1R;1d=rZiFv7k=sxF+$cVngIgej!n814h|7=u#q$Sy4%iXMK zkk7)%W50W)p~N^#$29uwkj9hAZ!j3cjlf^T?oTB^yR~c$(Jp9h#}S^}40#wUAL}Z( zNHgmp^*e?Z7Y9M(>!Bqru<~M~P&aD6s3d=~ILYw*Uy@EiV3`C41E87m{w<$8o`VUv z+4CbOjEEnizQAp(Ijq z$sdFL`s&~ooK(Q41Bo1eJKV)c1V9k5`gTO--&ak6e%n$5$|1KbZ?u`l9;$NRXSo`tcb267ZsY2BLc{S~N6>6p#_(P}SJ|39N^p_bc z$Z&m51m&x+v5rGIAszBb1kyo6{Soh9>jNqd5=;@sbSdkP3Ojg!>s^O`P{1Jn<$v-) z9OE6VfCn*&sfW{2S5Z!gs9yzqx&3=KLT-x#(wO`Ar>Ne_Q2)MqF>}435}szNb1spIjTko(VRc6kft^FPB1+~{4o zT7U7X#owYt&CMBc(!G5R_O?eMYT&HvMF_B1iWIa*j69T}fA2y_C*0q=b(ZNK>mxUt zNOIl?k}NdAd-oCwr9@EcgemSPg!n~kS-kc0HU#}k-0Z0!a=p*uQid<=|~AX4eblKjcfk4;(|inbRI0^h{A) z4uIMe;FU@Rx$z1`zHC?>SgA!yWnSA0FZ^i{AaGZ^_sz+^qSXK${p*>M&GE2aK03|q zyLU%r4N#o?6$tALRdAI5uYV*l0!La^eRuX-z6QAhlps(2f54#r z{jhfy5}taDmkRm!-!Bk^0P1u4z{LB{t8=Ts>5{zOtdRe4uj}{S!Kz~f@gt=8^Qub? zaK-;aAJ?1of80zBvq;o`&85IU*VXRbyN9zNd|^#nxR+b?V8o6!MHa6deM^R*>Xxl9 z0-#XG%!70We5vaYQkUL6lk|)KCVO~cv`hMr154~GPT#&c@$~lpF<9`zi|FOK= zic-8`iTtsxhIXNX9J{#FF?`g+tJNHkZN0Y10>Um;2%;?GpL??{ z4bWNg6~_JjMK1nriXIrWj;_Yv`xFV-f4T0b{%g$0-{z3=)d$A|e{BpDbI2Hh5*zK0 z=LB~+KyKip(IbC*nlCbe^P~B9vqAn=r3wb9Zzu!M_Fu0N{Kko#B5~ormJflZdN9Do zUIvit{^MITArl9odpG`GRW|Uz08plaKX<%KD3~A%A;FPH&ey*q*h0Yo?w;m<6~S-Y zBD0OqcYi(L1W0 zr0xIDJ_{@_Ec%VipPLNWiNSU>YxFHG4EO<(qOW~tYu!gPB^Rn){Nrxj06`O2 z6ziUYwYZa!vjs`5OM;%hwc~C9TKg;g4gUweol1)GHlv$8WIN882a0G zn=?NB^Qx+z9umhm$Ax7t!#8YkN|q7qHe`K8U*|7h)(GPS!mLGoa`Wpj0){o9sWh*Y zqhZu_XffY*zCM<6a^?tQL@W_Ma4=gVw)vEi3?z)XER>=RT8WMj98$?%auE-+Fi90! z1F~fE!lZ#-(){T`IoZoIaL~qXlk{@`#RThPnrv`r4jSl>j|(aTe@GaEc6qa8Cf&Sz zb>B)Gh*2-u{JIk&N9f5SarxnoE$5GV=bRmi|3|PMl$m}(s8+1kIiF@CE7b-pzR?y3 zZ9z;Ug`P`5iT3mu?~&pX;)&tR#*jpL@WwPkbh3h@PzQc8VfjqEc0;RnzkI2?rKM#D zk12`I-UP1?1U zxXZ1ys`ciEHWx&P3wjmp=*N}@m@tgFqrx7c}Ag0};1ZCFP1YFhfB zLmT2~#e&;4E7EUWmuoP|#>D`9i+gjyq+gvk8@6WLL3flcP(d}fsn0+RUIiM_c3X34 z?)x|6pR`ncel8|^wi5#STH|k3#uc8xeaTTuj0j6#8m}RYS>*wHcIj3{Xz15jPtyeB z{)P4qC=lkd)b-2|-XY_yiKNRYk9O23*8uVdVbitzY8Ckwa-o=Po*UIO!?_H1`S~Dz zI8KOa_m-=*N3Q<(Y_ifniyM{5;36`*4aE6(&@PJlmG5QsOjO&x+^qLRK!FA{%DdvDG%LuyV(YfC)sM*tr$#ZH#%wVoVv$(d$(!VYAlmaHbOeL7e#wO z@BCq=cnV?)6F!lFUQ-V_(I>4~T^Cg{QGwLCJ0q2kz4O(f+I88LkV36kM#YES@uv+& zes-*&iEqs`qS}6s-Ho3O&6Hy{yVLnch=BtN+>b6_C?C5-H4P`a!<{ZNyZXmFyI)%- z6u%#c1nicOH9Rc8@t$t4EnmGRU>)|gWlu7m^3@2p6J(i`fw_#)Je|d)bAQ`3S$!FL z`dy6Wv7%+1k)jcv({ivNXFx~@*Kj6XYo1bZVYb6k0#`T5jsrCoS)JGFKp#Fsmyjfl zQb11flg0R38kIsnnGc-7wpJ|^$qptl4eg#FRhC#Pr1d>{eY*1!kVNjBsh_MrDJl1E zeq3+WmlL5AX0J=H(uG)vAl$5v20cmAiR#e~F(X#yuj1JTho(L-HDuqt3z{#J01ykR zUDTAHtsHDJA+F|YwbVntw$^PqD$1m7p{@2LX{K$cH)gv)lOpJ1fAmIxm3PWisuS2O zpqCSmeqz`o_+}q`$p)MUfwtn7L}E`*cR{nPm&?IMa;8jTk$D8r$H)_`cbo2vVq-T6 zB=BL^dkQ&m`TXovnV~Nooea;`a`Fg+ny>!I5&Nn^B%OJWbXW{`nvnl)8NZQVu5!8Y zyJ@c;BHjt>2I);sdu|&Ijy0nPtcnX%j@Q_=CGQ} zVY&aV^`*!8StYlF8NZ&llh_Fm>1Nvw9|qk!WU2+5vkeD2t!{fA!#QaVk90K_vop)= zJr}Ru+9g>7tM^H%RXj8~8Au`nEw%+DRks_Hw6lhXKI&Xt7A&Cy|@>G0VuEiK=WgDzSLpeKKB+gWOx@ zBhMeuvzhe)5y4(v*Tqs*9rUv^_jnBxX#P&ne(6%rsh#+jYrXvZB|w^yK_NvD^acB? z6Ehz&f%p_3r!arU6#PK*_2IVIKJH=d?Hwp_6F(J=13Etni z32FlJG!7+*?#}?g+nXLl09rW`ntxxt1B^xHgZy9ABDZZbK;TEuX8yODu&p52Qqfs+ z|ISVdk?BpwL#sa{yh|uDz$dwUMDc#C96vLZrbuwJ!lCs4BGrrzQV#0A>|e(iKc25j z0o*Jyt_;by`tJ=nDM37mN~HWp$^$lgRpctF5K-~>Rchdk2?A+I$kRoD`6J4d1UFN) z82DSNdG0m%zIV)&{!5LO7Tioydg3o^>i=|d6+RU|2@s*w5+=b=yb0P@Y4!ZxT@NwE z^S?;j?z{R3kYk+JNp7o#U3RJVUJMJh9Tk?ayVZpo`Q~-i(t8~*_+37fel(OT4E-$a zKgg`lP_RRUg;A-`^_c}x!=c`-IP19|i{JMvhnM|bkmf`_>3x#nzb8Ny>0*-MKR9)N z|A`uyLBi`aqQ9qw4mm9n1OKHUoi31yX0qIyJXP=Bk|G3|ZtxszZ&1ATGi(Aw`k-Q0 zx$W)8v4Plis!HmJ|6FUTdgu8+fo~&RQU#_eJ1gOymDU*{WYd-rnnil6(1r=)gHTBz zws<^{VYxBe=r~nH08pIM+40QAaU%tYy>%{yUOS6nI?Eb|Sd(5pqv>@J`vHnX`7*QC z@AHsK^KpY>qxSGxx4nnFZgtVLicT<%^k4SxbDvtSX4e$x1#{FSJ`BC^sh&8*y@yz=&KeDZN?qf6t zewR_==HuxK_=_OtgAD@Gs6d^$j>ySa=AghNn}vL}@6SO?xgj?ZuP%1~*(pJiM~TC( zkZ6ylO#vo47pte+GxFdoUpr4Th;&f(GZ!fTJB#Ru&1D5bqtI*l*e1-d&_Tm?do;p_BnL z6TImsFUGgE8$7JH<}#f(-|lUm1IpRO1NdZ}L2DqFJ)d|a9lW#`6RKW%1a0h@s?;CtjpEk{M&GVi`Lvi#cV2L^_q7S z>U*{~I!^92#%tPB2r!&{h!+p2Mc?z)H>UEmy2uO#={$I}o&=D7y+m)`iZ1a0#IH!A z)GH#;gKswjdXJ@(>N4!+?*YXy<{BV~JL0ludb|rK_bB3f>QQwz%kS1Y{}~!ce*XDA zErX+vL)-Bl1_0P9waO&bRRBI&=b0m`wITk|0+{uu47wBp_MoZyytpt|Hp?ZT1ti+m zkA|^tJ!X3X`uYG$7s!?<9@90DG8?t#tHT3PJPznCFJEDKk|7mcY`;wDRBylyq15GWNJw7U6Mfp$N@LhOKM;^RY#7gM0kGt2#0ab@odRa8?GW}Dv&jg5Z8 z*O~oJ>xb}ftt_!D%SAvY`?u+(-}?YbvpjBHhZky_#<3plN2+>RkL0TbldN`~a6Tkr zqkB2mBxUy~q0Im2=m?YSglQ;O**CE1#axrd#@#o9OlaWsMzJli;AyGrrb$GwA8gbg zW<*CZYK@J59q)|lPKlOZ{}R@Dqw9D#$3iBI*2^7Uj+Z8O4FJPF>R%`nqkD#o14+6E zcgehZ9RNNJa(*_StAq|P>(=EK(gT^@MJC-IJ1dDim0)r;3bhh}1;xd(`;{0|Q4em; z+$wKhM%KOcRGYrpoI`@a0ommBi{_E$>nr5nQ!f4uDm-a~-Hu&#I0 zjg8en;dn6y1#r6WJMNlNtH=A=9x#=d4ycxEkz}OAQlbIhli)s@L(QBZa_OZ(rGXvF&zBz!MZ}c;SvfJ?W7kw@B_CAn|I&qk5 z(iIfiB*G(Ln4k_-%9&}8`R-W`^wP{1M+*>(K+sfG(09hgFr%9mNT}{DMnm@3)kRW1 zbW_e-L3Na2Bi)T={bz=s3&$94A9RuN(jktIJ@$r^?Vy6~MpU7FKI(67>^;Iy?&U+w zF0?}}N$2a`!>~2-R6MWVjG2SQH_!4LCvq2rkc@louJFA&4B?Lgt7zJ@S29ohX6sz7 z5c|ViFLAq)rqSrL47o9mKv_NEqNji-;df7g_+y`u0;=;NZri!k1}xH=RLFTo^~((R z#mKm5#_6>Q$C<9!u*;Jx{V>r$wKU>^e_p{<3ll7xUw6m3$e%5CR#sZ`W+;bA($iUv zzs%f7R|u4^c(K2ntXpN&e%XpMMJVn%P)J=4U^-Ju6P+p4?j+}S$N??T0J)ha^!?O7 zgBDFh_URMY*4Ivdqc~RD?XSKtKqzIC=7}Z)>`IwjqvFxo?%-lH142wc5_=g4v&q;v zW=#c?nhwFMb^#`RzT6Vxy1NqTb($MZ8{yd%Gt1)`m*)@GY0F8cp5Z)aT$mGFLmxho z)*at_6AOz;=V`#hPf7w96!r_^miGQYujk45?!fUt3fiH?(w~yiHE-{Rb^EP(2agA%KvvbnmNIsu@ztjdq41 z30#A)KA>tf^h3z_ffP6YnTy>bF5UapZVsU#!2*q=ZWKG&Pebn~l&*Q5*ZUUBK&>Vf zKm#dzTq394&grFmOF230#is{a85YA2VHJ$?PWeEgkVb1LTQM_muu)sr(=qm29)qUa zRn&9^OPl&~NkfnaU@lWR4R}Nwb?VZtX ze5#-n+n5SV_r=s6Iq*H9lN0Ft9K0r4zQXJJB`Rm*D~jFmVzBv5^8r*=>#5=6?BvQk^7V((N&TM2nictS&&xd#Hn5S?Y*qL~0lHBK}N+QClv~c0^RX|HDRm2@yI^{ z^Qdvp;`ls$_pj&Z@b=2`llC=0ZcL(F!2p&$$j%EmM1rkN*M{@_fu?b`NksmYQt*-h zU>kEd=gv`Yg;cclBrDG6N~idN6M&P?O7^Tsbv+omBm17Uas{c;T0uXw(v`Bnsh63Qmw1y6QtY_s;jUz@GBK4(0`c|H1WdXa!Q|FDtuPwDu-A1F* z;3v)-%`7G-JU|3J6zq^dl(_H?HKyCaG@8>7ePcZ;z3+Pkd+f?bEOk?5H3kAXFDTtF1>~@AAGI0$GtU@~zfkef#t#M zzFn1VTCpGVVi{rX%Yn?5)~CK-^6&Ddpeq<#+_ISRC8@`L8J^lCgk~ah^KfrelZ30p z^z7uCJ?v;F+GV4<(ihXpZmua=G<)R3GoTlCYyh7?1H$!^Va>XEB!=8!P^gVu-ypF3 znVEXXC#AO@$dtVXG>sa(LagDP-bUtn-dX5fad_3o8V8)y)pxiU()RrQYx8+>+B1}L zPTGR4_CH<=;;`aQ$)RLG8R}O09DLgQ2w+PVb~|HPIJy!PvkFD9io4RB?r?`~2MP4o zc$>JCjWJ6_jhVOvx>o`StFiX?<~Q+enyoJZPK{A}qV+hNaJ6-StesHn(!Gnc7R ztL0ek?aeTKoh+Hf-HFEYI-rHT5D~OlUn#1n&s4WH_e^@^mlpC;mm|=E~45UfvgHC-rfOEHLXyC&`q!q$m?U{qd(piG3#_xj;V} z(UbV4n#$9BHY|u(_U(kxne=-WSfx!EB$VdY515z)*uob6|m=C%0K+=d! zgU5Lz^M@QVS$&1DpKST5;rDOqi2Z`I7A(?XjotX~FJ$zE-Nb^&X6@wVV=JPEUW!@e zgwrZ6VBX7+G#>UCt#hp{m^}Lax!z4PMGzDAyw*H1+xF{oJFQCdN;Kw(Z0}l=F3{W0 zYQH2-jBB;tUttA-!&AxwtS0Kzauhx!>vm|@j+l13x-(N*hG$1x?fE+R@d+J`) zn!tS9AmRX8INtcRMX2lA6H6!9pe)B)-=xhox~6z8`z-c|p(}}`73P)R$0K>8-vHtW z#>gy#T#hrTXqj^}$)tQu*gDY2`#&J8|K&l}ZXjuPW+`VO3lv~?3zC}<$1qA_- zl5UU|Nd-X~>7fRJp}QLq0V(MiQo2F92UNNnY3T+Ta>#d~dwcKuIo|*C?f>Qdz#Io0 z!!>KJb**!)^E`jy!TZY%+4TTxI9I8u7V>(d&{1a~CR+TW)a9iZLz6k0Vaa?Nh+C~ROQ^N^~*C=)mIB9?D`MUe* z;jG!3zOF7s;cKo+WM2~hr6&U)@&~Qr8k@B_&0szVqBL4CUK<<-eVBqIidk2)itzL=LPuIJ1$ic%iMyNx zIc2@!C-zSFI`Sf{~xefW72lTq&rB91eor1EL(umBMb zf?IcjH|W@jsGgKJ15UlDP6DE4pQ&H(%^pr{U@d~(;$jZDv3;ULyFqZk4vIXEdLQ1r zIVz{}r7hT>t0?jWq;3#(v*mYEnABVoL>aTP-At-*Wb3A@@8>}nrj1n6nvDW;PmYS; zOuy0^bWN^!W{q6rw!kaK2n=+YSL~us_i|@EYzHE&wf2sOPm~SP7-(BeY^*82=?qyc8%N1GF3nKf{#gfBl#jC4qo>09hhdc0uE7sn+r@K!HIc#=(YL2+9M=ZF zxE;OVG-QtB+48Btm9%_p|Il0PL4SimZ8nqZO|F-Q0yRu06icgDmdE-RXFzK8!RXg| zGC=~!ZOXLhrcb4bxH`!+?4O@Gvhn>$LTmSJqw2mHzpNgWe`f=+)RFnX9k?$ z9VW`RY{qqF&7U6~ticctaSF{j2Z^rsPhZ1Df#dPx0e~LL?fCSoh@}UtsvCBPmkv@5B%b)Yq)Av1kvCetr>+fxCyrO<*a85#y zL`c9nwxViaU4%gd2j!E>>%(yWJAA?>iX-5$SIz0KkWDb*X))|E1>D}WcNiPOr<+m< zqV+GYEKrofVpxoL%#TCBYH7-vETWY$e#6@&U8`@e)R&o2_oEz3IYCf7CD49Ea;1Ab zv+gFKGcM)bFrhO6r8t_xjh9!wNpEUqKmaYPbLuP9sBl^v+$x3&XSS~tH!jnouaDc6 z610b6|AG*g z6y*=>D}Q98zMur&l;I11*AGCaWnAki@6tzXH|2z1{>U|Kj6=E=HeDY{J@nvv`}Fso zE!cumVQl2!fU6-?mEUBqu;3BAA z!;2#AGSGVLt^z7#a=(Cv*IEOap<0^7qf z1+2C@S4C%UgU6uxj9;i*FD!YW%N0WG1*Frc>{T^9^iVbZzwOnV{M_NrCkNePGBp{FEPmdQ;K=aaKVjtxd`rRv*N&-~? zk>38!K7I0e#Qh6fsWG+ad`L0QGYi{P<5Q|5~|2OMSP!VNVqnd}K?|%}uh9WC-GH2smDy zog8qsrtYmAr93Ukbw?EqNHfw|%}9(?CGi`9EUEx%mvT#NHp7?MeB3&^VbNF+0%H@qhfBTKQGeAGkbna`2>%j!jyj#DJ zYX2~lO+|j2ct}k|Bw4eH^-&2_2G)IMyHrbG%AwC98*B2l(0u%(;k6C180MFIi@B+p zp%Xirs907~)hCe3O{G!TtKWd9|1qM+W}~=RMLN!Np*QY|)AtV+!oUuAVw4iC?2Ok? z(;1z@%fSg_EO<}EU=r!kz@|7H15Zz!!@bW8y5~GfS?^GLW9+J&j8hXO z3zK>+@tKl%3)guZ%n}`02L`3M({62rOE52W-j9O>{qD(Fi-Udy5Fh`w`!=in*q-iB z;AQjk_h0EyIw)!LbKE-F`}EwXg6s8jxmWE81Dbn#oL28b-90Vixh{+F%hgFPdmPpV zQ}@^QjIW=s_Du8uwHS?0&f8ODTVl2-ta?S2`W~Hq3G@-4foT}5q^PEqtqJHir zQ7iX;7wc{ATc3?-YH6h2`TcvzZS(1qmem2sM>3Dk7UG>zYYALLksPq5wIQ!o9bO%Y zzQl2t?J4MZ*<~HMzSg?wsV8(c3RtEVM=@|dV4LBH(O4UVjm<=0bz9EVf6^A|jCT8+ zq|aROxA~;VCg|6coLc|(G~`C(vr|M6%KhN5{4K+3y0%>Ri=Anz zToQ$i6`jL+Fkr&ZR&M2ki75iA0G^(?dd2x8!4r7~2kTB;9%@-Kr{8b??E>jted{Ml zSvCFZE5e+}k2e_Q*F3^0WgWK@ZB7rDq@ruhBE{zdLhY-aY}JmZxP zI-?lI5pk=YgtqSY4t?D+oNvgc%K`Uy#G^v?)y0`ZMUs91Zg`()P*sL!N(of43<-!uJ!5H@I z)m4&`V_4`n>n>{RD*ZbU+#WKNlEt3Zr^}+wCYX9o=c%OpD;EeKLT{JMj0ML_+X(xO;^xvpN z@J8(aKi>G37wi8*yL_+P4Zg`$hwujxnt<*EXVG;4Y4=8d@E`KYO8tM4PZHje&ME)> zKfve#E#O`pFhBbt@z~|o`}bnhq2A7u^jC0MqG-6j+HZ8pa@ZeyC9cfl`)HgYER@vL zU&Px3?pa5^rFqgX%Q93@_Y1wT&F~Ao;U{wyxb48_w@T z|C9d(3;(OT|M$!P2Z6-9dHn2~@AXf=SS;QK%=btNE=3GZmFm>KG~ML={86%n(3;tfygA|>fAGur$d_RHf^`&y`ar+TesRYaeFzE8FF^s|(v zCWauwD(7Fp(Yd%!PCv_>kpYjHQY?1~s6TVtGQ^kUYm5#XaI@k9e2|YwU); z_^cG^Sg7(@pH;us-Q8(SfQ!#}9&DJza}5k&3SZcR1iilj4F7!30t^AY zR%QXp`RxX`(P_nJFQ7+d$w0};vc|S`z$r_$mJG5uaqV>dSyt%G z{D4HE<|#P4Yo?}lvY+Vh%gz>dh5a{0)Er%a@=4iRWX~kFYUu8{b#I~;r1Z|()Ht)< z<|c&Gg4#5O)k3>z*_dP&(Vymk`wc$gtY*y+urK%e77_WYfvs&^;Z;~0<^Xr}1xb$1!fs=^c5XAU5M#LK( z?`KpK^JCdZdxtFgKTktRH4(5NynE3>_-^GgL}hxZ03TEi@4pkLvpiW%{o>nK#pB}) zN%$c1RLz@j6p^$&S|u;4%Fjyx{!72j&tc1yjOG`Y=m)lUe?KuWBlKG7B?{~eJ`4i> z8EGxe!9=%Lx29^6LdmrGb(;pNQ=U;%gA-R(2okgc!0Dw@;y!o@R`*w$Bon`Nap`0l<=S>Bvl`bXBA0c@$+z<3)V>y&R*y9t476FuM;X zW^5yDCHDTXHzY@+B9zh3f40GScjueGn#ZNbX%fGt(>j;1)l7WIqXw(;N~fK73vIl^ zxqBLU%0$tTlrK#^)bLei%ZzmPmhsNchvHTm{>~$;ZnY#UWMN@mg*xwt7y>j;f=Yan zZFE<@_;>-}PQ9UQ!nSct@nm~=JnN7pWnd=|u#>$u^Go@mTH!JC<{`r4_H9CJ(*eDA z13-#X8)&(tLP|M}92a}FYa}B>6Zy5H8QB&)RXTF=C2Pn%SJR%DpB}EoV|9h67leDE zkoPeRk3_4_E(L>Vxb|y1fyaUF&=2i8Lw|q&^QwnCzgN?Tt57HYXs;;~taoB=DJy@0 zgDAKOwSBQhN~rMm$&A}dk=6jn>Lh+Mi+*T$^E(w8V=SCY=H0x@Yk4+TX#ph$CNy_c z-j8N>&4a|D5W+dX+a`Q^Fm}mrqo_=VI&=Z zWSI+=vwX>W6G1u8BT zlDz_e|T^$lU{`(RkHZni=76%Thti{`O?y4wHLUoU9?AaeIC8z;q0idCR-&{|>UPyJ>$lG7;l43$5F@c25fv)A3! z1ZvfV0m1cIo3$=`)nY#0-l{&eL)l|J$d~x{Q|;j0{#?tODfju8 zi2ma(G(lHp?eZL;eAKmQ_T(u6y+WZ3{3EyN9b1P3j$)b7pT^TC(j9&9A+M#*FN&oS zp&7jDowtC*7-&vU7t1Me36FN=|Hzc)r&jMw7W7ByL?#B}L)9BE8hmbg}^?2FDATD+582q zdX@jS*lJBpXWVXWa9b(+A_C>P(rXb_l1s{u*qrssuX1`FIbqN**|B@b%8D5f6(tKX zfa0##ew51UDBg6iwzhS=a1z9cL~BhLEDyGk6rlBn=;`UHe@}e-R&{$j2Kot?nAl-= z{$pqKY2HQ@2yTwKiWUv^XR*v{NuagNsG_6Dc(ljF>HPD4z;5s)-bT2_tynE`BT;GQG*u8|xz;rprTgAf$q(^_+mq1tgT68^05I{_*2Dj6wp{nWL7g zxK%)!Sy0J#8=iw4-eY!w@Lk=B$7WcI zU1|_H^SSQ{37b5w{fOx5k2DezF9#dvE$ud=Z z0EYkv8Hl(DVP71KNLKC_2lKHghQYNHTpA4$fv0MI(Rs>iboW2j<7g0k4~rG+qSBNf z+DCYB0wjQv;loaYuRni6)6*=wz7oF-9F|u~D!r4AD+ce=uLrt}iyasq?r45@_!u2V z3;ErrYn_(+b`&zDE{S=+E)ns0UDggJ`b&@z;cI>Vq(78}M{PdYaLT`?I9>Z~aQH6P zugu3l9Ne33=aE%TkcH@Gqm?dO#Io+eB)@r_dJ5R+`KCP{kXz~9>x|i=Pgwt^Z~9eT zsz(`beI|*&QA%j)bqpqhRyBfoMZMNu)4{3}^MDTkS4J%@g-S)d2GZ`1h(=W!km?4I zji|{<>k<$WR#;3fF0{o;OA0q43pHpQL}GZ>#z9pJznDcQasNZS%mBdj+;#}LS0VTN zCcIA?6crE|ysz>mAeXoaS zg$~Cx&9_G#VOwVzULBJsIB!0Kf;qC>%jW%i^&)B>9t|A`?Se+Bkbg7F;AOY7_hPXw zgjNiV4+lp$@4Nv1XLsh#D-nAN8Ts%=3j&Z=X zkfDC;H8#Eo%|KdR7w_g6aLCU8HQ$%F+VQkJJz<>u{>_myGcC-?zW)Bj?kwAszhna* zOJjXLmWZh@Nc`iSbamP_puL(1`-&?AkN=#3|Lf8HC$YHKE(0=J_?qc}+STdhSCR`} z+9SYUA;?l&#eZGkaTpxnNI8c7C!dA}z$i->VM`hRx`4=IPEhBCW#zww{yTvN0|tNX z=<1aGH%3;(5XfeA$*2V({w;D0!MQ z7{P!^&~)&-)Hib~+=KolE@BSoeuknldEg4&6bhd-HG@biVysGj=>T2j%5UG^1%o&L zAgOZeaTp)E6t)|UQ~Ct0M>I(XZ->D@(hT?dLB&gk*hm9lQAsf$l8|l`B|#nM`T6oy z?Dy?sp_0-c7dLWZ|CkbPN;Y*Q%f;ATfzU7RhdpH0U3`q9`~&J;eg5`7r=S+b$-mN* zzt9|k=#Jr7Y)a&Rkv0WEsdVjss*ij&19j&*#95-izvmZRwn+v@&0FX{Qx3kLHmK>0 zA1tjb{(BlkaKMdot;PaIbpM+5O$|`jD`FF-`!7KwSAd`%`lj*;=ig6)?ijd$qX&x5 zg)J-dE_k_c$D_7@mH_^`zw5}02k1PddmHmtja#n zGs^PM7a{-m^VL)YCT{ZtX@~sxxIWzkcjo`~TU&^MyRaZHbKozs59F(A3q+ZHM#t~-rgpo zKV%;o8u`R_>+z?6rjEWwwRDb(=N;kh4v~LQu%E7w@G%otF!b7c)%DgjEuQNC%W^!z zCad`V+6+bTFX@r*SF~ckIAw4Nxaebc+EG1;!X-`S|EQPfUU6=T^%Vcu^!{2RVPqhl z?dRD1UF-dO!v7zCFCFpdOAwXbie%k;QvH;!wK?8N1=;f(4{uMSYkjLDCMTb4EsN97 zJOGoTcI__d^T$14RhrN)`~~9S=2bit+vGF$B#5v|{^b$-iOsv&oFahYWIaO26;JQ& zOLDb$IvHwjbA#8S7oLLuDM!Pot*H3!^uJ8nr^U}|w!C>3gKFU6h?D_DqrdkI3$UKc zZL$E%p+EfXmw}S)2^5SD5m~13QbOJd6&pqA-h}5N%?O=(XU_)UOxQgP2_dw-+|ySZzJX=DY!x|*Y`#*p&pGKo(yVk))!x13KBMy9z~x;?`3O6G=Qi6 zN{a19JJ%n96@w@dy%%W-p_&c%4>G*t+T%9-i~ZTKgn@rzoyk^Sy*iDr>QYmNlgeK0 z`h(!_zHhJgqDOi|e>UQBb@c{=>AQ69XrsH={x&-lqg zBuR?@3$h?v>9Q+BmOoq&%Y zV)g@{GrgF_=}G2v>!IMLxvU~<>|o7>u*iU-+8_m(GW$<9xBiL#pUkddek&y^o#wM! z*{-RZ6q;3a5E)s?5~dThd@#7`KOt=Xu#V!h(I;Cp-wnd;vdYj%=|L~N3-+I(4MRuC z8g_YNWw@hqJH6xlZdp6wO~t44+c$hDc&HECo(bzr_gTZapCIqQD*TJeFgH4l^+hI|n zcoAjIb|Qi*pdx)VK2c?H(6ca0>zf9fi_^dke)8YEecfciu9-@ zWi97mF0FBl+aEc^&bFI{*Id8IeKP+-$~#fx`qi}|VD&Sce*TAPeXrdBt<*71 zK#kT%1K(A-9m>77G{F0DD(py>Y4%)r3)*kv{e{AWGR+;kR~Bn7s&CHMpN3*5I|fRK zLrCNp6cnK3*g^7&CcIHf(f8)cxt+4R)|qz-F#kJ#`ImS0eck3wll`ZE#7&(K(Oy@j z@yLH?J`a=VV%@26cOSPg!dV%eEsybU!%}8as5h~Jh_SOi>uoH$%p{GaHjHf9*Phaj z@{~?oo?lZeg-JsEY^LKJl2HQJp|3Ou#lCV9DQaG#68`nkNWV)0Pgoy5jIa^dfN+6zE1%w0^3P;7aT0`;6tC6|Y|KFD&cmF$tAedE z5z&uAEU0nf7z8&;KLs_bX|`I0tB437U6t3Ba%XZ}O|#7!RW$WDA@opHn<)CCp+vb} z%ihwe(S!;E{IGM*qb^w%DA^OB;IP$3!0Mr0rahk=~^HEh;p0XUYN0XQ+53P_`}})(t7{W_%sqqre&s} zW!{8gnbBLj-5YE1Ln?)x-^S7}V=j(ZXQe+#x2rs5Xw5&gmWk-)9OSwA-3;{hu?_U*24|si~>Obb@5|-jINIsG`Sp8e{mG|MLcsS+s%s z19Kpng6&``Sy*cB4#H|+JQ6s(nyyOUiLyeh0m%;;Y09<|509NdJA^jhy;OeWU z@#&hi_gUk(k+sxpl#`qm@&U^)>KuC`cF(wrIq0%k2}Q zyN2{MPVNo*rkT0qHC|k0bKRe7-biJ+kVmP-!K+)Z2(Gtwrxy{v!ctg1C0B{D|7%h}%h9l4h`;L!~_er~6-!f(niwpa) zw6KRf-bHR9M>R2|qP_!Ee`8bANT}7!adowMKtKWk+sBKY9%2BN`KXd_5bGW#L`OrG z9n$wAKI}~DE-_=FfUvDW@>k!Z0&V_+|9qsM{hDq2h2_jmP^}-gTdG>|;x9dZRg_kf zSjx|`TK%J+%|DQfdGP1C9}-!zrBu0-0DoXSE#SKMW6Fo=W-+sJzJl&f6Ti_m^JeMK z*Nar++>Hxok@<3}>q-p9$_nl>XFKPf!d0k5BcZ-TU%Sqk#0$PmGRcDf>p3Grk8@Ax z6)idXz0b5w%Hn1mi&Sq#{BAFHMw<=iyf)?Z$0ju$NNed&71gXTjpnr)OkV_BJqL&F z+F&NfrwoDwVJ}Z}^MYDbv)I-|#fU1cOx#ao7u)5}Z#Z4CY+k!SGS8VANEzpPgC8~j~4Q7e+?wp|Z7KUv1V)C^$Enq+1W2OK@ zgib&JtaNvgw$uqyYf)UDE^~qkpVi7^rntrKXis^*o-dLUc8YlCFjK@S)OMVITFiJ; zu=XftB``TtBQ`=dpaPtX8VDR&pqcJ!N7^i76tr56~-NME`L?R>Lk-$>xna%wB+Ah8GbsgMW z28!N#_>B7`f+x?#nkU+Ltpb`vE;(QqCp$e%2{5y<-h`QiDo13G+twLsQmq)fK-B`c zclMGB>ny9VN+MhcJy4l4spi|uw0yH6Bt&4kdLQv_M=mBfO{@+S3n5&-Ic~OKOM=boE9*$`vsYJ9y<)bU5OuP2=x$hG1iClS*e-PBAkt*mTvx`l~fbhr8 z{^Zg;<-uY_I9ff4TdD{_b4(O1viLwbi zfcmcsVvNVhzR6h8bZ0aZO)J00N%^J*i1pwzfp`fml`U>^2kUs0#IQkZ#R-g%9G#<1 zL;=}jM$*BxF=Eyi&kY)~^@JbEg=_7M_7)Ss~O95&B1G|D6%e~V*} z=!F)1=e24)KS;3Ze9*}%>VHB&|M|y|{4AI_kBA)|6V})i=)hvaQB#(z6_2sC{a6u! z$H}n8m}OgMbg^>2BOeN^Cd+BS&txUJ8dtQB4{YqGVb9`yZoVmv^ELkaETN1F4Q~e% z(<2vhxw8%0&C-sViJo&}U%Gy;CdQ4+O}KH>CnI_-Ynsq0mYWP5vU;q9y&`5aYAja7 zwLU0FGh#ySD&m?+6efY(1$j3ii7S*Hxh`D%ROwXL_HhE3AW9H+@a}=+_6G#3eKk@B zDqy!9JP|+$pmq&MGXNI}rB;uSA!4<2bOf%d!1W_?n1g{1o8;+Fuy~}2h=L+_*)jK~ zrl(=O8ORjU)YR15gshtr75Q9@W`V=`YVwr4goK0)#d5ABPpx&M8C4d)zxn0|oLFTY zJEmT(tsHJmO2@LYBp{FB%Ew=Bl6S{*Z%jMQMqjJ4n5<;bcjX|V^PUCE74~>LzZg-f z?ge;OnYco?3{1VJPJ3bby=Op889a*bb^({PoI2} z!sn};{QTxi2k*`i_^Fz#B9ue85Ie4Ml)emtkh}lvEr19kPAwgItE?(5x?%;g=)T$D z9&c$i)Y>1c2Q{8_>YY2-d+pVtsu{eBdM)^>GWsGY?*`Ua&%K0ySdAY#0J*KeZfK$v z!>o|mi9r4NfCQ?CiH%_Dte9DMO1c@Db6KPeAFje-r9moag3p#d=8o3udQWrt@A zz;kfS_V(yzX%0+C#>+u~mV3 z$%`yPRfFp9mU=dUlF=tYXSy9SfFm_yc8~v9!+-ONe zw+WE;@rw!$42;lD;&)Ot(&(gzsH?}_iO)oMR#1RgM|q8?CgM4PQ+k5hjd-RTSL0Y( z*5)*lD^xAC&01QX1_y)p2#=-H5n?NIXbEL@@y>UQ+h=66^%axl;W;uNzVcYfeF)lM zx6J?_D7OQmT*`P4Q&2bwK)JkQ2RUg3H?Z;12BUC)fKOBR$bP#(3|5-IF==)AkU+Ho zQZ_usCb701$#oLF&)0~muiq{}W%n#C>ElLE3pjGpa)`TJi&tzqJDNx}gGQabXdRvM znCfz*KRp{DA{F(Maf#=~lybqeaMtrR5I z1dw*n$}pm`2E1Vt^$^o2x%TFlL}kx_CpdE^J%*yNY8Hu*Zqr|ogV&O7Zf;$l5+hVS zVOVJq)z)+Gr~?VvingOIUxhhtPw{a}NVcb;kWhGOaE6Vih)5IgxcKTD zB5y1O3uS`)Iu>a)gC@>fjIJif$~p$%o*6Iq@bF+nlIf8f7H z13t~KGo?XiT6wA%hp9eC8n;3FJtD5iCT64FM5Ih?EfY5;5oKhwKtZ(f&Dp5tLd~k- z2w*%O5)i=dB^s|x4-1y=Tn1L=1{C))ap9b~s+9W1$YJ<x$wBZeVlPMo5Tz)M<8I3vT3ppq>$3nmS;vzG+~-Ee%gm2Gr7^X*#(^h@*>rYx zzJy^`EEB&N@4lxa=)`J1L<_fN$Ul=dr`-1|2xdh}m>&)~E4;Mc;PT=euo_?<0D7@8S`39cW-2spF8PSi;)c!Tx^(as3urjH z_azs0#niYu-#K3<27@`!GT>QQ1~;0(M48hffnF_N6}Sp7;v1FPOEjz`N8%I0*l_}I zHK}Lo2iZ;JbW#cMiN>L8Ev|O)GYOrNCgYzVuzxlV+Zm?F<~&}!8-3wu0FiZX+;G>RAexW_8>OjjGYxtTu1q5n zxjedYFi{6%Y&URpge)c^iV+c6*NM3va{n66NGFwnN&;8-m|~Y2S{cvv8?T=50r|dJ zS%eFX=c)CYA}mK1jT3W(07#bzqL!nV4rZM}G*xJHWi@$*@e4DsjCR9CZdzbWK;ptn z(%3jpDxTad0*}P}6_+M`N5-9mTdUBfdnD#v36u^_X%~=E5geF>I7ClO$DUTyNM3*x za!ZVocWiAx$FG}@CV3fAbwK`A*N1|7(d2Ue7G}wFno7k%6+xcx za4B=o>6Fxn`Zk%-$KixY9sT2YbriR~pBnYC?(lLlFz^;DQ-)`tinvCs6bmb4L7P5g znOuX(Ffqkl-}m84m@4|>EP+YOdu;D)!Z6K2+Dpo4FX9Z8my)2zPVQAlwZH6bWTX;s z5mv&B?trwHo-U`xE=ngV6{xcZfe|()r>+vCx#C&d+cK(`8knYWtkp2rS&_L)BBtt* zt){=;Gh@9PdVxVnnMO+TqQGOUSa@ssaNzSBug(Bwh^<(l#cXnU+~P&lQ6jHwx6%jLJ<8=AzP%f#q%YZOp^s2Na`-@@y zPs5)J*V@S``AKRnhZ8`5@egHfnatFSEwr{oEq6ujvS_Kt+>(8CH^xSrAqL6p|Neaj z$L=mc7RzG$oMPJhP_9^HKuZfva~oZT7HtiulE7{q_VD;(x=dmEc~kFuLlUn8jVYtmU_PD zdX>Df7uE-7fv5gjgk{m+10;}FqgdWHPaeFmjU zIN|BZ25B^-Y_yBdUE_}<28Kz5eC!w^3Dd0g#r z3N6wO;$mP+;CIp~fY`-vh6G4HLgz%;@8~?g(dK!|qSYhpcG$bzvqBB+0d|j;Bu{=g zd0loO5O{Tt+RlsZcVRmJLkJMa^FH+xsr;~rlDfGTP8N+c0;eO%% zUJ|D*7{YJRo_B^41Rze26}hN-EyJ05x@JSC9r2xMHyREOaoT56Y0$>mu|odyueb5d z##pJZL#-N<_8%tfN~D!8_ZZDq?^<_8#jvsx7*47b#APdH)`Ja5&~$ss2OHZDXoK=T ziTqGxIZaN}s9mSn;EDkxnR01m4YjMo?4ObH_d+Yy4N`=n#Y3C z*%QE4=e>Dj`7Wl3a)s$du9B1VQb)9)5BcrtzE6Z)e`>ss!*smsyC zJmGe(@^&3Yj>WVId|2DJqoY|t&UAI@=#1J*bx|G!<-nqvKNdS}CLxYS12i$r9u)9= z*7$Mz(y=vzI)UeYSV>l&@GOt6$jI7IN+cFdd5-p}e~CWtKG%u<&t|{+`qG{0(?E{f zpn71%Ybjvn-d>~~OU>97*`|Mfg7C#!;+laa#;BVuwsYeeqfY6f;ew|kk+dy)E0;$H zPCzh&U*N12>U99boZdfhUdv?UHkCO&oYdYyOzO@e@^PUaD41D?7iuXF7%WGM(}95w1!|Q zXjUdVIXbG>mix=lL`M{A_F>+xqYW@ZQao{^-Q} zxc>aH2ig~+pZ*G}`tnet;Luu+)2LASLFl6U13>EK%+AcP_?mEkEQu+a_8JPOohv%_ z>&8V@QAeAs%tx$E{D;qYxR4qdMmqB24tvKv()?@{7g-0hkr%2`t>SHR#oXtI0h3F$ zQG2Z09>-(f8XZp$=7#;bbqXmkJa;Zny&*TxHc(?Ob-gWHgkD=0`5KMr8n3G&T1leh zyU!oGWUxG=Jh5p7qHz3%TQR$uQswmb`)Pk1BNu|)*m@1y4N2(ZqpG&D;$Hc#HI-ZN zGLNcO78IjXGl+2$gi6U~q2qHjKe+b;Xh7Ixy8B|eEY7h)BG|e8nP5PwD_e+Iwb64f z#dD&Vtj=s~3C?*WAto?UX(7^izB67npYz&mrH7wBT?bbc6zHTWSt~&473$SGIjQ2B z?^vNg!AA6nSQue~LV5-fkh<))&?G&Jj;;pK$@`t&qez+$wzjrm#_Rp5X8a&~kz)*s zD$sNiZ~f~gPEqa|Z*+dX-Q|Uf!PD2K(%13~d)_grCEvn|r`WZywjPLPawBrmT;TGC zxBlRY#Ye|Z7HTl;OD?dcO}vfcij;j$q7ZXJw22Rd6Z71jkS4f>+hng zy6YRbF{|nIGuFq!Z!}z|%Np)eChEJ5Kip(KR#ivMXvUKZx$4i0^z%QTRk>i@Z%}}6 zFV3{MDIyH=BLriQqSOq#e4a_4u!biNr<_mQIaPTe3fJG}_0ix=b77h6i*Awg1W$j0 z=+e^W?i9Atgp$>Ev4OIl^|ubCoD5BjaKBBwMRxI0`M6yJ8=7FpnCPtnapxtG8cE z9;P)@%aeV;yqcMz^!5>VUUIiXlr>QEf+<$puT7AFTLo}mZYMj<1v=`k*$^+B$L*o@2rQRs%a z5Z@lm+W?@DJgLp%!n0oxlpQ1kRkoe7tr>A|SdI@jDHW<|%j3ao(u2hdkA96#`Y5@c@S5A>c7M zi;#v@0|^pQ+-QcqJ0r+J6_-`Bkvzg-5=nX+-CRY4+30?a$LU;_>|kbxyxPeL?YT*8i!>VA#ehQco5=15pA2*@I-^v< zG6L0CX^wYvWla1I8rE}PHC>Qr#Kn(}_(X@$4T(CXmmo?_E#s)-J|Rx@oBn=6CN+7( z*V?0Ap`^JSU<|$@ez)4a{Cy_?T-18-!DaTj4@=oWT${0N2gYIuuiS@VS!WB+X<64U z!%j5Y1ZrBp46Rm!;wkmzZ!jq$qEihX>+ujOUa}c?=c7$+A`(s^p7|H=V;f`jkv?2f zp4*C&m*GNNq(lftI2g&QDQO;eYauD>ys0%>sd9)lJSq<(XU_kXW2Lt&G4cB&B= zM&VPz;?r8(F`oj{y=V|Ypkbd%?F34QyG*dd_O|}>AqgIXQMVWESJKfZyJ(^H8H#OZe~uL z8$F8&PVV>-XOmfq{=vK!$VyL1#uw2_`{cNVkTWWAM!!c5!6J6x0;EN4gJdhS?q}3GoG*DZL2euDuRi8>pQzmW`X2L==v9>H;6!nUu)@5oCQ%LF!{3=4spC&k8xU}8dM9}`8HQRzgX z2JKwM8msBRN6+jl5?^#g2%R2khga;tR5L2vg@v8qpkFs`!$4Kslq}>?%)CR!87|-|PhgwG%*Umabc{$Y8mUsJm;s?w= zo_m^q3h}e-)-3lYiKIgeE2$!*XEAQqw(IRKv{{8aa4))iUVOO{nM|Q9M9iBUxwBB1 z;f2^0XMxPWz_qPb^m)%-n(Iz2k&JY$KiCoW$0=sQdjLy1nQ35B$%~SoP96SqCY5z3 zO0tC0)yuY9D^ypGMi@!5VObF^eP-?rB!Co}$wL|gE#^Z!Jyc`|0QwfrI z3X=-Dtk|KW3~;X8akm}c&iCRbY9K{!hR#1jSx!Iu5h|RlMy3W%EE3U7D@u+vwX`k# zqn|Q@oAt@P>etdkc_d3HU)o+AYVta8Kj;`hit1)%^8826mx%t}cYcK#E|$CPlp(Y| z?Y2R6+1on%XKAhEyfNMd*M-TSR;_9EeBl<{bMCA%PERWx8}EU{sMOb=mKIE=lChfY zlAkVoN2ae z1<)-Kv^DrFKupV~9y!1R7s%Z#jS+TtZWzv>U=o&o#*6Wly06%Jb3MsPoCgfCVZb|w(EG#nDxgpheCx3XIf zO>?`SBt$m;;w9&WET$WOOEZ=BTg*JH$8v5cM`a41T$bqd2bu?rXUI&?IncaP3Uq)@ zogaBN?i`!8Rd!4!Y9*OAK%^;|mP8~|Fa@cu+aP;hKbDxxwOEqmfyE;8hx=jA2{C63 zTHGNR%~Zt_nL^R)Atz3&;#+qDg71YnSxW{--RGXHm&1HN+%H|IrI+fCvTaxEd5sIs zJY-7ADoTM2`D{YY_l6MXXOFnCx)a7A^Gq(g`->fupqp>3Rme?GMCqoQZqS-7qib03 z{BIFn_w{sJMA-Z}+LUk9&~OVqjGuAXCXliyExpxw zei~{}_!6_*-~%&W(tU3TZLPXJ{=cE)1z7F#VGNB{J?r7mzVGgEFh5(pqx}yw^mh>7 z1nk3Zvf^he|K;>r3y}XqBTJwDczRu7A$3DRQS#7vgwN>j_}{LFMpy}Tw%+r;LFe#h z()Uzt$E^RJ>|Y3dkq!RbTKHEO{2gckl9~VHF<5<+K+wp{-SlorY+tW#viw_t~v zKuTK|Arvsa=rJTbirQ_Dpn?HST-Gb4|70KtzR7Tn@Bgs(7C=>ZVS~6JDkUA#9fEW# zap^8aknRQn36)0akVZOGy1TnWK)O?q5((+rb5VKm{r20P-P!ri{&&8aJH+qad*V6I zc{=_y?Ld1K1)DyS_>jP{%*sSN6_fQVHanff_x@7h(dClpV#k#=cV!sts;3IO<*sEM z;^%~IRN=5}NB4?|qFoxenXBEF&R6ZzFL?SxKG&DIHOAg5cdd(TkZGm#aF>O~=~4$O zT_rE@5_njKmmfv$(vM_Bw=VB0cMz~YTv2TK2*PA=kfIHgSG4B8W`(0#2`KHN_CBJ$ zX|q%vDc>bY%#{t|7?9kwD{Ovxt9gT1GhPrSH9ko2_$mWns|PO6OEdgV=VOyP86Vc7 z1E6u;k5GJhYacN%*iWElt{|4;rimy%R;Ma=dTe<93x3HYt=(7mWTyo|q*)>;$-Z%a zi~F)d2h^_hDh)PxQ=rOi)wP%WLQHmfdb{VxeN3z$@s;{@-Mlm}GpAUeuvqj8G@Gk5 z=V?8%&VBqts*TDPyOSyow_KaOml8xC%Y$m-`w{MhS8c3--@)*%7}evV5kJo{xR^K5 z)AZG)u+&GaCJwsmUX$rzVq=s-wlD1IMwbN4Jt|&TSAMk)Eya^XfhLnAf;5u9;~%47 z(Q(N?y?!Zhfc4M@NPFXPFSd-FUwIK@6UGx#Qc6omaC0B%WICj3*jC}=hLnKtnH67? z%ed<~QxL(MC>E^$Cj{HW_&%vQv(#>=%47VRhlkyQ_rufm5|tc=n)^PA1Yi_B>xi*8 z-qfyRx@od}hredK|1gtn7Zy}$g{T3$+yI@}RW1jF36}K*m1d9a;AAxu|7gf$G4H$@miA`(UO=n;Y{}$8jTajHZYAbpw5oQN{z$ ziK?IKE8G<~77s6eya1Uks-r%#Aa`#Vg#R>;;}UE1PXsBXVHp+$1%)a$GUTN&4K+3X z*F~L>!W{b_IpN-v#Nh?Gk7uQV+Bl^?-!yuWGr?!f^{ptOdo!t0JE7gg`t;?GVHcHD zgLAs0;T_<`oLdEeNSq15|Fd!rV`|cTr$lVt^+W%~)X6AfLVoT@pv~)llI%SGxZbVu zd4u`mTj$}=MwqdkD&teYIq1#_^SUd2KZT(J^WZO@E)9LnD_p{;-WN2Hj`SywDo@1IX!-SqKJFZ zJBd1}BX*MjYP14+m^9Xj;iYAR-#9>ugou^(bR{U<>eaHWS_;cY0fzJvy93$PQd7KX zm^E?IY-q6LFn#yy-|1KGLOZNO{uL9|>bMU^ouDW~r}x?&fA&be;_T(f&A zx$?XE&M(q876%qvt7+4F6+H~4_;xfPTc=uD$mb6bp?soY1`M$A-QTwEAF2t0?xB&M z)0!9$J^w_&L#5b#YT)F%M$>=snQ4$twz?VCPxc3r&?{cR1qN`cUWjMhO8?I6|fxD^vz3a(TPJo_(p z;{RketjIJ-8lR;+f`SzXc3V*~&a5+svS8Q@1h$|nCFBw;Bj7gWOcva^4qCK+ z5pXz|M)+3&!Ix{#0aWJQfK;9_V%G<~ z0kaO4IAS?=75;rWya{lAr~SVO4vT(G&Pl{MEc*d<;z zD1);t3;P7{7o^^LX|EX-R*&p3;80&Bn-)X@oXVxl1owtD91;q{hqSk5GNAvje5<=~ z@$4pVsiAm7gs7BoW;o;UnUP5Y=k-R3@#+G|8lVZ68}oFw?*NHX zg^;tnVd-Z7`X~Rd3{d@{k+_k+(GW^b*f3cCerO49b;({D$KUq=+F~xy6Zx-)5Owf< z%UaWGO;W&JWd>xFje_jv-&8>vPz7}>H9$+Q4bcOZ+#}I(?Y@DxOF#?I!T(z7|F=+W z=!MgJK3!PMwruyCeO~9BCFs7@BzT-AJ!bU{!*w1z^roF((oCV*(!UN<1}L$4Q@IR_|K>Y;`ExzZ6D6Mmngr z`TT!$-6A2*Z3fKPYw_cRa15EK$&m@8!kM8jA(awRAy2Z0@M_LlrhI0D46SgIN2+P8slL;$73@uq(_ zHY=b5oGQ|r=|?$65C)-(gNT3~wh07s{;2yJXB-yJ@6q4l`ID$a5$H4!6QK`h@ehnauJ3&$ z=13Mpl6`V_$OzU}md4D2pXwh0^b#rl&+*4sZ8A3vV!^TT{ipnq_>ol7?-Oi5f%3;W za$A4EA4M@%xNQMkFT;(m&>74o3l5fjDUIc?BLI=f4Lwty zgnvDRJOSUAtuzHK(yoomj4N@L73e*u^Y&;PDCh1Ba21snDH2!b1WCh|u+)i)jt(*H z3w?K$^>%rTRX93yAM=<{6aM0(PN0HAu}^jn&tEDXw0?q}%j=b^Tv(_a3`93$zg=4<79J@dZTsi+h>-4K zU}D-&@l`=n#0`8Q&PqdVX1Nr>@$7li#Tt<2X?nuxsX(^xl$Ol*gpSr50}FNR+!jsS5sj$v{1FD0G-R z92Hc**>Pk3f;#Ja_ePL9TA6pR7OHKsijcB2c1`snn+8e!mJk}0028=e`Sl~k2S{a# zEce%my1QqB6=cFs{!Q!Jz|QgC4*qokNOhoOk*%@#`uHX zUH_~JCf>12&c7NXk86 zcs0U+vOv9;|0;q)4@jW89c_9nCH`AfSA%W5ee(%k@d(wD#dZEmiV3ylHU)^i|2@nlwI(%(mfgx-?;QVU za)4;m&{A5SxIv89QK%eFS!$wqT9*r^7 zD8*X}F%S^}iQc6@X7<(xhniO3-r-_Y`$RlYu2IQv*p(3{weUmWJY+daKIwT_AIR5$ zu4bR2!Kr8Co0@GJ_!p7|r!hT!M=m-f`c$o$K3$$Ei8I%6cljwkmof^Y1&(s6#;SI) zG1KAC!94_ou17hTm>3I-3oUEcj!0;#&5Tc84FX z)so>OT3W0W+mpJ@dir`1=a!mf7CNP7pEWfnm%BJ>Uhh89)eRH)T5#skTjuXV}oTo}HZEc6b zvce32J_$qd?N*?`D+%93cYAufFM3dw!*ngkyHL@Nyt$~SFTXK;(%s@G5Qs;ydfMtQ zI#qL@r#X(p*4oWDy~h=gJmN0*)4z`| z&M#5LunJKX_HWlZ$@_RwwY1ircG6%-NxFV{v1H`3<-wc8xzkQkPr$Qt8cOj4N#l@iZHS*EH*S+=WuOyHMHrvo4FcbXLnriSAsreWIk zPtw1z8B2Zm><;779Ti#mUhwWqj{u>PCwyb)2fLi9Oh~tT|}fUUVACQGCI#RyFjcQ!>%^ zk?l$dazD{@X*ah;_()`i3}20Xy{nYk?WG!L7tqX{A?{=(|KR6-lufZEy)vy6C=(v$ zT6XxIYn=T@I&!0GkIK8H-@@BnpcJt~(f|YLPiQy;ROU-^P5QS+lH=}DD54A@eqyf=v3X^#AHB2(BF!{lYU^-U?%OT$ts(FINwc(}*%bsGK7jxANIl=u{k zc(P2XbP3Bc>D?q$NM`Bj*$$e$AgAfy9=$G z6k;9zL@$zCOhIvBw!B7eWVT)|$vaA!0m^_Q z>fxea;pdPxDAkW=;DC~MRapp*W<1Ek`r)ubDy&4-2oD)InB*c^ zy*$sVo*|ne?|hYpXP1@3tRufBPtEn4^N!?__g#>tD*4bWxaUPgmci0-RuDnAQ^tGw zBv-QwS8-!wRZM}G=co4xO^UL2@^L7p2e>vD&p#tNIshfp|aa2&O*zz!`Np3t{4Bf--fj#M%k$ zl8H|VhO;6gat124*Po<0v)QeT3j27U9%pwdn&k)XMjpE$VI9`@_sgFgB=wi)Z!jok z4umk((W+J!IRna?RTOQd!Djkf{ZML1?(OL7H_CtpS@cIXklc1NKYPu%{iak?m0LRv z-kX{_?Hq3DsS#_}ryP@fVk&cjnTl76%OqVGzKr9=*+-_bB77iXWq@k-&8^5|!)SV+ z@^aRlsF5skkXGq>sIb7kcr$lzP_1se@ORVh4tNipBhc#p0fj*(@CMjHy;miNs{>Xb>9U;}x2f`%5+s8zIJq=L?74=H| z6|X9$T@FzIRda#_Gyl?@(Im2g!m8Fv`&U&+__1d|1Z_)J`dcOX1Wa%ba`htqs$mId z0dyiGeTA$)dhIU|4**RoN|~kWx<~@LeL$;4vd|9ytv{IpyOwnJy`}4-&J7p>Xl=`T z5o_1Qq8lD1KrTvs8o2XE4!%^m+=GCOWq~YZ|JT$15c%*+BieDQx6BFKn*+5!GQ&Eb{-JSmy^0*MDg)ISQ^y)6+ApP$l3vPl^e13jp4%UhB zpbKXFSuH-pu6;l$9;gjX za$oqZ$|Wp!A9?$ry6Q>p!lI*pnVG@t*^P`ZH9uj0t}!*Cwd?HX`;Mf3xYCwqS5vC@ z4v7WwT|PD^hjf4J`lsE8N3SCutPXyXEL8mXhL=|(;`H=L03`WNRN5x1$zZ6LC!&I! z1iP7;yqk9z*=ADHQoRokJweZKT*i3m&y>0X;LP`Jb9tWpKB4|*~S;NUoneG#!X zLVjnLFCVsUA)&~|jcm5!W`Fv4!1JO)!j6VT>)|^N0Z%MI_|z&Ei?x^pr92&p2Yh%*qC^nNmF3N4v`?$~!khtUc1m-(e@RU;F*=6Tac z_x=5IpPAp$)1ycH@Zk-uglpf@f<2*k=sj=8O)J;M%JjYq*j~0DPBU-KTwRm$Gu%c%-7NZ9-+D~l30+)>RwloE z47QpaQOjvKcp&QD^gx>LIb#%@g!|=-v=+0$*e+W8lj=+zkwX3Xa*8l2m&J;KrR9Sq z8dk-0IS(IHAIhQ^ZCPpA*=%M?gc(oL6aAYkT70uI3lSxl;Fle~OZo20_|hL4gSL^w zu4gF7crQRjs&@iJwIT@SH7#a+Np7CZ>$CMW2IOyD3D}koH^%KPEp1Or=#@JR;40c5 zg!3sd`oKw$D#FTP6hG<`zp`9N^DmXXw@7J z?5$g|n-&?))a+((nuOem&CztKwOd_UfthhMiLSYowb|9YD7d#~&iq&)|N!!{P`LG4Lu!ZD&txc76_XC(Kfx2LnH;$tRkO+hRU^Rn;b#lZ@!pwo5qql44= z=HQldviK}z&9^)tP9I>+WAR{q?;H&K-sx&9n}CEDGcz#J4tmR=R(`kH@JQV&barc< z)`iyG<-Ypt^M`{1-sRggQEtW-XfF zLc&ay!2>{F4>R&~mBj zH)o%_*X_%nr>0In`5cQKDr5&*l3>jv7CtlunQk$gliw&1*>1kIK*Hse_(X?<6u|8^ zQ*NVA(?MX<4p`H@P(V2Iwj|S?}hR#3(hTvOJe86|K9xLL-iHmbAY= zh^XLBBggB-Gc+}|k{+o_#)h5@ikZuYo0Gv{NWgj&#o?%O<*t|8y7~5DE;ha6w2WJ} zoMcD%uDX{Fr)QAJk|>bdinto?o*iX=9RF-29^3h%z}i{M$MOz>$oD66TgUbtD_@4U z6kX38nOLx#=9?;)KWEQY6zZH~hzyE7cd= zR_A*OpJMgMA3VT7D8xcY)jT>z#Oz4Axbyn$v)y1~gt~}l_;xzs)as#8j1n9yLCStA z_Zz&DeaE)F-Vb48BrBCX5A@b8W}f?9$RGo59Z8RFE1Te1o*=TONS?J{!E3R9;YH8 zdpax9cB!~~-RX_0e34nSFQ;!;Hb?@GxXpL9Y$8m;2Cv+N2DJD`<5mrt* zr3SZ!$?{pT0w)@p`AYY&49=x&zsa_ibkZaefisZKOhlAh^ZM3MO%WDMr(RU#v}{DY za%emW?6$T4*OHz?%ZP--&`(eOidv}Js3db)WtX0)rL%rkt3ZQNWm1?u3~r}=p8d#1 ztid`LKlSpNWZQ~)4l6AYLc^YD{mo7OF`1=>A{2t=kz8}d+*l$lZ|`wy(xPDbWOh74 zuXwI}1eD&Uacyt!ra~iMcG^g4Ru9v;p9OqQo9)CA4@9~fmX?-KGjC76w`(ej&fh{g zL5=+}VUKGmnl92pRB5-04QrKb6vO&@?lU}t`MoK0z=%}pYCi8Q$zmNJtuiba zH#9jcb!9hsF|_3OHWoagDNmIq&?O*1A*^<;7#ATdmUfCJP37- z+m2(SS;PqORBh9QHI{=CoL{dPABc|5N>7Y&A+ZHR^L*=8J7}_n`$0&aNxkv~r~Mi? zx#w+-JD^gCSC)s7u)81y1z)rar^VKr+}n3Zn(3C79Z(A)q&L4Vo&*u8>(4d31*~2O zBGh8kqS_WFNf&xJH_!Tfib=mc5z>zyh;()Xww<_I!oL+dmfYrkIn5b4;~st7@IgAwlXQT7n%?EEfPfef!{1 ze0)&&-joRQ%J|(7o2}dhjWwJ?f~Os|>qHCgs@1>Y69$;5u)L@LnVF8|M zK$oWa-e*%lkqY|ikbp~$*iyad6Oh*#=-2lR`cj&`+v3OgSfYXGk=o*eMLf1%vI)5O zo7HwU-V=g`Ep6_^ah%@+X~WURtw!_8d?jh6n6_OS-2JySJ&Bw7*(JDrYZX7f^BZAH z4UFh2Q~c;TPipV@(;8P@muBpP=v{PiMN=BRG>04$syjC`yE5s0^#dT;GQO zy10b?_YG(r@eK@B+OS|{I^~%r!*0OOz3hK7?bf2<#gBJN@$uZ5 z?9gYzjgplvU?`r;RaQ@rno8f(*Oyl0lY67oDXP*M88>&&tN`%OFv$~RdXWz`u4?)2 z!xT?`>zgl-(oK3g-z1%!c^maymnmu}&L6I~xDD>~l%Gt7mk=xEwUUxDZIj#Ue&VPo zv9>lTy?a724J~j9Y5jDs%bB#CJ@=n%6~gg(3V9Twtg(j@zrA_KQ3n@qf1{B*<}jwl zfK=s&dDxM4>? zPIiBNy3{N}oj8SE56^ShA52T+v|p0_v>W~1>srU}Z>#k`ipfdQXZLzyG)HmPD5*SA zLu}3XK<3~(pIV~J%KCjH;uM5GVq+>iL_{{vR?(g;x&(a;+RArMDOv|}E-FLR>p<%B+TnBP=V1ij`jQ2H#B2+E&4 zl&iGg9O=b<6wYOzl+Axex4+I)njRB5KE-bv>7Zx!eC=G$x-NFs?fe}3WZf=jcXYWP z%Z$JNz*#Pz=OBM7*xy$uwCtV3XHJfjzE!K3V0C^hg>BA7bjVOpnr!(46Cv&6vsofFJ9I)HOLSSTPh<3UeMRups|rvTs)>S%xapQ z?aAk04D@^3OY^vV(tIwDTr8(4E3`~pvj{`sSn)h!ri~KE?bA%_(-?O))5|kPr-#*k?eLX|H@=ITsTUcrm-t0}y1q+f2_WY-6>M4B-=qEL(Q{F+&Q6{B!Sa6PZzKfO^&!&PZaM=qy`^5{8AQG~ZM2 zP73RQ@|SMdoPA1~q>tonVG-8g=;_C^o)%Tv8+y+McFHGpQOif=YBTlVJ<9qjs`iTe z-K~h}dQeG41<1lS0YbZ4wF?7>clHEa!d^QqM!z1vCxDhiZsF)TG- zU~ntlE6rFy|%BJw-g5klN25FjWCvoGs3re8F_N5y@Vf1*BJ zo25R^gyHQnQz!{jVYd4>7&N2LCtT%aCyYnEn>;5+i{BVAt1RMc z(7OsulPU_VLUaSXA2glRVD9=q^+$T4nQ9a1?6s4NU%b}QMv?1gl^caugoz;`4BL;5 zP4~5x{_eLBL7z5Bn*|obOfEO1fRdx+NJo0TK1uTADGm3&d6oRGSdMT}(av{-p}ATN zPFpuiD|M-c7Hv;C|BLkX&;{a`%hEV@uDL0(Z}WjwuTBw?{{Iz1j)8^0{yX0Z>DBnOr0|) z`^99pUAc+q=W+M(Y0koCFGDeI?1-P$q-%Yz5w6ni0o zE%SRm3_EIec(bj%!8|#-k5NGjayQ3y(?zWys;AUArG#TeB6}G5Np(?X{(c|h-svUI z7}uscm$yFeKybvRTw)gdE#>%8CQs?zwlOrPuc&O)qjtq=r?Gw3?2U@cpCp_vxm;v6 zY~A6_92JW05Yct}Zdec=h3!k+i0D`L>(tIWxJ<8l1jC*^>&Q~Z_JmN^pP#FfR#?O& zx3?g5l?vWe4W}+j5k@!Scp8-yEtpa|r&ej;r&EoUk3s}TLA-;@h^4jgw6aKtJUkDZ z-r(%G(;GST`y|bhDK|{HaJ8aMTN`RR|6%@5u6*O?kA%3@M5?s%opRr+GpQ77& zxMAiQW8*$t-A(ALD|V61j*fb$K^JE_6S#R*UcWk<-NLZSPN{@`MI z)9PN)4$snweMde`S%SM}c8RoU=YyzE<8>}a`xD#dxjtpyyOg|i(>Rs26&Fo;zFXzD zPP(?V>ZM)0Q0@lZ#ZA)83Gtg;cSG>S;(f(6p|}+%ssRT44$5@cjJ!FH5PpoH=LO8SLVoMog$hy_Cexz zi8LS{X2jz+^CC%TjrTE+?xPvSn>wD=(VjX#9bjGT5!GvKG!$sZ5SP`{ z&|`TU+wjfS{X6EE^quM9%HpsXyH)ZE^d`G!ss0QaB($OW61&s`BE=K1?dNp=z_o?L-jIvfK5l&C1P#kOjV zMV~L6!AG>juyHbIMh|etS)rt5hVPvNV5NYj2Q8fJk|Hdy+rYo8R%;4hxE$hZJ)xjx@wrfA=ia=X&>arIEQhC7PlQ z5`KEKbUqs0N!J)Z$8yW3#Z({B60@q-<$S;U4wK?UQ<0Z*0=;;i(sQNpvI2&j*GwdJ z4-}*hg*7Kie=^o<>XqInN+S)dbH-%3TUlXu_*mpQmS@aKW!SK^+PGS4e%qy;8Q|&R zeHf~Xn3xu^o8GIUH9CPui@_wvuTQxJb*aVPzURs$Q~J1KoSsgT5v);ZP;88(h?hDS zBw&|d`dlQb;n_EwRt~?Iut8EnbSfl@vY(OnDJ<&WDz2ZtR$XkHwG7ZB6~EV>Y;IHf zoG863#M@{7cu&Yk?>6?!J5us0CQ&QWKT|y)%fBC`$(JY7BICSifp(V!lRaDiwyrlC zDVot2?T@;WgI#GB8SrX{@^+^u}|H?y3Hn8v)~*G}cf=Ud(2BVA2JWEgszw!)~*5)?FB7 zYb`+{VIo3RoMT$0E++1Jo%75i8&HOH?Zv!RsM#kyYTyNV>;Y*UXqu%Beo7q1+-zyB z!%PUji_;Mo2t(%I-*w?vKepd!KkvqLB;1L0jC_LOVD>GO96P`+q5o+e{Fhzyc7a0| zW<3v!=$b~CN~g~w95(l2mF^JC!=Ay;chN3&(9C`2t{t??ctlv^@iAj5Ae#D@2Jg{= zseo~Mlkr7`PK}@^yoPptms|pdmUYFGwOAS@|5{SC76n}2!2F+0jHQ?~o?(dC5mCk; zWQ>jR3|88Lq@qf6--h@lI4|6qr+0HXBz=Z0I_*X4>wAm*B9>dRZu6kT#7nv-8O<~L z-iNRB&vtc$)e9ip{){O|dtVUMZ;PsodS+$bzi`-{{Fd7%oj?}m6QaB`h_6BgN}YWk z;qsRAepgJCimS&aRu}ACE>CLlc!Y9@hW+qy!5FFfJB#DjKevz94mk3V&Vf-qqzjJJ zo^B}L+PT}NrNzf@b;PY4#fqD^$Nm|pg>#m-7tZo(9?{GGuHDO?X&c9b)!Od;O|=3f z^%|)&3|vwcS08I`5wuXpg!TJa^V}RQ+X97qa1QV9s&gVABkCTjT$CO!GzQSTj2D4) z*kg|1#kL$h{qS93G^NzeOj5aSjUkJ`fNSimZxeBk?rTS+oC_jWRFC?lp~S;Z0@5k2 zX<`1*P69r!LSKB!o4SX9WEtJ{!`J~68ulnG2aNf%RH-*1;pBPrN==FPMd}*7wgO{L z-xRHlKN-t7=o1jg8EO_TGk9PEp7oM*>?(dzH$7joWwX--U8Ll?H=CG z&yu*yMNEqFV#dcwqPLW4s65yy+-r3)%j8X13R+7sN2$*r+DPn?6<2+J^M39ychS5g z$HP=IuKknesYa^Is>rMEnkWk8z=pa~$y}O7$(^(HgSR*+809{lToD89oFs$2X$-f} zLwC&WGra0i;bGpyv*sD1C4Q3dBA@(baZ$XT^khQ5(s5(0W0=C{0WtZoPama2p8uoS z5WiaMej|yY&1dRazj@RR1j;z&-YSKIOGnVkai9XHYV zS2xgo{WvUWn-f{MWfr1YUkT0B+y|;8Ov}fn5f0BE?YA0lr@l)G^{ec3QbcSme6K8p z=TBmzp{LPuu61^@rhDO28T++qGat2Gpyv1+c8)Ig4`nRr?RU04&#Pu0FqgZ(dN-cC zT#iOt?{9_eLg12#f}zr_b$1&jb4RGdjd!i^2O((uE7ou2?RB@F|KfNj>Ah}W^M~dS zmdBG=YL6xAQoIM#OXN~deH5B)m#t$0Z@Av!!#?*-edU!Wl$5w#jev^O9%^V!<`|f4_o?^_7=XwzfpKMIN;U|_8@bH^Q(obAfz4mO=!L|(F~&0fLA1&Em9s*- z+bnk|GRbNRlQkKgXK?UFFVT2BkG~jE2an_z!AEo90t-m~f^c3@F^6yRS_KVm-iH5X zX*EIl01M`x`7lQBwO|*RxL4|`MGtT-1v%fo5h-)wy4lI?2DeRNKNo7x2;-LOSW52y^ocNa)q9I z!dcucATU+Yae!H5ZIi!nJO+wpVfIF9(fe8@95FTqA_l4iwgBM8wufKATN%eKRZ*F} zEp}VZFn(w_ZU~j!4L*h9HPpHVUhZk|wB^x~9~XB8_`?@Tizdr&#e_)aN2ocuiypRd zr7*>X2D{V2m-xy$>5WN+el%e@*_9vvxmxs|$!mG%jjZ0;f>*&h^0z>$RVfpNBRQSf z76*vQa|>Qf)VOda*~et7`^QSzCfCd z36FXjGK_A0cIAvPl?5>pxk)$LX@CuZ0yZ>BsOC-}pM~mLf$04jilBJ*SR@}{UidX` z#~L(%A^QRj3=qPBAmZhnm^sA$Rcp$0asCHCuX^3;^lAV{zT-+qQb{7ms`c>IH25@- zfS9&WTL@5)>>v%sJ3^9?l7k49Cum0l4479RqfBN2+r=0D>;XiT0d$WW!QoQI;RIF=#6^2pDa^0Jk z1A3HDLsZ&ITww{5{&le3P!Grsi1hj6HTu1^kP$fAo`GWoYv+Hh`GGt*$nFbxw5$F7 z`U9c{-OM^o!}h-ru!t8%%&_Nb3cR3(_ww4OiHD-z;>!*l34^T0$MLR*qg%!=6AcGH z8(CT3gBi-wqP{Ryn?% zN1iC6E7A^(e90S6GrHh8W7t)t8~O_9^X1eM03?)HGQa-$^b+)&{>)pvE;HyVt2t2t zcYH@{%&pPZ=Uc_{qOyi&O1LbIN}>j{JeAYkQ1nplzK0+>^4XGJt?^Hs6Q1&esoH>p zhk>g)@q_nxj=hgxq5j*7>`A`8^KglyYfG=J?i&LL;dGBm|5Px>MZTlWUlT&U6-f*l${z)OL z!Pp0~fGz$XEj4$lF?oo41zPIWWlm8Wp^g$_3tPv{IIkJ10)OZwwiAvpXZnCs6sX-RvB_@(W}W~w z6bsc4j((%^+R_|Ln9N0Y?TIEjN)&AamKW87+>W+VpFtgu%Oi=seCunYmOuK5vzzNU zj=W{UFAvv%8X5?+YWji!dILgY5Te!NCUFY)cCegpF%ed{qme#iphQD95v9iwow&%n z_!t))0`G|X6Rc{!)Q13{pp0;6XFB_(TlvXJ@Ufex3kuZUmT{wcWN=bGRHAKfe`(UZ zJ-@Qd)(dKD)0KC!x$qi8-H#+wgxcAjvjnvo2lw>vDLe`gcO2=mJo+cR6icMFH1EO151^`7c}d_l2Q$J6UDu#;q(gGM$1fX zsUS;MoVYqlw^qu+x8mewA;X&r1i5CV`AcOTcg2e9ijNoefw}5cpE`5?7}?YV`5zA% z(za3jsCf9KV$9oe1bmXUg@oy^gVsUiI{U`QovcV|7$_ z4}VQ=>KpZ?*#f{HvP=rmjp9k9P`R}0s=jg8)U5`lGjivJ$d4*DeXpDn_N&~E&NoG? z(N%7y>|&#_uZ>Ie6xx&s!g4ZhE9c;$6Q?K$gqDkQ5*BtCd&s?keVg3)LT!n+YSkov zwU#tVCsdfG;FF(bW3J6=f9m7%4B!o|^LDL$xvB*;Dbc6iv6kNDnFF8vBXhB9$Ujni z)TI|L?-(5zh_yEv@o`73I<9)`^ZV}q2VD7Wbs^7@eAU_3HMeso0MkO*oF<2;+9s!I z;chX3KaT>3#MgojvKce;_R4L2L3FR!oOOPE%1wkMv&<7jmj@fJB=Y5SljKY?2;p1@Y!Cy{GyviAlC=_m5fPlQlg1(Zf%dbB*WGDzdF zy}bSqSiI01y)I(Rfs5+ze4LR@$3Bw5Vv~=x?T=4>{P?j@mL89uP9vot&tvml<)fU9 zoB|i~(Kni`3}oyQLYng#D@={rYxa5R3NY=NWX=nIxin}nFUE>=;qm>L&n&%58({{m z%S7lqc!a~b>!nIWt(`4Gnp4JrSUVA9;ZwG#7HB?Iyj@(~Hy5Q^W48U6LyBzTj!>M= z^JB4N<`&&cM>&kT>H)DEH0wi1u07XHMas zvA5V|`ED`s7!5tMM%hP=+W?siLfX?+5heI4tg`mo^Q2-vvnriCY@BSwm5!9;Z*uOH z3R%D=Nw(Nyy{r?AZgjEvcA9{T3Qzxnc9Yql5KR&z^~rR4lT?{$SK3O339VS*WW`JU z!aH@pX)r&m+Myid{)KmRPkEV0zJz>*>BJz_dznwfbk-SSSPyuLxtSv8w`8z>O z(BpIAjD1zZO_T%Eaq5x=BbGV@Y{LcTQxwOnli=v={&ZuhWT14>eJA66Kqwy7Xph#_ zH8G=hdNXXpV=mcOzoj2&YZ)vUd?;_lK!ZD5Ocv@-Ype524eQ4FHdCr{-SLPVh0j{x z3ww-BbqtafBKj?brZBSd*#*kNBhJ5Nlo%UzVK(GT%Jfhk5vBprhZP5gAy?Au2o=li zt_e%`v*5(yUvh1TZc?O+qLaOc`<#b#N|j^yvEWx!GCP9>#*QZ5qg~qEfYu2dsVyhC zRM}|BU*sGef%#Be%-JlS?Y9K-OY{hVq>+QO&LpP6{YCe$WVaA>1mN*+NpIu%1<>E0 zzYJCY+-=R~1q2={lP9s`UR|0BU017>ibHByc%#W^)H<`?iT zH@F7il*Smg0gZ#EKrdLIQU0Gu@E9;BT130{W|_?TUrDve!pr{wS27IjI6L!gAE*cq z%wNeHX`&;t>&M@xNEUWmDhfWzPNuz{YUt%U-ji-U^5b~V!PKOn_G24(M#`}i;QEd;^~ z#Fy<5=C^;#_wI1)((X+%|24%Q!2TDY09M}f*lIvkwP@A2!bC_FK)9$)Vgwoy5X_DD zAM|s=&&Zz@|3OBG2ZYnhX$Gp}LX=6-u<;$!9uI~%1-G<%*Pm=z9HqTXXBw$lOVKxp z#)h{Jy1GQG{x=wmR@ZE-S-~TG@;SEGDWAGNkqK||i`3b5|B@9hPs$|lhF46Rf-NcZ zu8EK~EPfgor;NxiVP*SAyM$=B-rrjS&Dr5X8R9>qiwdBONO=T;~uq7sVZ|E zBj*_F7xjSJ@v&np+BYFsNhlVwYvssZ>N3x`@=}$e$pma4gk%G%+ff2y!^8Ec4JU?E zb#%i^e5r07jCX%B&eOG2{lO? z*uS6){qvF_fo4(gC*J&T?Y(tS)?d3XEJ!2WUD7EECa&zv)7w!Www_fx(RQwUx6Dmok}o+eXEa%pIi71+K!;ZZnd2+R8oX7kS z%lrP|Gd?pgdBh=`37~LH0jB12;Jwc6#pH?~6cKa3wu*zn>L60OS@K*%%{N%qsJ;CP z?qw@}9}kS)qeNA;j}FF>Ma!+=L{Lq&r|-m8IB%rC+}qrjrZHu4LfP9(9E=X6t6N$K z{Ma{Yh8NgS%+Sy5nm8(pgzUpFswltk@jO@BQ zJ8fuaC?FP~Ag$YH%$U%F3jWO*RfrCN8LDW&>7%XAMD`EyermKePM`>gwI#o-$OLRz0*Ui$CnB>RFkIed!W{T7K|Wvatq@b`rh9BEZNZss^`=D zrl|!M441VDYcEfy*1eJj%(+D`m$_cFsrX8TL?PS7E{%7!!t9fPk<=zFvp+kMCI68{ z7zc2Yq&UE%`In_pe|Lfz8?0TTy@?sSN~Dr8{Bxm&{mG1zx#fK2#gWo}Rpu%py=e;y z%0v;pr#D&&2gg3K$8p|aajYtmUQHM9$_$1pOpEkAPI{ZN@`{pxz`MCwduh654qzQ3 zFoRk#sE#Iof^Gl|4c`;LVmjIBt%!rJj=5Alb}GI1FteR|2VC|)juU@_*U26NlYxzc zX{bDQHkK_vXabW{qMt?CkH;=PpirXWZrQl+u!Ao3`MwY#Mld zx9+5SiBZ;MilKa+a3&wCJ~lp7ZCl+`zg>LK;#TIr4-8NJ;9CEO8HqiqE-4Mwq`Z6U z;Q$I%L@%@0+uC6sg2d}>kFS~^!oAv>4YKkFkC@#7IpnPY;*YhVqN5;U#UhNNE zyj+4JiPKc?RDwQ*OwBvji$f-=*W4LJbVB&0sK&XGm}fUo)QV@xJ;ZvRdjV>YIjs!# zub9{Q01g8;{9}QF@F^$eB*WnN*tcTV9<7)@IkujR*I!IfC5gb`UY?feya)E?_fHrk zOw^uI-A0K3ir|m!&n0@7eZ;*QAwA&RYpBA+Hh|5L&7gkv_~}NddsA7HwrOW?4FeEF z9S(ak@IsXD0zcs|!haDL0+9PRZ~oCHz%N3~9vd4QVeVni*|dS+zX!yFm;z(!eMFQK zabh|ulboqu@3qNQd#p~Syj4?sqocf@Kt?x*^Xz6jx9x~=*glU-VEUgPq6+qMg^!%7 zO@H^|aI@ri8=V)eQ5MKkRnZf0qqJeoa;}yEuMOFw*RC#i*I!BxydJgAeVwb-fAhA9 zE}E%ghEDL| zj`==0k0bX*efT{$9+WK-U0GRKZ8GI~?p^F~yrwx?TFOK~Kro8KVQX?De6lqrDlcCH z)HiQ*0v=h^SC^GpCbQd+l98=USlijjV+$!ObI2E~0JY=;)fH_*Qd1X*+-@vayD`Sb zB2>2)7sKfBnps3yxmic4JTNi^>y3=Lzqk_Y!!{PDzWwwDkQ~+BkFFVre4|5R5NvyT%Pn3_;@5JD+CqF?=J=89Re=^E{`@xHs|UW znw<0Ml*&avTklNHw~$QrNlzZEttHZSm$(}m%Xg|)(CPU!z+RqQMJi*}ycxH;>pweB znzcei;=m^$M?S;;VX5)eW81idk+IRaeD8-Yc`WCfOMd*@mE+JEWz*Dft8eID)S`im zjb&=?Hw&}(cN1rBLP_{`A9opzI}&1JRFw7_ob!i9ZCEB8%nvbNt_<+>t^<~3uZv;%c}+mZCQ$BPoV zT;)5)x3tY}w7^3uRzvFi@N=S1I|pzt?G-&0#*l~V4ox6@EjaoVrR z=u1L;cNR0VFy|y+ZKufh5>QX(wdWRWj2dQ1Gq3$r5r3?g_IdF2GS#eTho&Z47K3iV zC4!R)@$DCZmnb>pv}0v5H*cP6lK>`@B4EfXjysI2P{U?%fI~q+K_d~Of35;byJ@!h z%H~Pw0(Gd5&{Qk*5X6*AKk-!0)mnYFKU~(Lrqhtr4YNJpo9l^XOpSX3RAL8`KJi+r zHab>0UmhLZ`frj71mFYrg1S5Df8Z+>sWk#OaDnp(;yj2&+eyt)sT^s zXKvuZ^UI#(df?F#^hB}GGIK>as;DN|46BoE8I7zS5m(aOs7$Z5;f!ru!FCF{D0>j0 zX*^qrGq+Zq!fH2xU?}FuptqIdIoB7*Q=g~MxYpa4%^&6ZFE4ghzH&TG&+)wVR9ACW z%(u#u!&3$mie8e{i|e)DB^T0FQTJ-LJ=svm{XmkHUiFsJ9?Bm2hi(7u)wgXe(*PEw zQJBjxecGN9XPZ^ou_aln*#5?wE?{{*v3pXua#irh3{ktX)l>O;xogbdb!}G1K2xN4 zGPTh&7$W|<5RFO-9JzXTF##v8#JjK{mdoqwSfEbvS9h?uzErn6>ONQ+M8hu}BKgm1 za&mIW-UYcU%~a@z%18@jIP3r!B2r)^I3y$_FlgnHxZRrK<8hwZb$L+mgO?*WMX|E7 zQfkkY0Y%3lYlNd16w4u=eoCe-qQ>N5TN_9vD*j}V)tkb3ooh~{hPI_{(qV@Rx}kDrOI}U@|LQx> zk1+O!;QJMhCl_|3xp*llZAN3Bfn*=|)atzXrLC+kq(Y3Rj>c9xyJndjj!ycM!`&N; z)jI-&7NwM=>8>u$b}J>4r>CdJ*qFDJn{IH}*j}jH(B?@fksF1S09Q|jDwK7JE**77 zqQ&{ReVZ=!J2so&lf7h@ve0dZB#X=K4y!IO^E)p^(sZ0U)sU*Mxt>okbK2Xl51rvw zy9bk{cokN!5grH^%52B%ws`oYgt9Z`E9v>&&^4Rgynj{Jn=Tfu2*8?!1&rn>AfyIZ zaO@<+G-W^ydz+w6H@j`Pt+dL=T$8zX!*O{aQw^oIy%zWVa!ir6my9;82!XxO=d) z{1GTcViunQLHI$ z3jg3$tlHM({H9#fEB5nx_x41e)q4N#;^Ov73^Dn$&c6MAc?&B+iyM~bf>mUXV{r8qgQ(|`OpxgM4Z7&Z^+Oc@+ley{fH!=cXAm*I#G1a|H5ub_S4 z9-fRy0&ZH)6Yqym>ZbqKr8Ym{QTx(UD^F0J<;u6UzC<9W3Pc_n3JS?|Rr_W?09%00 z!=pgOTr8M3n{py=9Z!TtKTfLJ0$PR5+?&xF8WEpL&i#p(O;1k`JZX1(J48GoA>kyX zUwaVFOJtWU{ehHFBJTN8&8Oa^J9_Y#bf9qTXnw;!LQY4G{K;>=hM5#Mtaxl@Kpjyj zn3otul95=jI?UjbTD8aPe)lBfvK8WqbMRPKD)n+-RNm|myWH+5#!n(-FGrLqKkE-3 zK7wcGh_$s0H_L8cNn{H(nf0F;;Ng)E!3VDHVQ0yAT%C5nQ5DV99(TzWXgJ_WX2UgU zD%u`qsP4!OClo1%=BvB4Ex6s+YI;e>GaJmJ4;(*6Lm$6!CzK442#OmA;kW;1( zRfYe$)aer{v|N!Vlk|{Cf+;S=vp$55q105Trq4Zu*Xld<$y-o@{D8$gBJMg_RbU-~ z=|fkCzkRfN8@sNbqK%diT8~s6Pa@bz(E<%jUr8iQj_!6cf)p12fR1}ERg+nbm@14unUBn77_l)~-CP=$e(Z>)udpI@X> zD^)m~`+*Gm_89_#Y>gDQXU+4lA=tM^M~*wIW6ocn@V9j;b&use{dGMXMZI}h8Iz!v zDT}h&l%vwNsPYHqQ)n6ty;vlIR?+;Jo^N{X0T?k0)rniU*w28s;rY*Eik)H^#x^HE z$mr%GQOkbeg?!B}V%p)om5`gtDH!5AS05OS(y!Cj=rpPLxh}dD+0cqsq1k)8V+!l_ zUg3O_qXR9BZHFD#ek)&V$J0Trp6CY1S;WbCEvIB0n}8Z>wMu~x4ajjX7UkS|W!vF- z`T?&pd+@UJ8@`wKw$*N;U|Y=_s#gz3a_&Ir|0N{x&o@&T;9;bxTrQrRG>44??fq!+ zJ7>Ey{x>95o@~T2@wK&YWN=7nMJ_u-iTqHW)qsJ4A!un=2@4#-@~eBBiuZazYi|x` zuZo_YoWP+|xhENy%_#9>3FdkF_&|Cq+S`ALIvz>j;o*U?Aa$!$nya%J+Ug1?vwtsk zf293F9DLK`Nfyj#)TemlK*n&aUftZyCKDVrDKwbUyW_P@Jly53a`{j~;mfCM1N(1m zXv|Q0nnYWdr0`C+#wctvsg1OlYqf3zvL%jw444}+m=vP&E_Jqlm6f95B~6Wt92hHr zJ4BV8cxHb$J3X&v(DN`)A8QQ;n7q z@BP{+wOY7UID}`MZ%-_A6tWz6tnTsdh*Dg`BuZiuCZj4W3s?N@Npkgmxy}BNQZ8aP zoMfDNh!3#+^x*YkixdL!#4ssvNq%GrDPsHA7wLjus3}JGV;R+vj|lanK8d_5gldGC z^AXp98Pe3%^-hjpF^`&kj9AfVPEpIk^DYqs)szoY9`)2?`gwK zL_D8`|6FFGovU&nmAhv`z1jpPOLZhiJUl+`ZgqzFfv#WG`w|!4x?Ekm)XOSKUG0haG#>ACERIwgm=D2WD(X zM-D+KmK*Kt5}e&ZpoI+-*sCGuh-$cQuAfhAIPBJoL->G$vVWH{!wJzT&(8L$Hz&5J z(dqiu<*W@_DmRndDtFWUfJACts%@M@iD<*_3Cb}FBm~@GZJ<@v&{&qyFn-q6ga1oK z9=7dI}j4!Yt;~j=JXS`3O(~8YuUf_o4muRy20U9pFgCMGVz2ogaR- z8)_rl*j46lj15guuQHU*Wri5^W7>XeHe1{16nk@by+bC*FYGI!5!*IjbkRu80uA0f zJdTNie%+sfu)>l6Bq^P}?v0NaE1+{ms-h_67(*3v$^J*rlo#td&0c@_fVM7~$;InVJj?AFGFqsJR>PFAq$)rgbwui6E&BB`R-LKl z^7cxV%h~?2E4 z0-34P0qzakg#ZkH!TXal(^paE(GAuUuxRtw*GMI**Uc{15_^*#R=G<7Qw}%wCwgJ3 z2YVc~E*v3pe7pjE<9vQb`aQmq*D#Eq(#X)1Yre4w`r~HQVTg>(=$hyTZCpy~#$oRy zy)GB?sgh25D-$DZh6cpRBWOeoKCsuZZ>UJ=!pdrM0frwhgux3@|7XX*3DT&r#_iRq ze*z5O)WzfgpM_QwQY%UDb9O9}BN7$NvhYHasdB0CxUVm?{M}w_wt7E>MpS8Xb~spU z0cg!ALEq*BcOYQhCcolx9dJukDLzck%hn7oU!mIMT>ILw-gY-%7VWLGOyzPXZaBum2&KojMyCZXx4X$4aV#YKug{KO zvxfu+hvYITAFj9_T%Thh5z+xS;e5nZ25s|j!9U(Ot$h1bq@3P;)t3_dzGPYQ{q$gHP$;d&nGE;YnR+v8gNfH z(laQu{CZCg6b75`Pb89=5ENjb?~mV`IXPv?R$k?;3C{;i1^^CB1?pg{JXaYJxEAv^ z99kIz7%yplUOp+4m?dRCl+e1a616UsE2_;WOW}2R@$AjyVfN@FqE?xNM8|r8=b^{z z1KR4Cty2Y)8Zo0hmWV7`3vIdNzI#GOR%53nDz%QW9SzbWuP;97#q5Xs+Y_Oi+LpgKT-?`Mdsm zNdfUZAyMOq2E6pBf%fM`!oohn2G7Gjf?q_-^th${O#0AG1nu+?TcZPOZ|aPmoc>ho z*G2jTRh82aW7TW3z$`B#CjckkAlvbEOaurQm8quso9HeYs1Wgmir=);J3lF=+f>`) zUtc;MK~eO0h~t4k2=g;P{=+F=7%DgqkLL7rB&uWb+spPri!FbYRzq5+vsu2XKr9#8 zXLjrTc%wLMd;B--pA19fuCAo-E>;X~){fpF5kUo@aan*~1kuE3>%79q?uk_oH*c+k z1FwwBb8jPkbm+7XAs3~-b{=rPvniY{4GZ@CZ|5a%RprKzM`@KA7H&|g<3 ztQgnb?dE5!6^GP24_qTUv5EmXK*%H9!^8J_<8Amq9|39M3RN5cFCVonmaAQ==^xYAYDQx3e`^s4Gn+VFl0&QJsmSMI}MGJP4eV-7+F^HGqd3z z&=b-3xBC(XxfV&jOh{^<9u5LuOB6mjjM|I~9H=T>;UZkI|#=;%+n z6dfdbv2kA!lbgAd>Bjs{8%XuETX|Hy?}CuEa06pQ2Nuo0qu(n3%D$JC z8%TslHr(3e!qw8EnUiDL>5<_)La|Rr=&>Xy^rI(eWS57$PVGlp zvpG2TC|<4g{u!6+y@5nKwX>a(1hxfCWaJDULKh}xGyOm*L#oE-{Ep&hbxh?5q zXdodAi1E2z(5lXTcR1=LU|Iwj7CBueJv3S+as+B9gn^lHV>IKQa&xS2!hgSE`bcUa0;bY*5m^P`XJt4N4%!vYXb zxEIGe#OqG$_i8d`1J?CZMc+s*4@Za^aEuvj)g^2c`HDUF{W@0Q%BTiGJ&Mn9 zcCpyvcl6^0A$#SKA5wZUN4@FXRa>YBugk3K4YuuVof}XV7M~z|W2o)6{S#&LtsGDg z-I>W5fr!CGJF+>gduwdwly6UC2ewR zo=(_WJ?37kn6v~}HQMRDkPdPHZZd_IDWy$A@F}b9+rbwzp+w=LHZ-emhF(4=Zl9dS zCTMax*c)4w4~}8IGCy-{g2d`EZh3?6FHV-{M{d`A z6RXEe3Z9i50S7eqRoAJn3cmVzqzoTiD()MMaYOBRPpx#vE(kwMk{K&ld{p_M12zE3M}0Aj75_o#NpzuE2#D#cfKboo1?F(AJs&>7oMCye;=g<6dvw+}nDdHR_X+t25wa0BcQ^ zYNo(sy)AiLtYl=}D0Md5DmB4g#DL7VM{(V5Sac>IuJViFQ- zgoH>3?6y0?pHSt1G`NC7-`bHT>TF&Znkxk3+U_s7VJ*oQU+_djLb}-4?WgfZYZitO zi1Nal&e7al@W@?WK-lixw1p0Sk9^=2!CnM8z8%6E7WTPNrA)qw@;tWrEvBT z6UD+wV$iMy`}-HGxzO{^WeR#gBiSCE>|oANAeS!tvB{?Yd)vkVx5%r@NFBp1L ztOxUY+@_MoFq5bMU#I`Db5=ceO!TMgwnCH*vOQ36&IkU-TL( zSZZ`=XNEM^0%`G**^q6bq2y6R;XBu-jWYAoY4d=TPK^DH{=XxfDC~Bv{#a%P$4=t^X6=yaZygHc*?e>9RPhcx?UHRsdCGjf0Yq_;ojlye5d3&A5 z&-F~qJJp|VqZ5Ws;j}>Bfm4sf-;rckHvV(S_mm!TY27wsL86}sR;XYo>pOEs`! z{}Hv72;6cQ{v_<|FALMTLhX%A1rZEW0h~N)qzL=O$7N@)vuEUZLc)IJbxmP<$LVtQ zfs69c@bVt6JL)?^D3JHru2|LSDeDV+%KQyzigF;OTdPX7%e84P^U#1~erTT7$V56{ zv+x@sfA&&Il0fawIv`i;YH$2Hvd}|5Lp@*o>`TEAYokdEQ3Zt`TVs7dnm9hk(~UAa zbCXFtc=#n_7zjqoj{%t^dzySXaFR@g^eU4U{Yj31ZQ%)tG#_20w0m$$pr?DPTGBo6 zMs`GTHu{C^RJqk(yKoTS133HG+}NI-wMJvS#lP}NxH~A~F4R9#?qDh!Fy~sC>l&tV zDzt%2=gF$28I3v$_iwI$7XPhGF80i62C0Ef@-DhYO6{^*tRVIYt5!OnHyfQ)yOcA} zWTiQafDrFgY>6)zAQBBUU@H2GH@934#=jvvH9dAlzvJZ7 zv9seU54D#uL7--EkfVl&f52m#M-P;7=RNqgH+Qb(rC4QTTcI~b@FD~5hJGo4nsxNR z39}~7Fpkyl(G8|i(kJ`vyut7eMaQj&gZ>BU#s_V%t+hQrTpf=#Gc!ZsTmyN*>;!lC zyav+MOpqaGr)7a;0+dA3DA{)tH)L&O9TsGhOPFq84q6!CK{gqya2O%MZ`M}!M%I;5 zFQVf!8NgS&r$+Nu{9k*Q63Z4DIc*Npwu9rm%&7|xPkG($1K;#gKP8$7QT&HFTI4sw zQ8bFto8tjAY=b=&5|fnSo=F8F54JP_d98)$TQ_%3*?{Gz**Og;Jf@s<+-34&rLjuM zbd^ajHtP*dx^xYmV?4GSlxsq@aM)F4CEONq)B8K;CvEO-L>>5HZpmPwFBdixzaTaD8OLLbIjNxU-L%RY-WDj*d1 z`zLG*Ld1r_Co<=S*v$q3OpU$G<=7m7?L>lH&#TnUzF@Z0R0lQSu8}sab_2c&zGS{} zZeVGEtNiVc6dohA=NxwS~Q=dybDfvahK~C0y3~fq%8x;APN<1o2E!JeDLPO}wd8trV{y z#x|x#2=+q0_#9oXr$S|m!m~2iou4sv+H8_SFnDg{D~@QccIWu_l)NIc6ww?@~M(;|(_u@{yz|)6eM{>iL zchp7Fv)VDN8*jGQz%R&h&JYA9-$u43OO(wdNmYvO&Rms`HybT|mZ}ke z)%@x<8&lnI^3jxFw`t-^tH0E^ujeHa50@_&9*y(s@b8$f>6jQb=1=+Mvn(N|no_RS zRvPB8akirNyEo9y3DkLw3!lui7e^C3~N zQZPiSltvb13U=}a!|mRgvW?DJEG$u79o@mV;}z=symiE3Vux^Nm}XuWO4_FqSxG^T zk)ubEAf%EX>BMOTq(c7^gOiuRyjMi@%T!a?W+LM0M3r79 z+;)kn3^Rz^WHN}~V;e>Cc*KEGSVMaG8=H{KYx4f z(0^P99Nw&3H7)EPUp6(lH(9yPCf+UQ08bu$mopOyIWO8d#Bliaiyj$#8Z@#}Nx_92T zu~V(NI+tf`GCWj>tiN}(!*;kOQsuU_8?p)Xy>p_vS>7DkPvR=w{mN3K{?e84y19n? z?W-%$CT+7m*mvwOTjWHL%DVk|3sSy8Q+ci!R$(%x@;}%o|VST!Qc*V5}L4<7~_fApdk91&cr9M%NH-64Arh zZ0cZtvg>93o;l}k@U!c_beq1f`o$gmsfaze>0t?D%02DzcCW3iv51iS=%!=cubzAEeB@Bz-|RsYY>*4oih`8Uczf4W_yKCW)mrk1 z$yaYj`{A8nPVFR`CWNIKQk)wP)ECBNW!T6kIU z4GE@Zoi4%Zs(lkyzz%>2Kd@^40hK?imVxsg(0CThZKG38Sps!$$`n*Nwwe z9OLXNd{G)UVcTH)aYM%*yvTC-+PsnbPE|knsSFIVfjo%GSiaZ(cUPbf5Unre)1&Rw ziCiS;PPb1#t1Z;)n!|ipawr`I%j-DEDL>F8d4F?=R)N)vm|DTDDP~{1b5j2qs~Gz> zd#nit*`IvzJgy_667d%&fr!mL0%O!)ZF?$eGs@xDpACfE@8p@k#W3@$i2(|zSTAxT z_P#d%_RVd2lDi`Kep~qrucy^%IHcM6=B4_b*g{YfY&~v|2UgJ+1Kv4Ra0qr3gOw5k z%GejNzj+lzT=(^4b0l`Q;rWf(hEh?Ccgd!(J%e%QEk~;lFCl!H>1lES^qo;!G+Ufc z?dh3bZ%Wzn8OFd}PsQoP3ksKJ`;(1(LIg6##=YSy`v**^0MwlwgnJMKz;=01qro)q zUDj_mJ1rhQ?O~fGbH4Smme zyF46NVtOiWd@3*tR3JtLm@5$is7CR-+YES>Hx{d_Y${FX%1+0ICkK0Nf_Lj(>vwBb zmn!|TFfxK5rhpyL!Ne8*f?0FI2Ukp6)2mtZjFEUxTylrlumg2pWOz2Aagx6na0k5uYoQ}c zGJM?BpPcxy)cZMaW;hrqGH>b^Oqlf?%qG>1;s-Y*RAhCJ~gJa#-~Z=O5b4kGXONOo6tJ3 zKSc__%yqlU^3KP1m#J!FZ0oZJFJ}>>B^gyp{s<5n;z4x}&gqBgNkQ;JDLXF2w%K)S zi~Poshch4mVoGWX9>X+^2hh*NAO12LfT1RU5t`n^vKa+bbY5sRn4x|ZT)Yb+~ zPYOohxmnSziQxa4BZVpK3gN&1`>%-Zets~&DEi-U(rAB@xM|J5XT!gu`~P-HdyBLFTlv({hlwet z7Ve)3I9l9hL7(f00*tcZ0I=J7=p+=NTP5W@lF2xFrt6x1Dq2t?xLWrlMcmS3e)6XW zv;$@p;Ukp>c)bK@nBm#)50o|oM>iBpF18G1| zp!Hfj2537bU=RcRj_)mAFoUc9?<&&MS_oqU0>D~nB%T7{(pja zY0Z6yzi8|+4j4n*k*7rnEcy1}!iP#*-$Nn{g^xh*_j+?+WCV!kn`1l`W$$_?DZ#*3 zAS2>m8tM0klmyKoo_@;^VFkcYWrEg6DoFt5CZ2CKZY#1F3ujNg!br{xSfhmKaWzH` zTg3^#EwBHiX&CCYrT>%N_S*tU69bo@vpVX(<4Vr_J>MAT~dw8|rIwqsP)NggP)%k3>a(L{Uh3A*6;Qqg_yf7*&O{q$kWqZBk; zBJ95vwy%G-c?1hbKEQ%5C21 z;s!}Q88*n(S46g%9r$z6<6skO=_OM>jlse{u#d*XM$qFzEI#H2f5!0&9|vdC0&?Up zVx!~%2d2l#7D(6gPycCxfCeOdBQ*1fozfZr{5uRttpC$71k8y)07NoqLm+JNkJO3+ zd}Z4Gsm(_$>p_SDcu_xyP5%f|U?h(tfW=lhIg%f->>wF1L@0ii=|7zTTcrlDScCp& zt4Bm~eDLhUy($qNebx;q=;-qu>&Ju(1qjFaF|7JA;T!-KJ4#9J|4RVm4HRGqLBwI! zzl%Vo0u;I2e8tF{M=Z-pN*s6E#WM}{=(AP8KkWYBK|GI;f1}=phXN@6(5oEod6g3V zw#qRuYe2e&wVatuIy+A^9pWi4mD>LDn=Z5j%qWG+N8Au;K2BB(KkRP@nnLRHlozz~ zWgGraJoexLO?TiegISD2lRkeNSP%YtZ5kQw8mu4MUBDsoiJ49&t4B@$ORMnTI#GX_ zvFfG1^o*(8dxFA0fy00A1)S(7{c?;>Vn7#fbLXeS=6=EeaMNJ)blK?}0T(Py6x`KD zBvym|m$R*eejxP?GyU1WXo4C5x@O;%{xJPdP}2igqvyr>7hBK_=yoom>W`KB6Z=Yl zwo&qC4tlH#<^X@Oj@%dss{DmVCEx;8!RTT?0s=%G;M>@Uj@Lc<8nOZ4?-`?XGd*r= z4LEY!F@-jd07yItP?hHplbU&6J+8+df+qV^6^Km zyE`ZlV5C4v-+$3n%D`teIz^A-6i+-b0dDvo0{(v`ASnDnp-Igc|9e#HqCTjv|3*K> z&XrMD&FP(vwgmj%aSsJ>;Uc-fsu&>dKrD&rF@13G+g@#20$i$JrfM)_o%nAN-32&2 zJz!JhK$Ic_)TQTF{q`-uq|%Jd%=%Li2P#vt=d<+D7>Bthzd}ni#6YxYG0gOjrTQR$ zwczbT70U($?H++n1_%@vd?lIr;~V}I7=U>c;KBvu8pGn}O^`ir}8|~TUM#x_Q z-~k6H5scS5%75ZVfKW<%Fa`e~B3&JYBpxBx#Ufw*go(5BUinX-yjZob^$$9~LqsSQ zu}-Z#1iCd%4~W&t~EyV_j*>gpTT9K~;l{2&HgDf}>1&xe@gZv~_D z>_2$xsxO-aiQd zNfnGa>N1`ICY8q-M2Oi8*UA2jMB`0&lCCJj^{#jztEqu`)6gH_2!Y=tX=}ZE#^fnq R@C5iLEFi&O%&Xz~zW_6N2Au!^ diff --git a/docs/_static/images/default-chipyard-config-communication.png b/docs/_static/images/default-chipyard-config-communication.png new file mode 100644 index 0000000000000000000000000000000000000000..9763e1d44fc78e0e84422a073aa1a7aed934216c GIT binary patch literal 17717 zcmeIaWmH|=wk?ReySoLK;O-FI-6goYI|)v3hv4qP-JReBch}%ri*vqn?`^N@wN~}3 zepKz&Hhak!W7^t#j@f&kd{>m0M1;eG0|5a+l$H`x1_1%B0FD=7z<|FaT-S2I2dJ~M zqzFjWB*77I5@)6^kDkpH?40-_Fz|6j-Y zpfvw&A8rW(@sEu;@cHqQ0^Wf4KcC>ap#L2)7wkXQpcT2`|2YO({BUDHqU!-ZU>&5i zoIya~(LdgxAnBPnARu7yma3XAnsTx{Cib?BMyB@0W{e)T4j*11d>%Z&p{<#V5s8Pb zjh!=(2S3@rEO>z9kIPJCB>ysTvF0b!lv5-TwRbWj;b3HDWF`}UBOxK-b22sOQ5KW< z#~nE1C$n&Iao}NMa(8!UbZ29e8e>}ws&>mCnNhP=)Ye7uBVHo`G1#W=loBzfCe&s++kv2WM=xW z*nlhF$5kF>GiQ4n*N^aOc9t#ztbG46{$DTucWM9jQgE^~1M2(XCcyHK%m2LgzuJr1 z+d4RzIXi#Yv;SlNKd=3-_KFtvF7`lQJ6W1Y+qsxI0nY!F_MZy=?=Aj`#mDr~UH_x| z{yjGTx(W=A0309Fe+{$%+#K974G4%3h_slnst4$q9;~kH8va6AC`>6uBEQThk%w=i z$$H5G(qHP(;S4CW$XCK?>X??zHtH^(@yIahFr|N$ytBo;yLMTc&&|z!?zrb1Y&mE@ z;6LDN^4!;(?8r}yk5>vo5(z*dfq+>~G}A+; zjBifr|JDryB$S6s(%ZSx4AwQ7=fmHBb()NwnI(_YRUp1WI7b*xK4+x0(9*5cY1A6q zPE4%n=@zZEVN*Bm6Y|OnuRQ*BFI?!p@Hk&3w8-H1M(;v1v%eT9@xR_JRD2~?XmiW@ zM)|HJTOj0gEeVx4d|y&hPUii+9OBt##Z5$)?id_QJr?KcVy#W#q3`I#-EzkCut0jN zv^1xsGb~K6va+LDMV-t0j)MoRb#Ltcn*DMN3kw{Ng8&2j{!0l{);=y+g97tZE*3A! zFY9F5LN+%W1u37GhsVh*>XlzvQQx?*@OX+0x;Tv6zT4C2>y|t1IcaN)4@8>gQ!<=A zPjy81x?}l1QFD->kPxB)o-Rk_R7%EcAmk70)_GBCkfdK0M(ah<>;?MmQteKA!iJlFFzN2Hxa&)l zDZy1mK#WCrI{n{!NUY|DZ3d%2D_jpIXAh<%WAPW;Oh=4MK547kzP;FXc8+n_Wm3## z$^V4{CF^PLc|^|N{JVK_G7h`e`P%GyQcEt$XtlDSZP10GkuwmKm8B*-{zMOlP0zy4 zu11`*ZZkO)Yw(qV%d27YnS3#}yPN&N>3DfIS!<7k^^INo7yZnb_4?%&JUMysyW`=X zM!8F4V}+bfFjyqKxl$2GU-iGQ-cQYrdBVcg(a~dXN|>;_JYc&>2RsEWxhTY`jystN z2`Y=#g1vJL+6~}#b;;@{`xBBC2L7pPZx2^}Q}&^?wF&9kbj>Eg&{NMZk96sqnA%#N zPVq&-&`f_;Vj1<@Z7a23)hl^654i-mxWJ4>!x@EAE-!ttu!yD=i(_=$)}%S21=UHRIvtew36HG?ROMp1Qu$@;Y5=OlFYe=8D?d zrNm}5=-envYKoC>!()GnA?ny&Y5Gjn<$bRO@-uHsv95r;VXgfU=5`_-QKx<%1U8n17IkzI#zmQ<DBeuBSRU3$~)Ne*HHY9NpjzoXB+?rkQVEfZ( z9fF6B-Y1=)E)pCqXDd%~0_j-BOh)B!zG}RG;(GR{LWNqe$n9umILDN8WxZ3tYIXI` zXN%2H=G7?NY_1N+9aJtldK=l_KaaK222)u`pPpJZszQzrEkp%K4Ci-8%B%H#LGU26 z*8)AZBQOP+Ir8(bezLX zFzN7WKD+FvnaUu;$^?RF1t|w4W9w=1h)7Dp%ZAH}%$MzLscySIT$*W0AQN{sJ0H|G zx<)rN5MO@o>%HUidGOij)EbJJI8b1u+(YkG;P+Z$g%U%rpy&(;3}iGBQsuDKhQ6rz z{0OGS6sZc?cKviKNg}}bXTH`X0gpW@?N3RGb!+Q@A#zDeE+2|D83sbv)9-$9lZ(0w=z>kT71JHZ4~{M&ufLbzVr+=)^5 z|K1=-sN5b8_Vfh*X|f;x9;mJuRz5J_eW9ZE`Z_TbPY!Vz#nk>){(+VbemDMbH?aoH z0~&Gx9x}cx)gB%{VFMciVpKxT<`jN>1gT(%B&Opt$YKE04AEMG>JE+}|Fa zLPwcjl!Cd!c2PsUep^n z>1m@W^azS?6coH`rhNZSywtoYw^a6g4}DeKqZDR3@xn;M26uOT%xo;??uSl;T-@Q+ z*niR!?9so5#keZq`{b4C!3_KOL&U8AsJkp6M&aBC_cVRE;S(Ibu3<+^x z*Q0IdI*Z@N1sN-{5D*!kOWCX!gibL6WnAC=_=>d@swo6CmQn|0(dNi^MjFj)11t^4 zNI4AuW;LEH5({`W$>ojFQJgFISYDUxT!?FcpD9K5w_x0r~tD zDH{%Ps2LelE6>Xf4oWQjdA-AMFj^vB>*{H0YAT=eK9q$R4N8uVsVY!gEGDATsw#6cwYAAfv?Ivo z91f1gZjzRIf}tq;ocXPFJ)E#GDSRZj@7*ig_OC{Qco<~u2KCXjz>}i3ZKEKii~?b zUoxPnDWS+*$tN_|J{XGq5((i7cph5!Cm1xP5>?Ia#xkC!kJmD>ATm#&7` zITjvV4q_7qGB2UXaUP&@R+__c^zk8fI!j^KZ;5x~QJx`RAZ6!<4ImI%SDX;-esJruCrq&D|h_ zx|zt4%_)Q&=jMZ&N!EKX_-+?tUU}9g^Cl>oz^|b%F1g{KQGY@AI!yjtTu@Lw-JfaE|!j4v_J;77dcsnlff1CWpmGe8dKxql==g-TDpZ` zm1M-wOph71q;bF9#SqfLa81WE!NgIfF4i2>QhwXpVvEYKsx?FIsnBkejgy)n`nwhfB^O}5@CH&}GC3I{oC@gt%vd3EchrOs0!=&@cNF9{{i8)BF+`B_E`odN;| zbeUX;MR?gnmK+5vB!GZih=OJaJG@8&Sisat0#ETB&MZs_2r0=B3<~V%)j(b;DqwaB zWMPT?gP*~GCC@Mmm{^dLkE4avhnY99axsf#iT+p_IYj|0BLV}0bi5BUB2cpbznK4B zr>U9=LPW>G!_a(M!5SHc~~afMDl4N~w!^R~mIw_UYz)UZ_fBe@MS`+Z16W*Z$&&{qmj}Z5b_Dn`t9! zK1_zP`kr(QN*wY>^c^14uUKVPWgWg=^+byw3$i6=mnp&Hhr7L%<>7kuJN0$ab=jpi z`)AIn4Il}4{yiZ%PhbA31P%)<=EFfKB`_}1BlJ*TEIfKdB(`(8gAH+&I^!N9 zRx3b*$z1cr@*?<5Kp?{tjg;P@_bH7{SyTlc;F zIy4Wo8}01T+KGEb_Bt%+CluiO2G$Vtr!dj)(+=L?Vys@yv?G`W{1IwJe?=ZHLSOm< zyHcn3*7-D*sKG$TF<*gmp?1=*@io@MMT|Lrg=ZpXcJrNz=rL+~$>r&OhhNX9je*v# zUyg1r&y{qWJcOn|kT4v-Y@ZLvo$|2UikAK5`s$7StW#_sZ7s;i&#$M;j}ayZBMMR9 zV03g&-d5Z$lXlMfIvhq`qTrQuvpE{vy&hQnD@Vjmva$q^lLr$f@RUsG+`$c%Zs}UZ zT|VoW4>M1wJy9*OTuaS*p-`*1C#%7=p|&QDg|zjlHBUoi`KT;Ft(>~r&*2tyj3k)< zmGhZA6~|rheEN^*f^Fs3)3m&biYUcRghkx&#W&X3{!iaKa5LWceYNhvLxGTjZ8>4?47!LqM@p93@i_9!c_>Ea@uu0zZNQ)k-L4l z2nlcjzBXUNb%f8<^1L7&2v+B1!Wq~2JpVp@Ke=aIU-{**vRxl3gPYjud?%lO7j2i_ zI+9#$AWRGyg1XYuBnm1Q)n%8{61^(3Xr$K@P@33nG|^&Q^9kMiA^uB`-aYj!A8o*=)QWq4rjOIm4KTSls4s=%^9%pD`O;?hAZ3KE@RWj)r zUorQ$^lo)}+n*}h;qxcS^WvbwJo5D1ENMxUG=V~6`V3bQ{wV%?!M1PT+aD8u}Mox8XD-WFytZtfVA_V8-a=pFVx!v|DXr#3igwW{7zB3uj3vGkGs~ksyrC~5%M+>q2b7#r)5~=R)WV^WF z#Tswbr`b|55G4)_6qO=1J^fFY|2wPMs3!2z(Me&@`DMHEOCd*KqRLH9ZsK>oq|Eu= z>8Wn1GUf2;QY|=W(cgn9ndB+slXQ0L+%q7j^LgAGOUYN>4IEf+afX)j^YeRQgls}! zv+Wy4d|ABnZ^~e2wVrMTg|Tkmc}Q4MSm$v*={^R}w~-_jU-<*1(~<2AG*i{}#7;xr z8mC!jEIRe6RA?k3TqJom>%Dxe6lmTSC_1{FzH8V1$ykYBe(PWvbvtMu?M=YzI1i{;aaH z;)x>afN)-~-NERe%;};tdUHSL3yaFxh!|(pI*QR3kNgu$i2tL7w~GouUNSDT-|d`& z5R&r?=kY2@N!uRuFskW$3D1F*(n6(9M|*o_W+oaMn%l)XAu8(cQkCMd6sGdBh&XKM zFQIUlUs4zus*>i(YrheGrNL&>2avl_ZEfuzd-dz-kLRHh(RdT7EWwsbEzZ<1?yJp? zlrnJVnUDs-FwG;Tz8Ku`5WauEg6Vvs{6uNvgVTj(X;8pQWt$#CLIQLCvTm@!DWMik zWtaMmfF)!rt(O*JjRZHymm2FA*6Yi2X-SF0Aa*gcgZE~|TfI=ll0<${9uzWO!C(=o zy!6gp^jdL?NlvsqzQN(|=>>;tx4H|HSbee+(jDS0!9{CE4r(&dpvR{3l|RdixVJXB zXnq-Oyum(e7;95S}*R?K8E%LLx+cibhi%>QW~ZP&1N0Badn**G&uzosfrT zTykxR&Oq~%^S?$srM-T!!4!i~RMAnn?#+XiM&tTTGCKZ)EQtg=it%Ao*g~PBglADk zmmgvpLTyiW%q*LFQBMRkb}^PlD6BewLaaRkO#mZW3TU>ELH>XA(#J~PZ2dOEu3rwqj)4%rCRwy zGK0>;lTuhfQW9n=&8JVWka;FYGljB#zkiDF@9#6?2+snp2Z?~dK0x-aL=DdsXVVu`VK?H65Ko&2BhyDUHp# zkIiPcA$k7!pFg%du1^Q^@K*&5iwl5@u^bVHQ*La19?4- z-CA_M%pX{uU^1=$0ub<6V(J=33pfN_mFjHhg_)lN!?r8(hJl=Re5RFTfk7>ixuE8S zMGK?TH7A=re}PALbhrM2Q8wu5=_&V@$xw{z>5}7AHosJ?5b7a<8~S!IjX|?Ro^%40 zqobo}7y@V(FzQVj)%qp=x5t>W;+k$NP4<$h$fnR$Avo#A#>NDMgv9(_bHxh8ar?k6 zQm8snboX}u_qXHua$-ThkRiP+cRuesgj)vwo;`;UOzlszrG$+L;el|&chYu`*;8K9H|HGIwsa1F_2M@>U_gL&)xvqU}GKSWWyf| zMpcBr6Lv5fo=)w{*V83^d3gpT;?C1O_7p@2xQx%1X5Y~U!Vpi=e_WSpRDaUk1kl6Z zv`8hnxpK2bmE}53*SjOH^bF;pA!0H3*rN?*S9)Sm$TncsNO?j84?n_{77AssA7X2E za$Uw#SxCNI67nL#z@+kdvU)^B-|vo~o8q9X?I3sAZwNT_?@we1hn7@pH`1apF+Ukj zTn%XATH!WsVdzHb=gL3)Vm=QF4(8|M>+OZeV?>C+$?576ENb<*+6E?oT%t+~JV8Ic zVVKiTyGLxVEpLAN<7t#K$pKIEb90wjJ=c87QE0@-Y)|~1mTRl#Tlh<3<@vW$I?Dx$ z;(B$VLIPySI-j^a>cb`5YJkz$G+8bAZRr1=H2K~|M@0w!`}glwFZM*wI@jaoEH203 zjgy%|Z^Q07GZ_i-2|5G)*o}Us;6)#wA?y$+{s zyq}*+T%4_Ea|0KDQ1ZUM=vL`@AMVciZ1rxH5Hokz`n?9?%+1u54L_Mr9NVq9q1FB_ zDQWp5xWm9?K(%!O>TBc|7J7EJ+A?x?7RdY(D6umbTy228YRCv$GFxn4T3UL#%B?0R z*KL0G=W+ezo&ShyVw5xp!YDj&$8d<0-UApcbZU9sb`Qs`-q5d8*`ZzE?}20^hsQO- z@+(W@@UWCAha#KfwiqdtSYcZmZ-uyNni0-=yQlMfnOezirgfq?5e&xN=`y--$Fw47 zHMt8+7d}L*`$aV&k%e}f8v_@1g0LU>BSDd*f&wxHuh}R@yp?nLHIU0V%s7&yy&cU` zL$sjChdN=^rx;`On>iE|_zXU$vxjro3+UIGvh*AC@e__Eej*JOIsPFIagFNf6M*Vd zrrr3m{=R{!()J4$2Bvh2UY^K!t&Q`**fSSr5?>sB*kW(=b!4RUV3HxZJ0Q-*&2I0c z4MC!$x|+>vt&14hZD)#<_Bg%Djs?eSRW%PKj9MhQ(Mk=h`u2pg-dY2qT z>ekrs&nPvL&#+JE$}ygAEMB1w6^cxGDleZ3iu`)u-QEP>>8GBXJEwi%o{oq?53o{) zhRMH2<@vI$VS?|JoK6uQt@cx3Ao!g-eFYQQ=qXm%SXdmIyqn{8CR4AtjL$95ZDEx% z4fYofvR)*bSy(unDf}cWSS16X{LO_iG3d>B7($UAzyvuONBVb54q#vu#l>6X@J|5X zsfK1?-o&+rr>D%ItEeYRO?{AB!VnCY->@!*^LDZbB_zx=g~1e4nW>?z5y^2;oi$ zLwKpsleftvc4at9$nVvl$J^6Uf`&8>T0|2iprWEeH5e9FjwG72QS0+~UBd#<)I-%` zOY#1+k*plZKr)Vyr#y*fns32i>Y@%sDZpWSzCQ7veCwj{K|DUjB4#aM>)fEQ z$S^^?)po5aYN}=1_Pa6Oegj227$Mon~YkLk4zX-2fEK5p) zpaiJDswy_46x%--kWi+bwA8VsWKvE3{wNy3U=Q#Y^JTci0t5hHyR|F*;0X=Pp20OF zR$>dpd|Yj|Iyp=RoeTy73kwUq!!Uj;6$%C#!h%Zd`p{B?A}1^BfDfAr^N3Es zd>#iE@H`$OjwgIsiux&o+nEaO)A8|fCUdbt3CO$qKm_KuC!++8#eTN~F-ggK$15a# zi5fHm-`nGPQCSpFF{&uRAh`?R(h40Myf6{;iM z17?5PJ#{u`)Jw1LlNpBM4-Z#cKI!VQ)kieh@ndNqJNe(8R%YnvAb>)JeDyrjM!<&` z&F$U`t(ih~Bwe5YrJ;xi=7Qc9yP1N?3N7$jYFJdL=D#9;Qyge*V98cMl>5%n3#?JK zM3Hv4`oe{Ug;VM3&=o_nT!y=i@kawd!Q)UR&;?!$%b)Kd^W@^8>Ddc}4LJjOj7;P+ zI1r6tc3*E74bt}FO@!faip*M^_ImsJ(m-&a2lKPC2z8x8)@^KT;tC|PArb-=;Oa42 zP;KISumm3l4ns-I%_p=h2pC$JRb+7Z)wKO(J z9g^n0BpL>k)ISAO9ryJ|hf8o~dT>=~l z`4FpF1b(L3H&XjZANfYBJ#_R0$r#-b%1vaPD5!Mn@HGxI8J zDq$RT=6n>05Qf1*lFh!}mC)tTw@m@fP#{f~3Ns{*s;p!{AQOAlWEP>J=o!r5aiyi_ z;`+_S&cPAp$H~cAkQ6_@x7%{Q$<$BjXh>q$iUUdU4PPS{LN;8z#u@na()xzNQ#*me z!_N=7@}(#ELmr@9pf!4gp(TU`1Kni_aM(Yj<6y}h9`C1uH#l|7C?4u46?$7j15V=( z-r7Tpp5D9M0-118w_*{=*vu=!UxOa>HaaU&cei)rk1j^X1SrcL5!v*JK{w zK3F?!bCU~BTW-2Qm)$<#*x|7S>0nuCiEJz^)f3ae8|p1*9cV(b@cM zT&zxb@Jx(ab?~EF=mh!}SkM?gMjqSWvK6vgk&H3vj_ud*y3HaK{|Bk=62o~XJ4BBX2awof%QkU4pMe>G%b{Jv7oTf$U;SB zc3ee-1_c*WRaCVBuOPn|${f^p#U6i8Wd1&ong0@ zZUW7Ij>pWx5?~$GP%SI5{VC}Yq`kJ}L%bEzO^hP+L;KoqQ1E8T@9#ys^4+sC^1mfx zd;sAA`Gz(F1{6v{4WNhn5d6n7fD{kz^;%6 zkdJD@0s**%W1rB#LWBYVlBK5GKtvk^AW3#1fB>1flD@D2gx?KtF`Ao9qzoTAHK!mT zfc$iRClFb26QEz{FH_>MK3EoR;Q%RS>O&<1ATrG*P#dfV87X)YpwP?@-W~m>gb9d@ z;Q?{z83ehDMvK{FvJF41yJwRgL`?oO7zHH~U}-chcFRb~Ul*%s$L1?6SVIE9NQBO7 zz;wm5KPVn4p!T^pOG*kr5sDzd5MgeT&7c9T2Z+XR5QRfAUO-DeTqsIFu;Y_IYBlpg zB=Nh=B>;8D00F2U$mVYi5P*N&2M=^qo1YCdBo_pzE^W7X0p$nxbn}73#uDCskW!FB z0QCgQNX8Hd_!oTeP+q4c)Ie2eK!Co3b3nC({a~B;fs+{%Nq(Tagg^m|Ts0t4N(N{; z=+Os3MZ|k74O9g#0I2={n@tf?16@$WNzSb>%}~Nw_!P`ei}~qCwxcXmgmhuh2T#My zNP)v_A{-vXqQRX}IP-1IiGw^n#)lLb0v|o(bi|J*g9T`+Cju9uB+#PkP@qNs&+pjA z$5)@kCoNO>%g++J3uW%clSYTzbtGlSJ6_}@XGZPR!9SmO?#n-h2FlTf-IR<~qtg1n z$J?Fy@2ZV15)vWu%(EC)^4e3m=sC8OT9%^s*(N5|U@ACdewVZAyp&a$of!&Wq30sv zwHnGY>BHl!Rt{^N;Uy)>0Y-N8z%~9e9fNC98lhEP$87EQ(!8%b1Z5|U6?c)=R)^T& z;C}B{IUV!9mC+e$j1!4aL_UgNWNf9_(A~7F+hYoPA~2STU!TlIHHItrh=<}04_dV|5Sc|e!oMQ`zVkGua^W=lYigpxx#rBe7 zxzG-GgRZxcunNq({HmgKh21qyVn_^Jhs~bX#nQktD^&)asEv-el!OF9K(86$EiSG% zKj(tO?**&)=RaU7Xf3Zhk?7!*y|{T=g^OhMPw}&%7{rm)ECY1(zfp1?Q`ychUWv5? z43!HOh_K6)bE*ysBWU!^i;RorotI*#=`vI26a}e?3r-b<#7muCN@|!zuV+Km-gaGh za}COz&Z4QACyauBe^rjBBgj~cpD34agq^JOo<~_3@lYQtETl9hVNK1dXAvKX!NeP8$ziOblwrzU2L`y2JaMc2#D= zKZ?vu3zXwXDSOl1G-P6BSC@vCqDE`vylf>34XtT-fG)kEOU-{2lz+Lo7#Wh2bzzFJ zy}$wNV+?bngT9DfVzqMXzxM5eZ5uM%BPfo$oz`;)1Ju2+pjdwa<{KDK(HQm;}Onphtbia*Cj@6?uWIUEc$L8%O2BUV1`1xEVU2Z!7v68nBwOBMReu)V-eM z6_MFcE@b2ddm_=7JZ?Q5u-ULa_nT)4XV@oAD>hbXUUzqJIwU`{j~^LOa4P08@cBNm z{)1rE)PfjY@A^gKA>Q2^9G%*vxXQY?G*sy$nq}K$@z_zzuWsIVxEvAT)YvTlP*VCk znu6`q^qsHUnz>)dYH%>)P}>OryBoRZVY=1_OAg7-!GBvw_{GYZ71h{cbS}d zGAhqZzRO;f5H_Lz=%Ru7{Mdxx^Q+7ES-;WhvBP_6>tFg#1wh{^WW{xoaJ=5ZjXegA zNJ;6t`SfPm%>v*_Kmwis;7RUoc90Q95;PxJ(#7_6_mS{FXwp)!P1#g-N1zB!wrr7= z8u?%V7dtyUy|!wl^FdL?NPj;{ptz?0Wp$Uo!u{3JfL6YrA7fk~{5S>s=Q+djAW%nu zC2XTs(C-No_Z*6ul|pZ6{bg>IwckfZ1T6G;`|=?Zj4oC^D{)zbRvf8L+RP5oE;n+tL)wB3B^Ge zFeKlj^gBEAM0^c8WodQPHA<9MO9O>JRly3-Ye;O}9IZS(O(*btmG1`xv@cY7teGkl zbw(ghPdt4Nv;RSYjg+lE}}AWlocu5=$96{pwBi!@%W5_&Q&?^{^>7{@M>vSi<4P_ z^X2evdCt&L6+^L*FEj%^8^4wR&lpZ9^Q*4j*IopO+W=5No%qp zif$lDCI!m}k^E~fEJ-u0sHTE~Vr=jkvGE?O*%y+BX0tIzj~=N;ChgBb^9Pr&f(EGE zbClxu7aLvpczD}7#*0;Yy7yVU?mzddyWX}9TG+l$mXwwKviJ>V%)!Co-9V*Z&XdFvxJB3QOMl0`jjW3TLcR3s6L`~dW0^YD z-v#S~Ckd$#51Uq^j0ad=IJvQxKZ2lLpBldE^`nQ|x4p(37(@~z(o&KbT7DXq6PFw^ z!Y1_wNSj<4>@J)5NQhSkZOue_Et_RldpS8*g{e)2913yfR<~DpECNO)Ux@#Bc%!>BEC#zGl-9x zHnHX~^t?Xb155~7f_{sWS+RW9(kZX|`D(3+7C9(*k#cf?8X>G&OPLg=2jD zOgrEXyd%rZSOwkQ&qLmAj8R1PCvM(Dl)hM$Rl3&d9%a5!9I?59S(TMDKQ?&Ah!8Fx zr6#LgqAiCouo@h^9fzKUyby+x?UgE1fT^p`pPkZE%fU&mcMldVgu?&s=|SBY0CAG3Kd#&Ck$_a%u^16QeR)NP6dg>V#bk(znH$(Dqfw%$u3J;>dIGgK znxx-&Y#2+#AhfqVXI?IlBaO29)zA=R6nvw@@3qxNOBfAKQc7xgB!Sx3$7dQMpNWKI z^X>K7d4IfATkYl^{6zdhnLM}br>^+LeYR%lt>Ta%83{(-&aM_e+dUcCOGo?uWNIx@ z4C%JJ@AEGS)tCgaG7Xv)ULOw)0IEh2axW4V-)l+;$6J!qb+2OnH!M;3?WVlt@q&q? zC@_N>L8u15uGNRK0#mrpWN5#oZ7OUGp>avM-mr%mK60b1xi(e)JP~s#f%BJ5T9jPq zlEm9Z7ccW!*IOAr(fJrFsmL|g00DCjz!7b0vO1>-F3{}dOWy)S13=Ov;(adBX|e+- zXk;X$ySux6^LDS>W53s@+oRd90LhAo&rxB}CBSD4<-0Q&ZDMRZorRvPD5anv=(Ib$ zJrH?+z6KwOCeR46#b*(;l1h3!G*xrP#&E`~Y?8&pKJtQ{8)A@=Pptjp_s)jBquMlx!YS?Ta%OLtCg!?Ndqjo>uizS z36RKo-O#ARtHeKB{b*;d!W0A8!&c(~I58p>Wo5%mVbekQv@+D&(>bbtfR&rn~?QD(>fH@;7D?_v;E>>_ic>Z;K zQ9~qJU7npqm9Et#C~+w3mEd0OuJO2%Kb;)tied5ee}@3mI;*-52U; zHbt~VMqS>-c;WST)kp-GtsG9stjmE zXtY&p@>#jLab4~Jr5)E;I5IJmAeq?kF69t}5v+umST~x_!)0C^B}}oV3LEDZdb+>5 z{J;Rj?QL7T-|NXT1PrpZMajv(*a3hi^wtc*Kp%(uMP{Zci;_%oYR#7q17i(t@6 zfVLb@i%A5Eg+5M<77`gjVmR`H5*h)g8`Ssd=4g0$7!i>W#KHxH-tz) z4OCSz-0GTameI6OVFJdwzuWvJk*}8ul4Yywn+`j@JYQ?un--T!hjx?E5?k4Q=mvNuqC8-^XphYZ(_Mr|kNuuFGWNxE9@rig;yZcz2tPR)=y52jySm?=DOwdZ zAjM3KMlLNaU2|)A2+KmE4jI(uc9tF=f6sDyT;pbbuEc$1lpCMd~8bC|4Kc(}Ya=L0s{%+if)|L~D?eLN;O3TF@AAh=6h$VgDJ#~P8JE4JkzO5e+!++bV3vOIkdht$ zd%pG_LhVxj)i4;XDC)X@Zh)@g)(4l@kL6r}%1rr%VHPF*L zJwG4BQD6F%fsK1CVZ+cTI|osY3F9|M;F+1)LWAQKXhaJ^#ZB8RTEG_09Z)#y1z92f z^L`rr_O;s+Fp0j2P>(3K?B>0rWzb^LFqcxj6$W~nsbmJwZ%Rab9+o$UMD$eO)ZI3F zo(#Kla|2C=?nDr?pTajV)3_WVZ`cBDCK#sNGSFe)TK#T_NlB()E^}Bf&ew@}rLPh# z`Sd}%ghGlDjrW&s`u3S_41E{=)Z}eesl2@4`ynEAL9-eL?BoguQ7`5#j-{-8Nu&wm zWx0HK0~kQ(vde&HG|Gmx)jzC_9>#_)H&&~YyUE(*4Vb_KQjj2zoGQEUBDwILrui1n9nkyX$7?NQDry2F zc@k&PPByq~aVFk@W_1zI9xSwR9y94Q#E z7A;YgChp?%V%l+uMN_ z;|Q9*UF~2G$^q(th)C~=SFxu^Z2K$tLwaF)JFb4X9^X*KMHN*huv7QzTHE}X4Swn~NU5}54xGVfSYf$h~Ja~E^ zygoO!XMaMWLYDU7IZ+4#0)ni^g2~`bD#rx4-qOx(Jv-;kXh!fk&Io>{f2@dm)XN~5 z!WYP^n0P_3;MehCkxfr)`uTti&#MwKzdynSP^l5jr18i-{9P~MF2EFwgcookRv4)To3`-vpkH1X*;sn8YJl_JD}@<1psEJ2O-u^B={Na=$RNTicK z`5**JKNR$E9LHh+d>#RCDY-v&rM00yxQ!1iUA0Du`h(lR_~177zRd7^_|rlh#-NrO zj{TKia*BAh^AxBcLr9%pYmKN;*AD*tK~@B7uir~gaV6ge&wL9JG7Il~G9V&~_(A000JWk=&`4YOpqz{W&H@7-ksaVd zK4MALFzcFWlLP+WK6aDQf1dl`RYE}lhUouWg(R}Fvi5T*2P2>;szdFwPRq~&UkQ>7 iV6P0Ow8GQ_@q$>9b^r_K#mD~(krtO1s}eB``ab{+c*H3H literal 0 HcmV?d00001 From 6e1b9429bdf3f181952749b39a9d05a2199291b5 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Mon, 8 Mar 2021 21:31:33 -0800 Subject: [PATCH 085/225] Fix docs harness binders reference --- docs/Advanced-Concepts/Harness-Clocks.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/Advanced-Concepts/Harness-Clocks.rst b/docs/Advanced-Concepts/Harness-Clocks.rst index e0a7ac3e51..ba59972215 100644 --- a/docs/Advanced-Concepts/Harness-Clocks.rst +++ b/docs/Advanced-Concepts/Harness-Clocks.rst @@ -11,7 +11,7 @@ This is done by the ``HarnessClockInstantiator`` which allows you to request a c particular frequency. Take the following harness binder example: -.. literalinclude:: ../../generators/chipyard/src/main/scala/config/HarnessBinders.scala +.. literalinclude:: ../../generators/chipyard/src/main/scala/HarnessBinders.scala :language: scala :start-after: DOC include start: HarnessClockInstantiatorEx :end-before: DOC include end: HarnessClockInstantiatorEx From 8f511aeaf38bc17d01c003ab10c61efbdaeddf66 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Tue, 9 Mar 2021 00:02:24 -0800 Subject: [PATCH 086/225] Add fragment to configure PMPs Surprisingly there is no existing fragment to do this defined in rocketchip. Add our own here. --- .../chipyard/src/main/scala/ConfigFragments.scala | 10 ++++++++++ 1 file changed, 10 insertions(+) diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index 7ab26f15a1..cc245bafb6 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -151,6 +151,16 @@ class WithNPerfCounters(n: Int = 29) extends Config((site, here, up) => { } }) +class WithNPMPs(n: Int = 8) extends Config((site, here, up) => { + case TilesLocated(InSubsystem) => up(TilesLocated(InSubsystem), site) map { + case tp: RocketTileAttachParams => tp.copy(tileParams = tp.tileParams.copy( + core = tp.tileParams.core.copy(nPMPs = n))) + case tp: BoomTileAttachParams => tp.copy(tileParams = tp.tileParams.copy( + core = tp.tileParams.core.copy(nPMPs = n))) + case other => other + } +}) + class WithRocketICacheScratchpad extends Config((site, here, up) => { case RocketTilesKey => up(RocketTilesKey, site) map { r => r.copy(icache = r.icache.map(_.copy(itimAddr = Some(0x300000 + r.hartId * 0x10000)))) From d204ccd9fc1ec4fcc6de4f84c8db06e0500e55fa Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Tue, 9 Mar 2021 23:56:32 -0800 Subject: [PATCH 087/225] Clean up the chip communication docs a bit more [ci skip] --- docs/Advanced-Concepts/Chip-Communication.rst | 87 ++++++++++++------- 1 file changed, 57 insertions(+), 30 deletions(-) diff --git a/docs/Advanced-Concepts/Chip-Communication.rst b/docs/Advanced-Concepts/Chip-Communication.rst index dc4c95cf4f..5c18783d4e 100644 --- a/docs/Advanced-Concepts/Chip-Communication.rst +++ b/docs/Advanced-Concepts/Chip-Communication.rst @@ -78,7 +78,6 @@ command into a TileLink request. This conversion is done by the DTM named ``Debu When the DTM receives the program to load, it starts to write the binary byte-wise into memory. This is considerably slower than the TSI protocol communication pipeline (i.e. ``SimSerial``/``SerialAdapter``/TileLink) which directly writes the program binary to memory. -Thus, Chipyard removes the DTM by default in favor of the TSI protocol for DUT communication. Starting the TSI or DMI Simulation ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ @@ -117,26 +116,48 @@ Then you can run simulations with the new DMI-enabled top-level and test-harness Using the JTAG Interface ------------------------ -The main way to use JTAG with a Rocket Chip based system is to instantiate the Debug Transfer Module (DTM) -and configure it to use a JTAG interface. -The default Chipyard designs instantiate the DTM and configure it to use JTAG. -You may attach OpenOCD and GDB to any of the default JTAG-enabled designs. +Another way to interface with the DUT is to use JTAG. +Similar to the :ref:`Advanced-Concepts/Chip-Communication:Using the Debug Module interface (DMI)` section, in order to use the JTAG protocol, +the DUT needs to contain a Debug Transfer Module (DTM) configured to use JTAG instead of DMI. +Once the JTAG port is exposed, the host can communicate over JTAG to the DUT through a simulation stub +called ``SimJTAG`` (C++ class) that resides in a ``SimJTAG`` Verilog module (both reside in the ``generators/rocket-chip`` project). +This simulation stub creates a socket that OpenOCD and GDB can connect to when the simulation is running. +The default Chipyard designs instantiate the DTM configured to use JTAG (i.e. ``RocketConfig``). + +.. note:: + As mentioned, default Chipyard designs are enabled with JTAG. + However, they also use TSI/Serialized-TL with FESVR in case the JTAG interface isn't used. + This allows users to choose how to communicate with the DUT (use TSI or JTAG). Debugging with JTAG ~~~~~~~~~~~~~~~~~~~ -Please refer to the following resources on how to debug a Rocket Chip system with JTAG. +Roughly the steps to debug with JTAG in simulation are as follows: + +1. Build a Chipyard JTAG-enabled RTL design. Remember default Chipyard designs are JTAG ready. + +.. code-block:: bash -* https://github.com/chipsalliance/rocket-chip#-debugging-with-gdb -* https://github.com/riscv/riscv-isa-sim#debugging-with-gdb + cd sims/verilator + # or + cd sims/vcs -Roughly the steps are as follows (refer to the links for details): + make CONFIG=RocketConfig -1. Build a Chipyard JTAG-enabled RTL design (i.e. ``make CONFIG=RocketConfig`` in ``sims/*``) -2. Run the simulation with remote bit-bang enabled (i.e. ``make CONFIG=RocketConfig BINARY= SIM_FLAGS="+jtag_rbb_enable=1 --rbb-port=9823" run-binary``) -3. Launch OpenOCD -4. Connect to OpenOCD via GDB -5. Done! +2. Run the simulation with remote bit-bang enabled. Since we hope to load/run the binary using JTAG, + we can pass ``none`` as a binary (prevents FESVR from loading the program). (Adapted from: https://github.com/chipsalliance/rocket-chip#3-launch-the-emulator) + +.. code-block:: bash + + # note: this uses Chipyard make invocation to run the simulation to properly wrap the simulation args + make CONFIG=RocketConfig BINARY=none SIM_FLAGS="+jtag_rbb_enable=1 --rbb-port=9823" run-binary + +3. `Follow the instructions here to connect to the simulation using OpenOCD + GDB. `__ + +.. note:: + This section was adapted from the instruction in Rocket Chip and riscv-isa-sim. For more information refer + to that documentation: `Rocket Chip GDB Docs `__, + `riscv-isa-sim GDB Docs `__ Example Test Chip Bringup Communication --------------------------------------- @@ -144,7 +165,7 @@ Example Test Chip Bringup Communication Intro to Typical Chipyard Test Chip ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -Most, if not all, Chipyard configurations are tethered using TSI (over a serial link) and have access +Most, if not all, Chipyard configurations are tethered using TSI (over a serial-link) and have access to external memory through an AXI port (backing AXI memory). The following image shows the DUT with these set of default signals: @@ -153,14 +174,14 @@ The following image shows the DUT with these set of default signals: In this setup, the serial-link is connected to the TSI/FESVR peripherals while the AXI port is connected to a simulated AXI memory. However, AXI ports tend to have many signals associated with them so instead of creating an AXI port off the DUT, -one can send the memory transactions over the bi-directional serial-link (``TLSerdesser``) so that main -interface to the DUT is the serial-link (which as comparatively less signals than an AXI port). +one can send the memory transactions over the bi-directional serial-link (``TLSerdesser``) so that the main +interface to the DUT is the serial-link (which has comparatively less signals than an AXI port). This new setup (shown below) is a typical Chipyard test chip setup: .. image:: ../_static/images/bringup-chipyard-config-communication.png -Simulation Setup -~~~~~~~~~~~~~~~~ +Simulation Setup of the Example Test Chip +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ To test this type of configuration (TSI/memory transactions over the serial-link), most of the same TSI collateral would be used. @@ -171,8 +192,12 @@ serial-link. .. note:: Here the simulated AXI memory and the converters can be in a different clock domain in the test harness - than the DUT. This is done in a harness binder doing these offchip connections. See - :ref:`Advanced-Concepts/Harness-Clocks:Creating Clocks in the Test Harness` on how to generate a clock in a harness binder. + than the reference clock of the DUT. + For example, the DUT can be clocked at 3.2GHz while the simulated AXI memory can be clocked at 1GHz. + This functionality is done in the harness binder that instantiates the TSI collateral, TL-to-AXI converters, + and simulated AXI memory. + See :ref:`Advanced-Concepts/Harness-Clocks:Creating Clocks in the Test Harness` on how to generate a clock + in a harness binder. This type of simulation setup is done in the following multi-clock configuration: @@ -181,18 +206,20 @@ This type of simulation setup is done in the following multi-clock configuration :start-after: DOC include start: MulticlockAXIOverSerialConfig :end-before: DOC include end: MulticlockAXIOverSerialConfig -Real-world FPGA Setup -~~~~~~~~~~~~~~~~~~~~~ +Bringup Setup of the Example Test Chip after Tapeout +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -Assuming this same chip configuration is being tested after tapeout (during bringup), -communication with the DUT is similar but slightly different. -For example, some Berkeley tapeouts have a FPGA with a RISC-V soft-core that runs FESVR. +Assuming this example test chip is taped out and now ready to be tested, we can communicate with the chip using this serial-link. +For example, some Berkeley tapeouts of Chipyard chips have an FPGA running a RISC-V soft-core that is able to speak to the DUT. This RISC-V soft-core would serve as the host of the test that will run on the DUT. -The FESVR on the soft-core sends TSI commands to the ``SerialAdapter`` which then sends the converted -TileLink transaction to the chip over the serial-link. -If the chip requests offchip memory, it can then send the transaction back over the serial-link to the -FPGA DRAM. +This is done by the RISC-V soft-core running FESVR, sending TSI commands to a ``SerialAdapter`` / ``TLSerdesser`` programmed on the FPGA. +Once the commands are converted to serialized TileLink, then they can be sent over some medium to the DUT +(like an FMC cable or a set of wires connecting FPGA outputs to the DUT board). +Similar to simulation, if the chip requests offchip memory, it can then send the transaction back over the serial-link. +Then the request can be serviced by the channel of FPGA DRAM. The following image shows this flow: .. image:: ../_static/images/chip-bringup.png +In fact, this exact type of bringup setup is what the following section discusses: +:ref:`Prototyping/VCU118:Introduction to the Bringup Platform`. From aac77b3d74c6033eb03f9055a2304bd645529db8 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Wed, 10 Mar 2021 14:46:53 -0800 Subject: [PATCH 088/225] Move TileResetCtrl before the ResetSynchronizers, and give them an async reset --- generators/chipyard/src/main/scala/Clocks.scala | 17 ++++++++++++++--- 1 file changed, 14 insertions(+), 3 deletions(-) diff --git a/generators/chipyard/src/main/scala/Clocks.scala b/generators/chipyard/src/main/scala/Clocks.scala index 9ca6a801e2..e29f8392e5 100644 --- a/generators/chipyard/src/main/scala/Clocks.scala +++ b/generators/chipyard/src/main/scala/Clocks.scala @@ -70,16 +70,16 @@ object ClockingSchemeGenerators { // Add a control register for each tile's reset val resetSetter = chiptop.lazySystem match { - case sys: BaseSubsystem with InstantiatesTiles => TLTileResetCtrl(sys) - case _ => ClockGroupEphemeralNode() + case sys: BaseSubsystem with InstantiatesTiles => Some(TLTileResetCtrl(sys)) + case _ => None } + val resetSetterResetProvider = resetSetter.map(_.tileResetProviderNode).getOrElse(ClockGroupEphemeralNode()) val aggregator = LazyModule(new ClockGroupAggregator("allClocks")).node (chiptop.implicitClockSinkNode := ClockGroup() := aggregator) (systemAsyncClockGroup - :*= resetSetter :*= ClockGroupNamePrefixer() :*= aggregator) @@ -87,10 +87,16 @@ object ClockingSchemeGenerators { (aggregator := ClockGroupFrequencySpecifier(p(ClockFrequencyAssignersKey), p(DefaultClockFrequencyKey)) := ClockGroupResetSynchronizer() + := resetSetterResetProvider := DividerOnlyClockGenerator() := referenceClockSource) + val asyncResetBroadcast = FixedClockBroadcast(None) + resetSetter.foreach(_.asyncResetSinkNode := asyncResetBroadcast) + val asyncResetSource = ClockSourceNode(Seq(ClockSourceParameters())) + asyncResetBroadcast := asyncResetSource + InModuleBody { val clock_wire = Wire(Input(Clock())) val reset_wire = GenerateReset(chiptop, clock_wire) @@ -102,6 +108,11 @@ object ClockingSchemeGenerators { o.reset := reset_wire } + asyncResetSource.out.unzip._1.map { o => + o.clock := false.B.asClock // async reset broadcast network does not provide a clock + o.reset := reset_wire + } + chiptop.harnessFunctions += ((th: HasHarnessSignalReferences) => { clock_io := th.harnessClock Nil }) From 7bcfaf1b7d74f970b95855e94582b0e5d7261dcd Mon Sep 17 00:00:00 2001 From: Kartik Prabhu Date: Wed, 10 Mar 2021 16:50:36 -0800 Subject: [PATCH 089/225] Fix IOCell generation for clock and reset to use IOCellKey --- generators/chipyard/src/main/scala/Clocks.scala | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/generators/chipyard/src/main/scala/Clocks.scala b/generators/chipyard/src/main/scala/Clocks.scala index 9ca6a801e2..7d54f7f08e 100644 --- a/generators/chipyard/src/main/scala/Clocks.scala +++ b/generators/chipyard/src/main/scala/Clocks.scala @@ -14,6 +14,7 @@ import barstools.iocell.chisel._ import testchipip.{TLTileResetCtrl} import chipyard.clocking._ +import chipyard.iobinders._ /** * A simple reset implementation that punches out reset ports @@ -25,7 +26,7 @@ object GenerateReset { implicit val p = chiptop.p // this needs directionality so generateIOFromSignal works val async_reset_wire = Wire(Input(AsyncReset())) - val (reset_io, resetIOCell) = IOCell.generateIOFromSignal(async_reset_wire, "reset", + val (reset_io, resetIOCell) = IOCell.generateIOFromSignal(async_reset_wire, "reset", p(IOCellKey), abstractResetAsAsync = true) chiptop.iocells ++= resetIOCell @@ -94,7 +95,7 @@ object ClockingSchemeGenerators { InModuleBody { val clock_wire = Wire(Input(Clock())) val reset_wire = GenerateReset(chiptop, clock_wire) - val (clock_io, clockIOCell) = IOCell.generateIOFromSignal(clock_wire, "clock") + val (clock_io, clockIOCell) = IOCell.generateIOFromSignal(clock_wire, "clock", p(IOCellKey)) chiptop.iocells ++= clockIOCell referenceClockSource.out.unzip._1.map { o => From 1ebc0f7a7e19bf3279eeabddaa42a73469537491 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Thu, 11 Mar 2021 03:30:14 +0000 Subject: [PATCH 090/225] Allow the PLL to request the max freq --- .../src/main/scala/clocking/DividerOnlyClockGenerator.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala b/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala index 589d99c6da..1723b7562f 100644 --- a/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala +++ b/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala @@ -51,7 +51,7 @@ object FrequencyUtils { require(!requestedOutputs.contains(0.0)) val requestedFreqs = requestedOutputs.map(_.freqMHz) val fastestFreq = requestedFreqs.max - require(fastestFreq < maximumAllowableFreqMHz) + require(fastestFreq <= maximumAllowableFreqMHz) val candidateFreqs = Seq.tabulate(Math.ceil(maximumAllowableFreqMHz / fastestFreq).toInt)(i => (i + 1) * fastestFreq) From 30c9b63e7b15ec1ae2b2a6d936987aeefe3cf784 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Thu, 11 Mar 2021 03:54:56 +0000 Subject: [PATCH 091/225] More clarifications on harness clocks --- docs/Advanced-Concepts/Harness-Clocks.rst | 36 ++++++++++++++----- .../src/main/scala/HarnessBinders.scala | 3 +- 2 files changed, 29 insertions(+), 10 deletions(-) diff --git a/docs/Advanced-Concepts/Harness-Clocks.rst b/docs/Advanced-Concepts/Harness-Clocks.rst index ba59972215..70efc33b63 100644 --- a/docs/Advanced-Concepts/Harness-Clocks.rst +++ b/docs/Advanced-Concepts/Harness-Clocks.rst @@ -3,18 +3,36 @@ Creating Clocks in the Test Harness =================================== -By default, all modules in the Test Harness, including those made by harness binders -use the implicit clock and reset of the Test Harness. -However, the test harness and harness binders, have the ability to generate a standalone -clock and reset signal. -This is done by the ``HarnessClockInstantiator`` which allows you to request a clock at a -particular frequency. -Take the following harness binder example: +Chipyard currently allows the SoC design (everything under ``ChipTop``) to +have independent clock domains through diplomacy. +This implies that some reference clock enters the ``ChipTop`` and then is divided down into +separate clock domains. +From the perspective of the ``TestHarness`` module, the ``ChipTop`` clock and reset is +provided from the harness clock and reset (called ``harnessClock`` and ``harnessReset``). +In the default case, this ``harnessClock`` and ``harnessReset`` is directly wired to the +clock and reset IO's of the ``TestHarness`` module. +However, the ``TestHarness`` has the ability to generate a standalone clock and reset signal +that is separate from the reference clock/reset of ``ChipTop``. +This allows harness components (including harness binders) the ability to "request" a clock +for a new clock domain. +This is useful for simulating systems in which modules in the harness have independent clock domains +from the DUT. + +Requests for a harness clock is done by the ``HarnessClockInstantiator`` class in ``generators/chipyard/src/main/scala/TestHarness.scala``. +This class is accessed in harness components by referencing the Rocket Chip parameters key ``p(HarnessClockInstantiatorKey)``. +Then you can request a clock and syncronized reset at a particular frequency by invoking the ``getClockBundle`` function. +Take the following example: .. literalinclude:: ../../generators/chipyard/src/main/scala/HarnessBinders.scala :language: scala :start-after: DOC include start: HarnessClockInstantiatorEx :end-before: DOC include end: HarnessClockInstantiatorEx -While the purpose of the binder isn't necessary here, you can see that the ``p(HarnessClockInstantiatorKey).getClockBundle`` -allows the binder to request a clock/reset bundle at a particular frequency. +Here you can see the ``p(HarnessClockInstantiatorKey)`` is used to request a clock and reset at ``memFreq`` frequency. + +.. note:: + In the case that the reference clock entering ``ChipTop`` is not the overall reference clock of the simulation + (i.e. not the clock/reset coming into the ``TestHarness`` module), the ``harnessClock`` and ``harnessReset`` can + differ from the implicit ``TestHarness`` clock and reset. For example, if the ``ChipTop`` reference is 500MHz but an + extra harness clock is requested at 1GHz, the ``TestHarness`` implicit clock/reset will be at 1GHz while the ``harnessClock`` + and ``harnessReset`` will be at 500MHz. diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index bed779d0bb..faa504b452 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -158,10 +158,11 @@ class WithSimAXIMemOverSerialTL extends OverrideHarnessBinder({ ports.map({ port => // DOC include start: HarnessClockInstantiatorEx + val memOverSerialTLClockBundle = p(HarnessClockInstantiatorKey).getClockBundle("mem_over_serial_tl_clock", memFreq) val harnessMultiClockAXIRAM = SerialAdapter.connectHarnessMultiClockAXIRAM( system.serdesser.get, port, - p(HarnessClockInstantiatorKey).getClockBundle("mem_over_serial_tl_clock", memFreq), + memOverSerialTLClockBundle, th.harnessReset) // DOC include end: HarnessClockInstantiatorEx val success = SerialAdapter.connectSimSerial(harnessMultiClockAXIRAM.module.io.tsi_ser, port.clock, th.harnessReset.asBool) From c5cb8f13294f873da0dd62b25a4b8731b5337efb Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Thu, 11 Mar 2021 18:23:36 -0800 Subject: [PATCH 092/225] Bump testchipip for TileResetCtrl changes --- generators/testchipip | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/testchipip b/generators/testchipip index f27055929a..cca134d6f2 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit f27055929a2d4c091bfe10c3b64761e281844a2b +Subproject commit cca134d6f23b11d9ae3d3112bc409476bd461ff1 From 2260fffc9c12e740e43e7c7314d91df529262d75 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Fri, 12 Mar 2021 09:33:50 -0800 Subject: [PATCH 093/225] Bump testchipip --- generators/testchipip | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/testchipip b/generators/testchipip index cca134d6f2..282ca2e25e 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit cca134d6f23b11d9ae3d3112bc409476bd461ff1 +Subproject commit 282ca2e25e191e63051afafc8808561f6a54c695 From c27c9d5d1882508640acd86682822a1d4da5e42e Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Mon, 15 Mar 2021 02:16:18 -0700 Subject: [PATCH 094/225] Add option to add async queues between chip-serialIO and harness serdes --- .../src/main/scala/HarnessBinders.scala | 24 ++++++++++++++----- generators/testchipip | 2 +- 2 files changed, 19 insertions(+), 7 deletions(-) diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index 643b2065ad..fe03f951b2 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -238,23 +238,35 @@ class WithTiedOffDebug extends OverrideHarnessBinder({ }) -class WithSerialAdapterTiedOff extends OverrideHarnessBinder({ +class WithSerialAdapterTiedOff(asyncQueue: Boolean = false) extends OverrideHarnessBinder({ (system: CanHavePeripheryTLSerial, th: HasHarnessSignalReferences, ports: Seq[ClockedIO[SerialIO]]) => { implicit val p = chipyard.iobinders.GetSystemParameters(system) ports.map({ port => - val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, port, th.harnessReset) + val bits = if (asyncQueue) { + SerialAdapter.asyncQueue(port, th.harnessClock, th.harnessReset) + } else { + port.bits + } + val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.harnessReset) SerialAdapter.tieoff(ram.module.io.tsi_ser) }) } }) -class WithSimSerial extends OverrideHarnessBinder({ +class WithSimSerial(asyncQueue: Boolean = false) extends OverrideHarnessBinder({ (system: CanHavePeripheryTLSerial, th: HasHarnessSignalReferences, ports: Seq[ClockedIO[SerialIO]]) => { implicit val p = chipyard.iobinders.GetSystemParameters(system) ports.map({ port => - val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, port, th.harnessReset) - val success = SerialAdapter.connectSimSerial(ram.module.io.tsi_ser, port.clock, th.harnessReset.asBool) - when (success) { th.success := true.B } + val bits = if (asyncQueue) { + SerialAdapter.asyncQueue(port, th.harnessClock, th.harnessReset) + } else { + port.bits + } + withClockAndReset(th.harnessClock, th.harnessReset) { + val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.harnessReset) + val success = SerialAdapter.connectSimSerial(ram.module.io.tsi_ser, th.harnessClock, th.harnessReset.asBool) + when (success) { th.success := true.B } + } }) } }) diff --git a/generators/testchipip b/generators/testchipip index 282ca2e25e..6e2db28a16 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit 282ca2e25e191e63051afafc8808561f6a54c695 +Subproject commit 6e2db28a165627f44b6e97d40930406bbfb6e3e3 From 8a78565c04d49ba6d4ccf569009ad0d17ca3fdaf Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Mon, 15 Mar 2021 12:45:40 -0700 Subject: [PATCH 095/225] Update BridgeBinders with new HarnessRAM clocking --- generators/firechip/src/main/scala/BridgeBinders.scala | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/generators/firechip/src/main/scala/BridgeBinders.scala b/generators/firechip/src/main/scala/BridgeBinders.scala index bdbb7d4414..f0b376d09a 100644 --- a/generators/firechip/src/main/scala/BridgeBinders.scala +++ b/generators/firechip/src/main/scala/BridgeBinders.scala @@ -70,8 +70,9 @@ class WithSerialBridge extends OverrideHarnessBinder({ (system: CanHavePeripheryTLSerial, th: FireSim, ports: Seq[ClockedIO[SerialIO]]) => { ports.map { port => implicit val p = GetSystemParameters(system) - val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, port, th.harnessReset) - SerialBridge(port.clock, ram.module.io.tsi_ser, p(ExtMem).map(_ => MainMemoryConsts.globalName)) + val bits = SerialAdapter.asyncQueue(port, th.harnessClock, th.harnessReset) + val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.harnessReset) + SerialBridge(th.harnessClock, ram.module.io.tsi_ser, p(ExtMem).map(_ => MainMemoryConsts.globalName)) } Nil } From edd54e776c0cfdc4c5ce2ec129cefd7731a14780 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Mon, 15 Mar 2021 14:05:33 -0700 Subject: [PATCH 096/225] Bump testchipip --- generators/testchipip | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/testchipip b/generators/testchipip index 6e2db28a16..ca3cc6245c 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit 6e2db28a165627f44b6e97d40930406bbfb6e3e3 +Subproject commit ca3cc6245c2edd253bcec67283dbfdbda4d5c3dc From a013f0d561fb06a1118e3cbf766d734da427a847 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Mon, 15 Mar 2021 15:09:29 -0700 Subject: [PATCH 097/225] Fix SerialTL HarnessRAM BridgeBinder --- generators/firechip/src/main/scala/BridgeBinders.scala | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/generators/firechip/src/main/scala/BridgeBinders.scala b/generators/firechip/src/main/scala/BridgeBinders.scala index f0b376d09a..95f0bf3b4e 100644 --- a/generators/firechip/src/main/scala/BridgeBinders.scala +++ b/generators/firechip/src/main/scala/BridgeBinders.scala @@ -71,7 +71,9 @@ class WithSerialBridge extends OverrideHarnessBinder({ ports.map { port => implicit val p = GetSystemParameters(system) val bits = SerialAdapter.asyncQueue(port, th.harnessClock, th.harnessReset) - val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.harnessReset) + val ram = withClockAndReset(th.harnessClock, th.harnessReset) { + SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.harnessReset) + } SerialBridge(th.harnessClock, ram.module.io.tsi_ser, p(ExtMem).map(_ => MainMemoryConsts.globalName)) } Nil From c5e7d8a9b2d6f4321a94cc041e51919bb4209973 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Mon, 15 Mar 2021 15:35:41 -0700 Subject: [PATCH 098/225] Give HarnessRAM implicit clock/reset in SerialTiedOff --- .../src/main/scala/HarnessBinders.scala | 20 +++++++------------ 1 file changed, 7 insertions(+), 13 deletions(-) diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index fe03f951b2..9159873d97 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -238,30 +238,24 @@ class WithTiedOffDebug extends OverrideHarnessBinder({ }) -class WithSerialAdapterTiedOff(asyncQueue: Boolean = false) extends OverrideHarnessBinder({ +class WithSerialAdapterTiedOff extends OverrideHarnessBinder({ (system: CanHavePeripheryTLSerial, th: HasHarnessSignalReferences, ports: Seq[ClockedIO[SerialIO]]) => { implicit val p = chipyard.iobinders.GetSystemParameters(system) ports.map({ port => - val bits = if (asyncQueue) { - SerialAdapter.asyncQueue(port, th.harnessClock, th.harnessReset) - } else { - port.bits + val bits = SerialAdapter.asyncQueue(port, th.harnessClock, th.harnessReset) + withClockAndReset(th.harnessClock, th.harnessReset) { + val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.harnessReset) + SerialAdapter.tieoff(ram.module.io.tsi_ser) } - val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.harnessReset) - SerialAdapter.tieoff(ram.module.io.tsi_ser) }) } }) -class WithSimSerial(asyncQueue: Boolean = false) extends OverrideHarnessBinder({ +class WithSimSerial extends OverrideHarnessBinder({ (system: CanHavePeripheryTLSerial, th: HasHarnessSignalReferences, ports: Seq[ClockedIO[SerialIO]]) => { implicit val p = chipyard.iobinders.GetSystemParameters(system) ports.map({ port => - val bits = if (asyncQueue) { - SerialAdapter.asyncQueue(port, th.harnessClock, th.harnessReset) - } else { - port.bits - } + val bits = SerialAdapter.asyncQueue(port, th.harnessClock, th.harnessReset) withClockAndReset(th.harnessClock, th.harnessReset) { val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.harnessReset) val success = SerialAdapter.connectSimSerial(ram.module.io.tsi_ser, th.harnessClock, th.harnessReset.asBool) From 6476c7e7f0bfafa02acb719b8e9079099d51b475 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Mon, 15 Mar 2021 16:54:42 -0700 Subject: [PATCH 099/225] Small renaming/cleanup | Use LinkedHashMaps --- .../chipyard/src/main/scala/Clocks.scala | 2 +- .../src/main/scala/ConfigFragments.scala | 6 +++ .../src/main/scala/HarnessBinders.scala | 12 ++--- .../chipyard/src/main/scala/TestHarness.scala | 15 +++---- .../clocking/DividerOnlyClockGenerator.scala | 4 -- .../src/main/scala/BridgeBinders.scala | 11 +---- .../firechip/src/main/scala/FireSim.scala | 44 +++++++++---------- .../src/main/scala/TargetConfigs.scala | 3 +- generators/testchipip | 2 +- 9 files changed, 43 insertions(+), 56 deletions(-) diff --git a/generators/chipyard/src/main/scala/Clocks.scala b/generators/chipyard/src/main/scala/Clocks.scala index 00c074175b..9d400e6c19 100644 --- a/generators/chipyard/src/main/scala/Clocks.scala +++ b/generators/chipyard/src/main/scala/Clocks.scala @@ -86,7 +86,7 @@ object ClockingSchemeGenerators { :*= aggregator) val referenceClockSource = ClockSourceNode(Seq(ClockSourceParameters())) - val dividerOnlyClkGenerator = DividerOnlyClockGenerator() + val dividerOnlyClkGenerator = LazyModule(new DividerOnlyClockGenerator("buildTopClockGenerator")) // provides all the divided clocks (from the top-level clock) (aggregator := ClockGroupFrequencySpecifier(p(ClockFrequencyAssignersKey), p(DefaultClockFrequencyKey)) diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index 490baa939c..a175d5a5dd 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -217,6 +217,12 @@ class WithSerialTLBackingMemory extends Config((site, here, up) => { )} }) +/** + * Mixins to define either a specific tile frequency for a single hart or all harts + * + * @param fMHz Frequency in MHz of the tile or all tiles + * @param hartId Optional hartid to assign the frequency to (if unspecified default to all harts) + */ class WithTileFrequency(fMHz: Double, hartId: Option[Int] = None) extends ClockNameContainsAssignment({ hartId match { case Some(id) => s"tile_$id" diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index faa504b452..3293197778 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -144,21 +144,15 @@ class WithSimAXIMemOverSerialTL extends OverrideHarnessBinder({ implicit val p = chipyard.iobinders.GetSystemParameters(system) p(SerialTLKey).map({ sVal => - // currently only the harness AXI port supports a passthrough clock require(sVal.axiMemOverSerialTLParams.isDefined) val axiDomainParams = sVal.axiMemOverSerialTLParams.get + require(sVal.isMemoryDevice) - val memFreq: Double = axiDomainParams.axiClockParams match { - case Some(clkParams) => clkParams.clockFreqMHz * 1000000 - case None => { - // get freq. from what the master of the serial link specifies - system.asInstanceOf[HasTileLinkLocations].locateTLBusWrapper(p(SerialTLAttachKey).masterWhere).dtsFrequency.get.toDouble - } - } + val memFreq = axiDomainParams.getMemFrequency(system.asInstanceOf[HasTileLinkLocations]) ports.map({ port => // DOC include start: HarnessClockInstantiatorEx - val memOverSerialTLClockBundle = p(HarnessClockInstantiatorKey).getClockBundle("mem_over_serial_tl_clock", memFreq) + val memOverSerialTLClockBundle = p(HarnessClockInstantiatorKey).requestClockBundle("mem_over_serial_tl_clock", memFreq) val harnessMultiClockAXIRAM = SerialAdapter.connectHarnessMultiClockAXIRAM( system.serdesser.get, port, diff --git a/generators/chipyard/src/main/scala/TestHarness.scala b/generators/chipyard/src/main/scala/TestHarness.scala index 9090086f73..e5af755a40 100644 --- a/generators/chipyard/src/main/scala/TestHarness.scala +++ b/generators/chipyard/src/main/scala/TestHarness.scala @@ -2,7 +2,7 @@ package chipyard import chisel3._ -import scala.collection.mutable.{ArrayBuffer, HashMap} +import scala.collection.mutable.{ArrayBuffer, LinkedHashMap} import freechips.rocketchip.diplomacy.{LazyModule} import freechips.rocketchip.config.{Field, Parameters} import freechips.rocketchip.util.{ResetCatchAndSync} @@ -31,10 +31,10 @@ trait HasHarnessSignalReferences { } class HarnessClockInstantiator { - private var _clockMap: HashMap[String, (Double, ClockBundle)] = HashMap.empty + private val _clockMap: LinkedHashMap[String, (Double, ClockBundle)] = LinkedHashMap.empty // request a clock bundle at a particular frequency - def getClockBundle(name: String, freqRequested: Double): ClockBundle = { + def requestClockBundle(name: String, freqRequested: Double): ClockBundle = { val clockBundle = Wire(new ClockBundle(ClockBundleParameters())) _clockMap(name) = (freqRequested, clockBundle) clockBundle @@ -49,7 +49,7 @@ class HarnessClockInstantiator { val pllConfig = new SimplePllConfiguration("harnessDividerOnlyClockGenerator", sinks) pllConfig.emitSummaries() - val dividedClocks = HashMap[Int, Clock]() + val dividedClocks = LinkedHashMap[Int, Clock]() def instantiateDivider(div: Int): Clock = { val divider = Module(new ClockDividerN(div)) divider.suggestName(s"ClockDivideBy${div}") @@ -86,16 +86,15 @@ class TestHarness(implicit val p: Parameters) extends Module with HasHarnessSign val harnessReset = Wire(Reset()) val lazyDut = LazyModule(p(BuildTop)(p)).suggestName("chiptop") - withClockAndReset(harnessClock, harnessReset) { - val dut = Module(lazyDut.module) - } + val dut = Module(lazyDut.module) + io.success := false.B val freqMHz = lazyDut match { case d: HasReferenceClockFreq => d.refClockFreqMHz.getOrElse(p(DefaultClockFrequencyKey)) case _ => p(DefaultClockFrequencyKey) } - val refClkBundle = p(HarnessClockInstantiatorKey).getClockBundle("buildtop_reference_clock", freqMHz * (1000 * 1000)) + val refClkBundle = p(HarnessClockInstantiatorKey).requestClockBundle("buildtop_reference_clock", freqMHz * (1000 * 1000)) harnessClock := refClkBundle.clock harnessReset := WireInit(refClkBundle.reset) diff --git a/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala b/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala index 1723b7562f..b272c80ce3 100644 --- a/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala +++ b/generators/chipyard/src/main/scala/clocking/DividerOnlyClockGenerator.scala @@ -145,7 +145,3 @@ class DividerOnlyClockGenerator(pllName: String)(implicit p: Parameters, valName } } } - -object DividerOnlyClockGenerator { - def apply()(implicit p: Parameters, valName: ValName) = LazyModule(new DividerOnlyClockGenerator(valName.name)) -} diff --git a/generators/firechip/src/main/scala/BridgeBinders.scala b/generators/firechip/src/main/scala/BridgeBinders.scala index 174ea5bb04..bc44b9f777 100644 --- a/generators/firechip/src/main/scala/BridgeBinders.scala +++ b/generators/firechip/src/main/scala/BridgeBinders.scala @@ -110,21 +110,14 @@ class WithAXIOverSerialTLCombinedBridges extends OverrideHarnessBinder({ implicit val p = GetSystemParameters(system) p(SerialTLKey).map({ sVal => - // currently only the harness AXI port supports a passthrough clock require(sVal.axiMemOverSerialTLParams.isDefined) val axiDomainParams = sVal.axiMemOverSerialTLParams.get require(sVal.isMemoryDevice) - val memFreq: Double = axiDomainParams.axiClockParams match { - case Some(clkParams) => clkParams.clockFreqMHz * 1000000 - case None => { - // get freq. from what the master of the serial link specifies - system.asInstanceOf[HasTileLinkLocations].locateTLBusWrapper(p(SerialTLAttachKey).masterWhere).dtsFrequency.get.toDouble - } - } + val memFreq = axiDomainParams.getMemFrequency(system.asInstanceOf[HasTileLinkLocations]) ports.map({ port => - val axiClock = p(ClockBridgeInstantiatorKey).getClock("mem_over_serial_tl_clock", memFreq) + val axiClock = p(ClockBridgeInstantiatorKey).requestClock("mem_over_serial_tl_clock", memFreq) val axiClockBundle = Wire(new ClockBundle(ClockBundleParameters())) axiClockBundle.clock := axiClock axiClockBundle.reset := ResetCatchAndSync(axiClock, th.harnessReset.asBool) diff --git a/generators/firechip/src/main/scala/FireSim.scala b/generators/firechip/src/main/scala/FireSim.scala index ca0ab1a05b..7a66c896a9 100644 --- a/generators/firechip/src/main/scala/FireSim.scala +++ b/generators/firechip/src/main/scala/FireSim.scala @@ -2,7 +2,7 @@ package firesim.firesim -import scala.collection.mutable.{HashMap} +import scala.collection.mutable.{LinkedHashMap} import chisel3._ import chisel3.experimental.{IO} @@ -44,12 +44,12 @@ object NodeIdx { * memoize its instantiation such that it can be referenced from within a ClockScheme function. */ class ClockBridgeInstantiator { - private var _harnessClockMap: HashMap[String, (Double, Clock)] = HashMap.empty + private val _harnessClockMap: LinkedHashMap[String, (Double, Clock)] = LinkedHashMap.empty // Assumes that the supernode implementation results in duplicated clocks // (i.e. only 1 set of clocks is generated for all BuildTop designs) - private var _ratClockMap: HashMap[String, (RationalClock, Clock)] = HashMap.empty - private var _ratRefTuple: Option[(String, Double)] = None + private val _buildtopClockMap: LinkedHashMap[String, (RationalClock, Clock)] = LinkedHashMap.empty + private var _buildtopRefTuple: Option[(String, Double)] = None /** * Request a clock at a particular frequency @@ -58,7 +58,7 @@ class ClockBridgeInstantiator { * * @param freqRequested Freq. for the domain in Hz */ - def getClock(name: String, freqRequested: Double): Clock = { + def requestClock(name: String, freqRequested: Double): Clock = { val clkWire = Wire(new Clock) _harnessClockMap(name) = (freqRequested, clkWire) clkWire @@ -73,15 +73,15 @@ class ClockBridgeInstantiator { * * @param baseFreqRequested Freq. for the reference domain in Hz */ - def getClockRecordMap(allClocks: Seq[RationalClock], baseClockName: String, baseFreqRequested: Double): RecordMap[Clock] = { - require(!_ratRefTuple.isDefined, "Can only request one RecordMap of Clocks") + def requestClockRecordMap(allClocks: Seq[RationalClock], baseClockName: String, baseFreqRequested: Double): RecordMap[Clock] = { + require(!_buildtopRefTuple.isDefined, "Can only request one RecordMap of Clocks") val ratClockRecordMapWire = Wire(RecordMap(allClocks.map { c => (c.name, Clock()) }:_*)) - _ratRefTuple = Some((baseClockName, baseFreqRequested)) + _buildtopRefTuple = Some((baseClockName, baseFreqRequested)) for (clock <- allClocks) { val clkWire = Wire(new Clock) - _ratClockMap(clock.name) = (clock, clkWire) + _buildtopClockMap(clock.name) = (clock, clkWire) ratClockRecordMapWire(clock.name).get := clkWire } @@ -92,14 +92,14 @@ class ClockBridgeInstantiator { * Connect all clocks requested to ClockBridge */ def instantiateFireSimClockBridge: Unit = { - require(_ratRefTuple.isDefined, "Must have rational clocks to assign to") - require(_ratClockMap.exists(_._1 == _ratRefTuple.get._1), - s"Provided base-clock name for rational clocks, ${_ratRefTuple.get._1}, doesn't match a name within specified rational clocks." + - "Available clocks:\n " + _ratClockMap.map(_._1).mkString("\n ")) + require(_buildtopRefTuple.isDefined, "Must have rational clocks to assign to") + require(_buildtopClockMap.exists(_._1 == _buildtopRefTuple.get._1), + s"Provided base-clock name for rational clocks, ${_buildtopRefTuple.get._1}, doesn't match a name within specified rational clocks." + + "Available clocks:\n " + _buildtopClockMap.map(_._1).mkString("\n ")) // Simplify the RationalClocks ratio's - val refRatClock = _ratClockMap.find(_._1 == _ratRefTuple.get._1).get._2._1 - val simpleRatClocks = _ratClockMap.map { t => + val refRatClock = _buildtopClockMap.find(_._1 == _buildtopRefTuple.get._1).get._2._1 + val simpleRatClocks = _buildtopClockMap.map { t => val ratClock = t._2._1 ratClock.copy( multiplier = ratClock.multiplier * refRatClock.divisor, @@ -108,8 +108,8 @@ class ClockBridgeInstantiator { // Determine all the clock dividers (harness + rational clocks) // Note: Requires that the BuildTop reference frequency is requested with proper freq. - val refRatClockFreq = _ratRefTuple.get._2 - val refRatSinkParams = ClockSinkParameters(take=Some(ClockParameters(freqMHz=refRatClockFreq / (1000 * 1000))),name=Some(_ratRefTuple.get._1)) + val refRatClockFreq = _buildtopRefTuple.get._2 + val refRatSinkParams = ClockSinkParameters(take=Some(ClockParameters(freqMHz=refRatClockFreq / (1000 * 1000))),name=Some(_buildtopRefTuple.get._1)) val harSinkParams = _harnessClockMap.map { case (name, (freq, bundle)) => ClockSinkParameters(take=Some(ClockParameters(freqMHz=freq / (1000 * 1000))),name=Some(name)) }.toSeq @@ -144,7 +144,7 @@ class ClockBridgeInstantiator { // Connect all clocks (harness + BuildTop clocks) for (clock <- allAdjRatClks) { val (_, cbClockField) = cbVecTuples.find(_._1.equalFrequency(clock)).get - _ratClockMap.get(clock.name).map { case (_, clk) => clk := cbClockField } + _buildtopClockMap.get(clock.name).map { case (_, clk) => clk := cbClockField } _harnessClockMap.get(clock.name).map { case (_, clk) => clk := cbClockField } } } @@ -200,7 +200,7 @@ class WithFireSimSimpleClocks extends Config((site, here, up) => { chiptop.harnessFunctions += ((th: HasHarnessSignalReferences) => { reset := th.harnessReset input_clocks := p(ClockBridgeInstantiatorKey) - .getClockRecordMap(rationalClockSpecs.toSeq, p(FireSimBaseClockNameKey), pllConfig.referenceFreqMHz * (1000 * 1000)) + .requestClockRecordMap(rationalClockSpecs.toSeq, p(FireSimBaseClockNameKey), pllConfig.referenceFreqMHz * (1000 * 1000)) Nil }) } } @@ -227,9 +227,7 @@ class FireSim(implicit val p: Parameters) extends RawModule with HasHarnessSigna val lazyModule = LazyModule(p(BuildTop)(p.alterPartial({ case AsyncClockGroupsKey => p(AsyncClockGroupsKey).copy }))) - withClockAndReset(harnessClock, harnessReset) { - val module = Module(lazyModule.module) - } + val module = Module(lazyModule.module) btFreqMHz = Some(lazyModule match { case d: HasReferenceClockFreq => d.refClockFreqMHz.getOrElse(p(DefaultClockFrequencyKey)) @@ -246,7 +244,7 @@ class FireSim(implicit val p: Parameters) extends RawModule with HasHarnessSigna NodeIdx.increment() } - harnessClock := p(ClockBridgeInstantiatorKey).getClock("buildtop_reference_clock", btFreqMHz.get * (1000 * 1000)) + harnessClock := p(ClockBridgeInstantiatorKey).requestClock("buildtop_reference_clock", btFreqMHz.get * (1000 * 1000)) p(ClockBridgeInstantiatorKey).instantiateFireSimClockBridge } diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index 6be9cc215e..ca94c8f866 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -59,6 +59,7 @@ class WithNIC extends icenet.WithIceNIC(inBufFlits = 8192, ctrlQueueDepth = 64) class WithNVDLALarge extends nvidia.blocks.dla.WithNVDLA("large") class WithNVDLASmall extends nvidia.blocks.dla.WithNVDLA("small") +// Tweaks that are generally applied to all firesim configs (without default FireSim clocks) class WithFireSimDesignTweaks extends Config( // Required: Bake in the default FASED memory model new WithDefaultMemModel ++ @@ -82,7 +83,7 @@ class WithFireSimDesignTweaks extends Config( new chipyard.config.WithNoDebug ) -// Tweaks that are generally applied to all firesim configs +// Tweaks that are generally applied to all firesim configs (with default FireSim clocks) class WithFireSimConfigTweaks extends Config( // Optional*: Removing this will require adjusting the UART baud rate and // potential target-software changes to properly capture UART output diff --git a/generators/testchipip b/generators/testchipip index 03c4ac4862..6b082f1edb 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit 03c4ac486209253aaa20a702842fba511cfeac04 +Subproject commit 6b082f1edb6c8e4342587ca80aefd8af6e6e8a53 From 3439266b2ec29e541d081cb2fdb6f5a3536b44ec Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Mon, 15 Mar 2021 16:55:13 -0700 Subject: [PATCH 100/225] Small renaming in docs --- docs/Advanced-Concepts/Harness-Clocks.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/Advanced-Concepts/Harness-Clocks.rst b/docs/Advanced-Concepts/Harness-Clocks.rst index 70efc33b63..c49ee29fbf 100644 --- a/docs/Advanced-Concepts/Harness-Clocks.rst +++ b/docs/Advanced-Concepts/Harness-Clocks.rst @@ -20,7 +20,7 @@ from the DUT. Requests for a harness clock is done by the ``HarnessClockInstantiator`` class in ``generators/chipyard/src/main/scala/TestHarness.scala``. This class is accessed in harness components by referencing the Rocket Chip parameters key ``p(HarnessClockInstantiatorKey)``. -Then you can request a clock and syncronized reset at a particular frequency by invoking the ``getClockBundle`` function. +Then you can request a clock and syncronized reset at a particular frequency by invoking the ``requestClockBundle`` function. Take the following example: .. literalinclude:: ../../generators/chipyard/src/main/scala/HarnessBinders.scala From 53017234047b292b89f38dcadbd1de0d795e21c7 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Tue, 16 Mar 2021 19:42:24 -0700 Subject: [PATCH 101/225] Use def instead of var Option for ref frequency --- generators/chipyard/src/main/scala/ChipTop.scala | 5 +++-- generators/chipyard/src/main/scala/Clocks.scala | 12 ++++++------ generators/chipyard/src/main/scala/TestHarness.scala | 2 +- generators/firechip/src/main/scala/FireSim.scala | 8 ++++---- 4 files changed, 14 insertions(+), 13 deletions(-) diff --git a/generators/chipyard/src/main/scala/ChipTop.scala b/generators/chipyard/src/main/scala/ChipTop.scala index e6cfa8cbb6..8d05d10e64 100644 --- a/generators/chipyard/src/main/scala/ChipTop.scala +++ b/generators/chipyard/src/main/scala/ChipTop.scala @@ -16,7 +16,7 @@ import barstools.iocell.chisel._ case object BuildSystem extends Field[Parameters => LazyModule]((p: Parameters) => new DigitalTop()(p)) trait HasReferenceClockFreq { - var refClockFreqMHz: Option[Double] = None + def refClockFreqMHz: Double } /** @@ -35,7 +35,8 @@ class ChipTop(implicit p: Parameters) extends LazyModule with BindingScope val implicitClockSinkNode = ClockSinkNode(Seq(ClockSinkParameters(name = Some("implicit_clock")))) // Generate Clocks and Reset - p(ClockingSchemeKey)(this) + val mvRefClkFreq = p(ClockingSchemeKey)(this) + def refClockFreqMHz: Double = mvRefClkFreq.getWrappedValue // NOTE: Making this a LazyRawModule is moderately dangerous, as anonymous children // of ChipTop (ex: ClockGroup) do not receive clock or reset. diff --git a/generators/chipyard/src/main/scala/Clocks.scala b/generators/chipyard/src/main/scala/Clocks.scala index 9d400e6c19..c25366c62e 100644 --- a/generators/chipyard/src/main/scala/Clocks.scala +++ b/generators/chipyard/src/main/scala/Clocks.scala @@ -7,7 +7,7 @@ import scala.collection.mutable.{ArrayBuffer} import freechips.rocketchip.prci._ import freechips.rocketchip.subsystem.{BaseSubsystem, SubsystemDriveAsyncClockGroupsKey, InstantiatesTiles} import freechips.rocketchip.config.{Parameters, Field, Config} -import freechips.rocketchip.diplomacy.{OutwardNodeHandle, InModuleBody, LazyModule} +import freechips.rocketchip.diplomacy.{ModuleValue, OutwardNodeHandle, InModuleBody, LazyModule} import freechips.rocketchip.util.{ResetCatchAndSync} import barstools.iocell.chisel._ @@ -38,7 +38,7 @@ object GenerateReset { } -case object ClockingSchemeKey extends Field[ChipTop => Unit](ClockingSchemeGenerators.dividerOnlyClockGenerator) +case object ClockingSchemeKey extends Field[ChipTop => ModuleValue[Double]](ClockingSchemeGenerators.dividerOnlyClockGenerator) /* * This is a Seq of assignment functions, that accept a clock name and return an optional frequency. * Functions that appear later in this seq have higher precedence that earlier ones. @@ -59,7 +59,7 @@ class ClockNameContainsAssignment(name: String, fMHz: Double) extends Config((si }) object ClockingSchemeGenerators { - val dividerOnlyClockGenerator: ChipTop => Unit = { chiptop => + val dividerOnlyClockGenerator: ChipTop => ModuleValue[Double] = { chiptop => implicit val p = chiptop.p // Requires existence of undriven asyncClockGroups in subsystem @@ -100,9 +100,6 @@ object ClockingSchemeGenerators { val (clock_io, clockIOCell) = IOCell.generateIOFromSignal(clock_wire, "clock") chiptop.iocells ++= clockIOCell - // set the reference clock used - chiptop.refClockFreqMHz = Some(dividerOnlyClkGenerator.module.referenceFreq) - referenceClockSource.out.unzip._1.map { o => o.clock := clock_wire o.reset := reset_wire @@ -111,6 +108,9 @@ object ClockingSchemeGenerators { chiptop.harnessFunctions += ((th: HasHarnessSignalReferences) => { clock_io := th.harnessClock Nil }) + + // return the reference frequency + dividerOnlyClkGenerator.module.referenceFreq } } } diff --git a/generators/chipyard/src/main/scala/TestHarness.scala b/generators/chipyard/src/main/scala/TestHarness.scala index e5af755a40..82be009375 100644 --- a/generators/chipyard/src/main/scala/TestHarness.scala +++ b/generators/chipyard/src/main/scala/TestHarness.scala @@ -91,7 +91,7 @@ class TestHarness(implicit val p: Parameters) extends Module with HasHarnessSign io.success := false.B val freqMHz = lazyDut match { - case d: HasReferenceClockFreq => d.refClockFreqMHz.getOrElse(p(DefaultClockFrequencyKey)) + case d: HasReferenceClockFreq => d.refClockFreqMHz case _ => p(DefaultClockFrequencyKey) } val refClkBundle = p(HarnessClockInstantiatorKey).requestClockBundle("buildtop_reference_clock", freqMHz * (1000 * 1000)) diff --git a/generators/firechip/src/main/scala/FireSim.scala b/generators/firechip/src/main/scala/FireSim.scala index 7a66c896a9..6853314695 100644 --- a/generators/firechip/src/main/scala/FireSim.scala +++ b/generators/firechip/src/main/scala/FireSim.scala @@ -194,14 +194,14 @@ class WithFireSimSimpleClocks extends Config((site, here, up) => { RationalClock(sinkP.name.get, 1, division) } - // Set the reference frequency used - chiptop.refClockFreqMHz = Some(pllConfig.referenceFreqMHz) - chiptop.harnessFunctions += ((th: HasHarnessSignalReferences) => { reset := th.harnessReset input_clocks := p(ClockBridgeInstantiatorKey) .requestClockRecordMap(rationalClockSpecs.toSeq, p(FireSimBaseClockNameKey), pllConfig.referenceFreqMHz * (1000 * 1000)) Nil }) + + // return the reference frequency + pllConfig.referenceFreqMHz } } }) @@ -230,7 +230,7 @@ class FireSim(implicit val p: Parameters) extends RawModule with HasHarnessSigna val module = Module(lazyModule.module) btFreqMHz = Some(lazyModule match { - case d: HasReferenceClockFreq => d.refClockFreqMHz.getOrElse(p(DefaultClockFrequencyKey)) + case d: HasReferenceClockFreq => d.refClockFreqMHz case _ => p(DefaultClockFrequencyKey) }) From 4a565088b51265230049bc0ea6869d00e1d596ad Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 18 Mar 2021 20:01:45 -0700 Subject: [PATCH 102/225] Small spacing fixes --- generators/chipyard/src/main/scala/TestHarness.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/chipyard/src/main/scala/TestHarness.scala b/generators/chipyard/src/main/scala/TestHarness.scala index 82be009375..d8c7ec2061 100644 --- a/generators/chipyard/src/main/scala/TestHarness.scala +++ b/generators/chipyard/src/main/scala/TestHarness.scala @@ -43,7 +43,7 @@ class HarnessClockInstantiator { // connect all clock wires specified to a divider only PLL def instantiateHarnessDividerPLL(refClock: ClockBundle): Unit = { val sinks = _clockMap.map({ case (name, (freq, bundle)) => - ClockSinkParameters(take=Some(ClockParameters(freqMHz=freq/1000000)),name=Some(name)) + ClockSinkParameters(take=Some(ClockParameters(freqMHz=freq / (1000 * 1000))), name=Some(name)) }).toSeq val pllConfig = new SimplePllConfiguration("harnessDividerOnlyClockGenerator", sinks) From 0d6e971d17a01b4bd6db0780004a0e5f5b4a9e30 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Thu, 18 Mar 2021 20:02:15 -0700 Subject: [PATCH 103/225] Update docs/Advanced-Concepts/Chip-Communication.rst Co-authored-by: alonamid --- docs/Advanced-Concepts/Chip-Communication.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/Advanced-Concepts/Chip-Communication.rst b/docs/Advanced-Concepts/Chip-Communication.rst index 5c18783d4e..f9fa8229fb 100644 --- a/docs/Advanced-Concepts/Chip-Communication.rst +++ b/docs/Advanced-Concepts/Chip-Communication.rst @@ -210,7 +210,7 @@ Bringup Setup of the Example Test Chip after Tapeout ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Assuming this example test chip is taped out and now ready to be tested, we can communicate with the chip using this serial-link. -For example, some Berkeley tapeouts of Chipyard chips have an FPGA running a RISC-V soft-core that is able to speak to the DUT. +For example, a common test setup used at Berkeley to evaluate Chipyard-based test-chips includes an FPGA running a RISC-V soft-core that is able to speak to the DUT (over an FMC). This RISC-V soft-core would serve as the host of the test that will run on the DUT. This is done by the RISC-V soft-core running FESVR, sending TSI commands to a ``SerialAdapter`` / ``TLSerdesser`` programmed on the FPGA. Once the commands are converted to serialized TileLink, then they can be sent over some medium to the DUT From 1e421139268ec6ff7d9bce4c114094fa4f21847c Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Fri, 19 Mar 2021 17:33:39 -0700 Subject: [PATCH 104/225] Splitting up FireSim default frequencies into a separate config frag. --- .../firechip/src/main/scala/TargetConfigs.scala | 12 ++++++++---- 1 file changed, 8 insertions(+), 4 deletions(-) diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index ca94c8f866..04920acc29 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -59,7 +59,7 @@ class WithNIC extends icenet.WithIceNIC(inBufFlits = 8192, ctrlQueueDepth = 64) class WithNVDLALarge extends nvidia.blocks.dla.WithNVDLA("large") class WithNVDLASmall extends nvidia.blocks.dla.WithNVDLA("small") -// Tweaks that are generally applied to all firesim configs (without default FireSim clocks) +// Non-frequency tweaks that are generally applied to all firesim configs class WithFireSimDesignTweaks extends Config( // Required: Bake in the default FASED memory model new WithDefaultMemModel ++ @@ -83,8 +83,8 @@ class WithFireSimDesignTweaks extends Config( new chipyard.config.WithNoDebug ) -// Tweaks that are generally applied to all firesim configs (with default FireSim clocks) -class WithFireSimConfigTweaks extends Config( +// Tweaks to modify target clock frequencies / crossings to firesim defaults +class WithFireSimDefaultFrequencyTweaks extends Config( // Optional*: Removing this will require adjusting the UART baud rate and // potential target-software changes to properly capture UART output new chipyard.config.WithPeripheryBusFrequency(3200.0) ++ @@ -96,7 +96,11 @@ class WithFireSimConfigTweaks extends Config( new chipyard.config.WithMemoryBusFrequency(1000.0) ++ new chipyard.config.WithAsynchrousMemoryBusCrossing ++ new testchipip.WithAsynchronousSerialSlaveCrossing ++ - // Tweaks that are independent from multi-clock +) + +// Tweaks that are generally applied to all firesim configs +class WithFireSimConfigTweaks extends Config( + new WithFireSimDefaultFrequencyTweaks ++ new WithFireSimDesignTweaks ) From b729a5f4a492bd9c8360fb35d52bfd5235963a36 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Fri, 19 Mar 2021 17:34:47 -0700 Subject: [PATCH 105/225] Allow run-asm/bmark debug make targets to specify random seed --- sims/vcs/Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/sims/vcs/Makefile b/sims/vcs/Makefile index 2c44ae6e54..405cda5441 100644 --- a/sims/vcs/Makefile +++ b/sims/vcs/Makefile @@ -66,7 +66,7 @@ $(sim_debug): $(sim_vsrcs) $(sim_common_files) $(dramsim_lib) $(EXTRA_SIM_REQS) ######################################################################################### .PRECIOUS: $(output_dir)/%.vpd %.vpd $(output_dir)/%.vpd: $(output_dir)/% $(sim_debug) - (set -o pipefail && $(sim_debug) $(PERMISSIVE_ON) $(SIM_FLAGS) $(EXTRA_SIM_FLAGS) $(VERBOSE_FLAGS) +vcdplusfile=$@ $(PERMISSIVE_OFF) $< >(spike-dasm > $<.out) | tee $<.log) + (set -o pipefail && $(sim_debug) $(PERMISSIVE_ON) $(SIM_FLAGS) $(EXTRA_SIM_FLAGS) $(SEED_FLAG) $(VERBOSE_FLAGS) +vcdplusfile=$@ $(PERMISSIVE_OFF) $< >(spike-dasm > $<.out) | tee $<.log) ######################################################################################### # general cleanup rules From 87fa481cbbc52f7a8cd075403cf40b9981ff6e4f Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Fri, 19 Mar 2021 17:35:30 -0700 Subject: [PATCH 106/225] Fix TileResetCtrl so that tiles come out of reset after rest of uncore --- generators/testchipip | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/testchipip b/generators/testchipip index 0c1b871ddd..b014c24e7a 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit 0c1b871dddd1fef3fd773e721384392beba9a203 +Subproject commit b014c24e7a14d71d20ecae38928294b7ecaa082b From f59a7901b0db2dea3581e450e69547537eea1195 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Fri, 19 Mar 2021 18:43:17 -0700 Subject: [PATCH 107/225] Bump testchipip --- generators/testchipip | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/testchipip b/generators/testchipip index b014c24e7a..289ad47e6c 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit b014c24e7a14d71d20ecae38928294b7ecaa082b +Subproject commit 289ad47e6c22f4aed3cbe79f58193a227047728e From 5ffad327dbde357fd223d58b0553fd27021308ae Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Sun, 21 Mar 2021 15:34:01 -0700 Subject: [PATCH 108/225] Bump testchipip --- .circleci/config.yml | 1 + generators/firechip/src/main/scala/BridgeBinders.scala | 2 +- generators/firechip/src/main/scala/TargetConfigs.scala | 2 +- generators/testchipip | 2 +- 4 files changed, 4 insertions(+), 3 deletions(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index 7dcbc80957..9c6e9f80d8 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -308,6 +308,7 @@ jobs: tools-version: "esp-tools" group-key: "group-accels" project-key: "chipyard-hwacha" + timeout: "30m" chipyard-gemmini-run-tests: executor: main-env steps: diff --git a/generators/firechip/src/main/scala/BridgeBinders.scala b/generators/firechip/src/main/scala/BridgeBinders.scala index 419071bc3b..4ea31df56b 100644 --- a/generators/firechip/src/main/scala/BridgeBinders.scala +++ b/generators/firechip/src/main/scala/BridgeBinders.scala @@ -130,7 +130,7 @@ class WithAXIOverSerialTLCombinedBridges extends OverrideHarnessBinder({ val harnessMultiClockAXIRAM = withClockAndReset(th.harnessClock, th.harnessReset) { SerialAdapter.connectHarnessMultiClockAXIRAM( system.serdesser.get, - port, + serial_bits, axiClockBundle, th.harnessReset) } diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index 04920acc29..43fea874a9 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -95,7 +95,7 @@ class WithFireSimDefaultFrequencyTweaks extends Config( // runnings the FASED runtime configuration generator to generate faithful DDR3 timing values. new chipyard.config.WithMemoryBusFrequency(1000.0) ++ new chipyard.config.WithAsynchrousMemoryBusCrossing ++ - new testchipip.WithAsynchronousSerialSlaveCrossing ++ + new testchipip.WithAsynchronousSerialSlaveCrossing ) // Tweaks that are generally applied to all firesim configs diff --git a/generators/testchipip b/generators/testchipip index ef59e54c42..1d2ac9c13b 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit ef59e54c42eb990036f13378dea5900713154228 +Subproject commit 1d2ac9c13bd1d4c053bbf9c7d57436f0113c39fd From 09ef82cabf8186833aa75480bf5669435b43b463 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Mon, 22 Mar 2021 11:54:20 -0700 Subject: [PATCH 109/225] Update harnessClk/Rst naming to buildtop | Small docs cleanup --- docs/Advanced-Concepts/Chip-Communication.rst | 8 ++-- docs/Advanced-Concepts/Harness-Clocks.rst | 10 ++--- fpga/src/main/scala/arty/HarnessBinders.scala | 2 +- fpga/src/main/scala/arty/TestHarness.scala | 4 +- fpga/src/main/scala/vcu118/TestHarness.scala | 8 ++-- .../chipyard/src/main/scala/Clocks.scala | 2 +- .../src/main/scala/HarnessBinders.scala | 38 +++++++++---------- .../chipyard/src/main/scala/TestHarness.scala | 12 +++--- .../src/main/scala/BridgeBinders.scala | 22 +++++------ .../firechip/src/main/scala/FireSim.scala | 10 ++--- generators/testchipip | 2 +- 11 files changed, 59 insertions(+), 59 deletions(-) diff --git a/docs/Advanced-Concepts/Chip-Communication.rst b/docs/Advanced-Concepts/Chip-Communication.rst index f9fa8229fb..6e8d2c0e5e 100644 --- a/docs/Advanced-Concepts/Chip-Communication.rst +++ b/docs/Advanced-Concepts/Chip-Communication.rst @@ -46,7 +46,7 @@ Using the Tethered Serial Interface (TSI) By default, Chipyard uses the Tethered Serial Interface (TSI) to communicate with the DUT. TSI protocol is an implementation of HTIF that is used to send commands to the RISC-V DUT. -These TSI commands are simple R/W commands that are able to probe the DUT's memory space. +These TSI commands are simple R/W commands that are able to access the DUT's memory space. During simulation, the host sends TSI commands to a simulation stub in the test harness called ``SimSerial`` (C++ class) that resides in a ``SimSerial`` Verilog module (both are located in the ``generators/testchipip`` project). @@ -59,7 +59,7 @@ Once the serialized transaction is received on the chip, it is deserialized and which handles the request. In simulation, FESVR resets the DUT, writes into memory the test program, and indicates to the DUT to start the program through an interrupt (see :ref:`customization/Boot-Process:Chipyard Boot Process`). -Using TSI is currently the fastest mechanism to communicate with the DUT in simulation and is also used by FireSim. +Using TSI is currently the fastest mechanism to communicate with the DUT in simulation (compared to DMI/JTAG) and is also used by FireSim. Using the Debug Module Interface (DMI) ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ @@ -173,7 +173,7 @@ The following image shows the DUT with these set of default signals: In this setup, the serial-link is connected to the TSI/FESVR peripherals while the AXI port is connected to a simulated AXI memory. -However, AXI ports tend to have many signals associated with them so instead of creating an AXI port off the DUT, +However, AXI ports tend to have many signals, and thus wires, associated with them so instead of creating an AXI port off the DUT, one can send the memory transactions over the bi-directional serial-link (``TLSerdesser``) so that the main interface to the DUT is the serial-link (which has comparatively less signals than an AXI port). This new setup (shown below) is a typical Chipyard test chip setup: @@ -216,7 +216,7 @@ This is done by the RISC-V soft-core running FESVR, sending TSI commands to a `` Once the commands are converted to serialized TileLink, then they can be sent over some medium to the DUT (like an FMC cable or a set of wires connecting FPGA outputs to the DUT board). Similar to simulation, if the chip requests offchip memory, it can then send the transaction back over the serial-link. -Then the request can be serviced by the channel of FPGA DRAM. +Then the request can be serviced by the FPGA DRAM. The following image shows this flow: .. image:: ../_static/images/chip-bringup.png diff --git a/docs/Advanced-Concepts/Harness-Clocks.rst b/docs/Advanced-Concepts/Harness-Clocks.rst index c49ee29fbf..ef2249749e 100644 --- a/docs/Advanced-Concepts/Harness-Clocks.rst +++ b/docs/Advanced-Concepts/Harness-Clocks.rst @@ -8,8 +8,8 @@ have independent clock domains through diplomacy. This implies that some reference clock enters the ``ChipTop`` and then is divided down into separate clock domains. From the perspective of the ``TestHarness`` module, the ``ChipTop`` clock and reset is -provided from the harness clock and reset (called ``harnessClock`` and ``harnessReset``). -In the default case, this ``harnessClock`` and ``harnessReset`` is directly wired to the +provided from a clock and reset called ``buildtopClock`` and ``buildtopReset``. +In the default case, this ``buildtopClock`` and ``buildtopReset`` is directly wired to the clock and reset IO's of the ``TestHarness`` module. However, the ``TestHarness`` has the ability to generate a standalone clock and reset signal that is separate from the reference clock/reset of ``ChipTop``. @@ -32,7 +32,7 @@ Here you can see the ``p(HarnessClockInstantiatorKey)`` is used to request a clo .. note:: In the case that the reference clock entering ``ChipTop`` is not the overall reference clock of the simulation - (i.e. not the clock/reset coming into the ``TestHarness`` module), the ``harnessClock`` and ``harnessReset`` can + (i.e. the clock/reset coming into the ``TestHarness`` module), the ``buildtopClock`` and ``buildtopReset`` can differ from the implicit ``TestHarness`` clock and reset. For example, if the ``ChipTop`` reference is 500MHz but an - extra harness clock is requested at 1GHz, the ``TestHarness`` implicit clock/reset will be at 1GHz while the ``harnessClock`` - and ``harnessReset`` will be at 500MHz. + extra harness clock is requested at 1GHz, the ``TestHarness`` implicit clock/reset will be at 1GHz while the ``buildtopClock`` + and ``buildtopReset`` will be at 500MHz. diff --git a/fpga/src/main/scala/arty/HarnessBinders.scala b/fpga/src/main/scala/arty/HarnessBinders.scala index ef7b180595..3581ae3d4c 100644 --- a/fpga/src/main/scala/arty/HarnessBinders.scala +++ b/fpga/src/main/scala/arty/HarnessBinders.scala @@ -32,7 +32,7 @@ class WithArtyJTAGHarnessBinder extends OverrideHarnessBinder({ (system: HasPeripheryDebug, th: ArtyFPGATestHarness, ports: Seq[Data]) => { ports.map { case j: JTAGIO => - withClockAndReset(th.harnessClock, th.hReset) { + withClockAndReset(th.buildtopClock, th.hReset) { val io_jtag = Wire(new JTAGPins(() => new BasePin(), false)).suggestName("jtag") JTAGPinsFromPort(io_jtag, j) diff --git a/fpga/src/main/scala/arty/TestHarness.scala b/fpga/src/main/scala/arty/TestHarness.scala index 503d2de60a..db7ddc0131 100644 --- a/fpga/src/main/scala/arty/TestHarness.scala +++ b/fpga/src/main/scala/arty/TestHarness.scala @@ -27,8 +27,8 @@ class ArtyFPGATestHarness(override implicit val p: Parameters) extends ArtyShell val dut = Module(lazyDut.module) } - val harnessClock = clock_32MHz - val harnessReset = hReset + val buildtopClock = clock_32MHz + val buildtopReset = hReset val success = false.B val dutReset = dReset diff --git a/fpga/src/main/scala/vcu118/TestHarness.scala b/fpga/src/main/scala/vcu118/TestHarness.scala index 5002817fc9..45afe7f757 100644 --- a/fpga/src/main/scala/vcu118/TestHarness.scala +++ b/fpga/src/main/scala/vcu118/TestHarness.scala @@ -121,13 +121,13 @@ class VCU118FPGATestHarnessImp(_outer: VCU118FPGATestHarness) extends LazyRawMod val hReset = Wire(Reset()) hReset := _outer.dutClock.in.head._1.reset - val harnessClock = _outer.dutClock.in.head._1.clock - val harnessReset = WireInit(hReset) + val buildtopClock = _outer.dutClock.in.head._1.clock + val buildtopReset = WireInit(hReset) val dutReset = hReset.asAsyncReset val success = false.B - childClock := harnessClock - childReset := harnessReset + childClock := buildtopClock + childReset := buildtopReset // harness binders are non-lazy _outer.topDesign match { case d: HasTestHarnessFunctions => diff --git a/generators/chipyard/src/main/scala/Clocks.scala b/generators/chipyard/src/main/scala/Clocks.scala index 2123c754e5..b5553347bd 100644 --- a/generators/chipyard/src/main/scala/Clocks.scala +++ b/generators/chipyard/src/main/scala/Clocks.scala @@ -118,7 +118,7 @@ object ClockingSchemeGenerators { } chiptop.harnessFunctions += ((th: HasHarnessSignalReferences) => { - clock_io := th.harnessClock + clock_io := th.buildtopClock Nil }) // return the reference frequency diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index 686721e5a2..b87659f431 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -90,21 +90,21 @@ class WithUARTAdapter extends OverrideHarnessBinder({ class WithSimSPIFlashModel(rdOnly: Boolean = true) extends OverrideHarnessBinder({ (system: HasPeripherySPIFlashModuleImp, th: HasHarnessSignalReferences, ports: Seq[SPIChipIO]) => { - SimSPIFlashModel.connect(ports, th.harnessReset, rdOnly)(system.p) + SimSPIFlashModel.connect(ports, th.buildtopReset, rdOnly)(system.p) } }) class WithSimBlockDevice extends OverrideHarnessBinder({ (system: CanHavePeripheryBlockDevice, th: HasHarnessSignalReferences, ports: Seq[ClockedIO[BlockDeviceIO]]) => { implicit val p: Parameters = GetSystemParameters(system) - ports.map { b => SimBlockDevice.connect(b.clock, th.harnessReset.asBool, Some(b.bits)) } + ports.map { b => SimBlockDevice.connect(b.clock, th.buildtopReset.asBool, Some(b.bits)) } } }) class WithBlockDeviceModel extends OverrideHarnessBinder({ (system: CanHavePeripheryBlockDevice, th: HasHarnessSignalReferences, ports: Seq[ClockedIO[BlockDeviceIO]]) => { implicit val p: Parameters = GetSystemParameters(system) - ports.map { b => withClockAndReset(b.clock, th.harnessReset) { BlockDeviceModel.connect(Some(b.bits)) } } + ports.map { b => withClockAndReset(b.clock, th.buildtopReset) { BlockDeviceModel.connect(Some(b.bits)) } } } }) @@ -112,7 +112,7 @@ class WithLoopbackNIC extends OverrideHarnessBinder({ (system: CanHavePeripheryIceNIC, th: HasHarnessSignalReferences, ports: Seq[ClockedIO[NICIOvonly]]) => { implicit val p: Parameters = GetSystemParameters(system) ports.map { n => - withClockAndReset(n.clock, th.harnessReset) { + withClockAndReset(n.clock, th.buildtopReset) { NicLoopback.connect(Some(n.bits), p(NICKey)) } } @@ -122,7 +122,7 @@ class WithLoopbackNIC extends OverrideHarnessBinder({ class WithSimNetwork extends OverrideHarnessBinder({ (system: CanHavePeripheryIceNIC, th: BaseModule with HasHarnessSignalReferences, ports: Seq[ClockedIO[NICIOvonly]]) => { implicit val p: Parameters = GetSystemParameters(system) - ports.map { n => SimNetwork.connect(Some(n.bits), n.clock, th.harnessReset.asBool) } + ports.map { n => SimNetwork.connect(Some(n.bits), n.clock, th.buildtopReset.asBool) } } }) @@ -152,23 +152,23 @@ class WithSimAXIMemOverSerialTL extends OverrideHarnessBinder({ ports.map({ port => // DOC include start: HarnessClockInstantiatorEx - withClockAndReset(th.harnessClock, th.harnessReset) { + withClockAndReset(th.buildtopClock, th.buildtopReset) { val memOverSerialTLClockBundle = p(HarnessClockInstantiatorKey).requestClockBundle("mem_over_serial_tl_clock", memFreq) - val serial_bits = SerialAdapter.asyncQueue(port, th.harnessClock, th.harnessReset) + val serial_bits = SerialAdapter.asyncQueue(port, th.buildtopClock, th.buildtopReset) val harnessMultiClockAXIRAM = SerialAdapter.connectHarnessMultiClockAXIRAM( system.serdesser.get, serial_bits, memOverSerialTLClockBundle, - th.harnessReset) + th.buildtopReset) // DOC include end: HarnessClockInstantiatorEx - val success = SerialAdapter.connectSimSerial(harnessMultiClockAXIRAM.module.io.tsi_ser, th.harnessClock, th.harnessReset.asBool) + val success = SerialAdapter.connectSimSerial(harnessMultiClockAXIRAM.module.io.tsi_ser, th.buildtopClock, th.buildtopReset.asBool) when (success) { th.success := true.B } // connect SimDRAM from the AXI port coming from the harness multi clock axi ram (harnessMultiClockAXIRAM.mem_axi4 zip harnessMultiClockAXIRAM.memNode.edges.in).map { case (axi_port, edge) => val memSize = sVal.memParams.size val lineSize = p(CacheBlockBytes) - val mem = Module(new SimDRAM(memSize, lineSize, BigInt(memFreq.toInt), edge.bundle)).suggestName("simdram") + val mem = Module(new SimDRAM(memSize, lineSize, BigInt(memFreq.toLong), edge.bundle)).suggestName("simdram") mem.io.axi <> axi_port.bits mem.io.clock := axi_port.clock mem.io.reset := axi_port.reset @@ -244,11 +244,11 @@ class WithSimDebug extends OverrideHarnessBinder({ case d: ClockedDMIIO => val dtm_success = WireInit(false.B) when (dtm_success) { th.success := true.B } - val dtm = Module(new SimDTM).connect(th.harnessClock, th.harnessReset.asBool, d, dtm_success) + val dtm = Module(new SimDTM).connect(th.buildtopClock, th.buildtopReset.asBool, d, dtm_success) case j: JTAGIO => val dtm_success = WireInit(false.B) when (dtm_success) { th.success := true.B } - val jtag = Module(new SimJTAG(tickDelay=3)).connect(j, th.harnessClock, th.harnessReset.asBool, ~(th.harnessReset.asBool), dtm_success) + val jtag = Module(new SimJTAG(tickDelay=3)).connect(j, th.buildtopClock, th.buildtopReset.asBool, ~(th.buildtopReset.asBool), dtm_success) } } }) @@ -282,9 +282,9 @@ class WithSerialAdapterTiedOff extends OverrideHarnessBinder({ (system: CanHavePeripheryTLSerial, th: HasHarnessSignalReferences, ports: Seq[ClockedIO[SerialIO]]) => { implicit val p = chipyard.iobinders.GetSystemParameters(system) ports.map({ port => - val bits = SerialAdapter.asyncQueue(port, th.harnessClock, th.harnessReset) - withClockAndReset(th.harnessClock, th.harnessReset) { - val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.harnessReset) + val bits = SerialAdapter.asyncQueue(port, th.buildtopClock, th.buildtopReset) + withClockAndReset(th.buildtopClock, th.buildtopReset) { + val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.buildtopReset) SerialAdapter.tieoff(ram.module.io.tsi_ser) } }) @@ -295,10 +295,10 @@ class WithSimSerial extends OverrideHarnessBinder({ (system: CanHavePeripheryTLSerial, th: HasHarnessSignalReferences, ports: Seq[ClockedIO[SerialIO]]) => { implicit val p = chipyard.iobinders.GetSystemParameters(system) ports.map({ port => - val bits = SerialAdapter.asyncQueue(port, th.harnessClock, th.harnessReset) - withClockAndReset(th.harnessClock, th.harnessReset) { - val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.harnessReset) - val success = SerialAdapter.connectSimSerial(ram.module.io.tsi_ser, th.harnessClock, th.harnessReset.asBool) + val bits = SerialAdapter.asyncQueue(port, th.buildtopClock, th.buildtopReset) + withClockAndReset(th.buildtopClock, th.buildtopReset) { + val ram = SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.buildtopReset) + val success = SerialAdapter.connectSimSerial(ram.module.io.tsi_ser, th.buildtopClock, th.buildtopReset.asBool) when (success) { th.success := true.B } } }) diff --git a/generators/chipyard/src/main/scala/TestHarness.scala b/generators/chipyard/src/main/scala/TestHarness.scala index d8c7ec2061..ba09e6dcd4 100644 --- a/generators/chipyard/src/main/scala/TestHarness.scala +++ b/generators/chipyard/src/main/scala/TestHarness.scala @@ -24,8 +24,8 @@ trait HasTestHarnessFunctions { trait HasHarnessSignalReferences { // clock/reset of the chiptop reference clock (can be different than the implicit harness clock/reset) - def harnessClock: Clock - def harnessReset: Reset + def buildtopClock: Clock + def buildtopReset: Reset def dutReset: Reset def success: Bool } @@ -82,8 +82,8 @@ class TestHarness(implicit val p: Parameters) extends Module with HasHarnessSign val success = Output(Bool()) }) - val harnessClock = Wire(Clock()) - val harnessReset = Wire(Reset()) + val buildtopClock = Wire(Clock()) + val buildtopReset = Wire(Reset()) val lazyDut = LazyModule(p(BuildTop)(p)).suggestName("chiptop") val dut = Module(lazyDut.module) @@ -96,8 +96,8 @@ class TestHarness(implicit val p: Parameters) extends Module with HasHarnessSign } val refClkBundle = p(HarnessClockInstantiatorKey).requestClockBundle("buildtop_reference_clock", freqMHz * (1000 * 1000)) - harnessClock := refClkBundle.clock - harnessReset := WireInit(refClkBundle.reset) + buildtopClock := refClkBundle.clock + buildtopReset := WireInit(refClkBundle.reset) val dutReset = refClkBundle.reset.asAsyncReset val success = io.success diff --git a/generators/firechip/src/main/scala/BridgeBinders.scala b/generators/firechip/src/main/scala/BridgeBinders.scala index 4ea31df56b..bf1ff6805d 100644 --- a/generators/firechip/src/main/scala/BridgeBinders.scala +++ b/generators/firechip/src/main/scala/BridgeBinders.scala @@ -72,11 +72,11 @@ class WithSerialBridge extends OverrideHarnessBinder({ (system: CanHavePeripheryTLSerial, th: FireSim, ports: Seq[ClockedIO[SerialIO]]) => { ports.map { port => implicit val p = GetSystemParameters(system) - val bits = SerialAdapter.asyncQueue(port, th.harnessClock, th.harnessReset) - val ram = withClockAndReset(th.harnessClock, th.harnessReset) { - SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.harnessReset) + val bits = SerialAdapter.asyncQueue(port, th.buildtopClock, th.buildtopReset) + val ram = withClockAndReset(th.buildtopClock, th.buildtopReset) { + SerialAdapter.connectHarnessRAM(system.serdesser.get, bits, th.buildtopReset) } - SerialBridge(th.harnessClock, ram.module.io.tsi_ser, p(ExtMem).map(_ => MainMemoryConsts.globalName)) + SerialBridge(th.buildtopClock, ram.module.io.tsi_ser, p(ExtMem).map(_ => MainMemoryConsts.globalName)) } Nil } @@ -103,7 +103,7 @@ class WithUARTBridge extends OverrideHarnessBinder({ class WithBlockDeviceBridge extends OverrideHarnessBinder({ (system: CanHavePeripheryBlockDevice, th: FireSim, ports: Seq[ClockedIO[BlockDeviceIO]]) => { implicit val p: Parameters = GetSystemParameters(system) - ports.map { b => BlockDevBridge(b.clock, b.bits, th.harnessReset.asBool) } + ports.map { b => BlockDevBridge(b.clock, b.bits, th.buildtopReset.asBool) } Nil } }) @@ -123,18 +123,18 @@ class WithAXIOverSerialTLCombinedBridges extends OverrideHarnessBinder({ val axiClock = p(ClockBridgeInstantiatorKey).requestClock("mem_over_serial_tl_clock", memFreq) val axiClockBundle = Wire(new ClockBundle(ClockBundleParameters())) axiClockBundle.clock := axiClock - axiClockBundle.reset := ResetCatchAndSync(axiClock, th.harnessReset.asBool) + axiClockBundle.reset := ResetCatchAndSync(axiClock, th.buildtopReset.asBool) - val serial_bits = SerialAdapter.asyncQueue(port, th.harnessClock, th.harnessReset) + val serial_bits = SerialAdapter.asyncQueue(port, th.buildtopClock, th.buildtopReset) - val harnessMultiClockAXIRAM = withClockAndReset(th.harnessClock, th.harnessReset) { + val harnessMultiClockAXIRAM = withClockAndReset(th.buildtopClock, th.buildtopReset) { SerialAdapter.connectHarnessMultiClockAXIRAM( system.serdesser.get, serial_bits, axiClockBundle, - th.harnessReset) + th.buildtopReset) } - SerialBridge(th.harnessClock, harnessMultiClockAXIRAM.module.io.tsi_ser, Some(MainMemoryConsts.globalName)) + SerialBridge(th.buildtopClock, harnessMultiClockAXIRAM.module.io.tsi_ser, Some(MainMemoryConsts.globalName)) // connect SimAxiMem (harnessMultiClockAXIRAM.mem_axi4 zip harnessMultiClockAXIRAM.memNode.edges.in).map { case (axi4, edge) => @@ -192,7 +192,7 @@ class WithDromajoBridge extends ComposeHarnessBinder({ class WithTraceGenBridge extends OverrideHarnessBinder({ (system: TraceGenSystemModuleImp, th: FireSim, ports: Seq[Bool]) => - ports.map { p => GroundTestBridge(th.harnessClock, p)(system.p) }; Nil + ports.map { p => GroundTestBridge(th.buildtopClock, p)(system.p) }; Nil }) class WithFireSimMultiCycleRegfile extends ComposeIOBinder({ diff --git a/generators/firechip/src/main/scala/FireSim.scala b/generators/firechip/src/main/scala/FireSim.scala index 6853314695..9559480763 100644 --- a/generators/firechip/src/main/scala/FireSim.scala +++ b/generators/firechip/src/main/scala/FireSim.scala @@ -195,7 +195,7 @@ class WithFireSimSimpleClocks extends Config((site, here, up) => { } chiptop.harnessFunctions += ((th: HasHarnessSignalReferences) => { - reset := th.harnessReset + reset := th.buildtopReset input_clocks := p(ClockBridgeInstantiatorKey) .requestClockRecordMap(rationalClockSpecs.toSeq, p(FireSimBaseClockNameKey), pllConfig.referenceFreqMHz * (1000 * 1000)) Nil }) @@ -209,9 +209,9 @@ class WithFireSimSimpleClocks extends Config((site, here, up) => { class FireSim(implicit val p: Parameters) extends RawModule with HasHarnessSignalReferences { freechips.rocketchip.util.property.cover.setPropLib(new midas.passes.FireSimPropertyLibrary()) - val harnessClock = Wire(Clock()) - val harnessReset = WireInit(false.B) - val peekPokeBridge = PeekPokeBridge(harnessClock, harnessReset) + val buildtopClock = Wire(Clock()) + val buildtopReset = WireInit(false.B) + val peekPokeBridge = PeekPokeBridge(buildtopClock, buildtopReset) def dutReset = { require(false, "dutReset should not be used in Firesim"); false.B } def success = { require(false, "success should not be used in Firesim"); false.B } @@ -244,7 +244,7 @@ class FireSim(implicit val p: Parameters) extends RawModule with HasHarnessSigna NodeIdx.increment() } - harnessClock := p(ClockBridgeInstantiatorKey).requestClock("buildtop_reference_clock", btFreqMHz.get * (1000 * 1000)) + buildtopClock := p(ClockBridgeInstantiatorKey).requestClock("buildtop_reference_clock", btFreqMHz.get * (1000 * 1000)) p(ClockBridgeInstantiatorKey).instantiateFireSimClockBridge } diff --git a/generators/testchipip b/generators/testchipip index 1d2ac9c13b..fd7760e286 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit 1d2ac9c13bd1d4c053bbf9c7d57436f0113c39fd +Subproject commit fd7760e2862661bf6277acfeeb42644797e876d0 From ed2bfa8249157ea1b9bcbc54c0718d8d0b1cf79f Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Wed, 24 Mar 2021 01:08:46 -0700 Subject: [PATCH 110/225] Don't pass JTAG oe signal off-chip (#832) --- fpga/src/main/scala/arty/HarnessBinders.scala | 12 ++++++++++-- .../chipyard/src/main/scala/HarnessBinders.scala | 15 ++++++++++----- .../chipyard/src/main/scala/IOBinders.scala | 14 +++++++++++++- 3 files changed, 33 insertions(+), 8 deletions(-) diff --git a/fpga/src/main/scala/arty/HarnessBinders.scala b/fpga/src/main/scala/arty/HarnessBinders.scala index 3581ae3d4c..a8c54dc638 100644 --- a/fpga/src/main/scala/arty/HarnessBinders.scala +++ b/fpga/src/main/scala/arty/HarnessBinders.scala @@ -13,6 +13,7 @@ import sifive.blocks.devices.pinctrl._ import sifive.fpgashells.ip.xilinx.{IBUFG, IOBUF, PULLUP, PowerOnResetFPGAOnly} import chipyard.harness.{ComposeHarnessBinder, OverrideHarnessBinder} +import chipyard.iobinders.JTAGChipIO class WithArtyResetHarnessBinder extends ComposeHarnessBinder({ (system: HasPeripheryDebugModuleImp, th: ArtyFPGATestHarness, ports: Seq[Bool]) => { @@ -31,11 +32,18 @@ class WithArtyResetHarnessBinder extends ComposeHarnessBinder({ class WithArtyJTAGHarnessBinder extends OverrideHarnessBinder({ (system: HasPeripheryDebug, th: ArtyFPGATestHarness, ports: Seq[Data]) => { ports.map { - case j: JTAGIO => + case j: JTAGChipIO => withClockAndReset(th.buildtopClock, th.hReset) { + val jtag_wire = Wire(new JTAGIO) + jtag_wire.TDO.data := j.TDO + jtag_wire.TDO.driven := true.B + j.TCK := jtag_wire.TCK + j.TMS := jtag_wire.TMS + j.TDI := jtag_wire.TDI + val io_jtag = Wire(new JTAGPins(() => new BasePin(), false)).suggestName("jtag") - JTAGPinsFromPort(io_jtag, j) + JTAGPinsFromPort(io_jtag, jtag_wire) io_jtag.TCK.i.ival := IBUFG(IOBUF(th.jd_2).asClock).asBool diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index b87659f431..da497a54a8 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -22,7 +22,7 @@ import barstools.iocell.chisel._ import testchipip._ import chipyard.{HasHarnessSignalReferences, HarnessClockInstantiatorKey} -import chipyard.iobinders.GetSystemParameters +import chipyard.iobinders.{GetSystemParameters, JTAGChipIO} import tracegen.{TraceGenSystemModuleImp} import icenet.{CanHavePeripheryIceNIC, SimNetwork, NicLoopback, NICKey, NICIOvonly} @@ -245,10 +245,16 @@ class WithSimDebug extends OverrideHarnessBinder({ val dtm_success = WireInit(false.B) when (dtm_success) { th.success := true.B } val dtm = Module(new SimDTM).connect(th.buildtopClock, th.buildtopReset.asBool, d, dtm_success) - case j: JTAGIO => + case j: JTAGChipIO => val dtm_success = WireInit(false.B) when (dtm_success) { th.success := true.B } - val jtag = Module(new SimJTAG(tickDelay=3)).connect(j, th.buildtopClock, th.buildtopReset.asBool, ~(th.buildtopReset.asBool), dtm_success) + val jtag_wire = Wire(new JTAGIO) + jtag_wire.TDO.data := j.TDO + jtag_wire.TDO.driven := true.B + j.TCK := jtag_wire.TCK + j.TMS := jtag_wire.TMS + j.TDI := jtag_wire.TDI + val jtag = Module(new SimJTAG(tickDelay=3)).connect(jtag_wire, th.buildtopClock, th.buildtopReset.asBool, ~(th.buildtopReset.asBool), dtm_success) } } }) @@ -256,11 +262,10 @@ class WithSimDebug extends OverrideHarnessBinder({ class WithTiedOffDebug extends OverrideHarnessBinder({ (system: HasPeripheryDebug, th: HasHarnessSignalReferences, ports: Seq[Data]) => { ports.map { - case j: JTAGIO => + case j: JTAGChipIO => j.TCK := true.B.asClock j.TMS := true.B j.TDI := true.B - j.TRSTn.foreach { r => r := true.B } case d: ClockedDMIIO => d.dmi.req.valid := false.B d.dmi.req.bits := DontCare diff --git a/generators/chipyard/src/main/scala/IOBinders.scala b/generators/chipyard/src/main/scala/IOBinders.scala index c55d86e0e3..5d15383480 100644 --- a/generators/chipyard/src/main/scala/IOBinders.scala +++ b/generators/chipyard/src/main/scala/IOBinders.scala @@ -199,6 +199,13 @@ class WithExtInterruptIOCells extends OverrideIOBinder({ } }) +// Rocketchip's JTAGIO exposes the oe signal, which doesn't go off-chip +class JTAGChipIO extends Bundle { + val TCK = Input(Clock()) + val TMS = Input(Bool()) + val TDI = Input(Bool()) + val TDO = Output(Bool()) +} class WithDebugIOCells extends OverrideLazyIOBinder({ (system: HasPeripheryDebug) => { @@ -238,7 +245,12 @@ class WithDebugIOCells extends OverrideLazyIOBinder({ } val jtagTuple = debug.systemjtag.map { j => - IOCell.generateIOFromSignal(j.jtag, "jtag", p(IOCellKey), abstractResetAsAsync = true) + val jtag_wire = Wire(new JTAGChipIO) + j.jtag.TCK := jtag_wire.TCK + j.jtag.TMS := jtag_wire.TMS + j.jtag.TDI := jtag_wire.TDI + jtag_wire.TDO := j.jtag.TDO.data + IOCell.generateIOFromSignal(jtag_wire, "jtag", p(IOCellKey), abstractResetAsAsync = true) } val apbTuple = debug.apb.map { a => From c93cd255ab20f31a4d2649ab74c2a19354cf1e56 Mon Sep 17 00:00:00 2001 From: alonamid Date: Thu, 25 Mar 2021 23:28:07 -0700 Subject: [PATCH 111/225] sane firesim default target freqs --- .../src/main/scala/TargetConfigs.scala | 56 ++++++++++++++++++- 1 file changed, 53 insertions(+), 3 deletions(-) diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index 43fea874a9..5a777053df 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -12,7 +12,7 @@ import freechips.rocketchip.rocket.DCacheParams import freechips.rocketchip.subsystem._ import freechips.rocketchip.devices.tilelink.{BootROMLocated, BootROMParams} import freechips.rocketchip.devices.debug.{DebugModuleParams, DebugModuleKey} -import freechips.rocketchip.diplomacy.LazyModule +import freechips.rocketchip.diplomacy.{LazyModule, AsynchronousCrossing} import testchipip.{BlockDeviceKey, BlockDeviceConfig, TracePortKey, TracePortParams} import sifive.blocks.devices.uart.{PeripheryUARTKey, UARTParams} import scala.math.{min, max} @@ -85,8 +85,46 @@ class WithFireSimDesignTweaks extends Config( // Tweaks to modify target clock frequencies / crossings to firesim defaults class WithFireSimDefaultFrequencyTweaks extends Config( - // Optional*: Removing this will require adjusting the UART baud rate and - // potential target-software changes to properly capture UART output + // Optional: This sets the default frequency for all buses in the system to 2 GHz + // (since unspecified bus frequencies will use the pbus frequency) + new chipyard.config.WithPeripheryBusFrequency(2000.0) ++ + // Optional: These three configs will put the system bus at a frequency of 1 GHz + // Which is more representative of on uncore working at a lower frequency than the tiles + new chipyard.config.WithSystemBusFrequency(1000.0) ++ + new chipyard.config.WithSbusToCbusCrossingType(AsynchronousCrossing()) ++ // Add Async crossing between SBUS and CBUS + new chipyard.config.WithFbusToSbusCrossingType(AsynchronousCrossing()) ++ // Add Async crossing between FBUS and SBUS + // Optional: These three configs put the DRAM memory system in it's own clock domian. + // Removing the first config will result in the FASED timing model running + // at the pbus freq (above, 3.2 GHz), which is outside the range of valid DDR3 speedgrades. + // 1 GHz matches the FASED default, using some other frequency will require + // runnings the FASED runtime configuration generator to generate faithful DDR3 timing values. + new chipyard.config.WithMemoryBusFrequency(1000.0) ++ + new chipyard.config.WithAsynchrousMemoryBusCrossing ++ + new testchipip.WithAsynchronousSerialSlaveCrossing +) + +// Tweaks to modify target clock frequencies / crossings to testchip defaults +class WithFireSimTestchipFrequencyTweaks extends Config( + // Optional: This sets the default frequency for all buses in the system to 1 GHz + // (since unspecified bus frequencies will use the pbus frequency). + // This frequency is representative of Rocket/BOOM-based test chips + new chipyard.config.WithPeripheryBusFrequency(1000.0) ++ + // Optional: These three configs put the DRAM memory system in it's own clock domian. + // Removing the first config will result in the FASED timing model running + // at the pbus freq (above, 3.2 GHz), which is outside the range of valid DDR3 speedgrades. + // 1 GHz matches the FASED default, using some other frequency will require + // runnings the FASED runtime configuration generator to generate faithful DDR3 timing values. + new chipyard.config.WithMemoryBusFrequency(1000.0) ++ + new chipyard.config.WithAsynchrousMemoryBusCrossing ++ + new testchipip.WithAsynchronousSerialSlaveCrossing +) + +// Tweaks to modify target clock frequencies / crossings to legacy firesim defaults +class WithFireSimHighPerfFrequencyTweaks extends Config( + // Optional: This sets the default frequency for all buses in the system to 3.2 GHz + // (since unspecified bus frequencies will use the pbus frequency) + // This frequency selection matches FireSim's legacy selection and is required + // to support 200Gb NIC performance. You may select a smaller value. new chipyard.config.WithPeripheryBusFrequency(3200.0) ++ // Optional: These three configs put the DRAM memory system in it's own clock domian. // Removing the first config will result in the FASED timing model running @@ -104,6 +142,18 @@ class WithFireSimConfigTweaks extends Config( new WithFireSimDesignTweaks ) +// Tweak more representative of testchip configs +class WithFireSimTestchipConfigTweaks extends Config( + new WithFireSimTestchipFrequencyTweaks ++ + new WithFireSimDesignTweaks +) + +// Tweaks for legacy FireSim configs. +class WithFireSimHighPerfConfigTweaks extends Config( + new WithFireSimHighPerfFrequencyTweaks ++ + new WithFireSimDesignTweaks +) + /******************************************************************************* * Full TARGET_CONFIG configurations. These set parameters of the target being * simulated. From ae304a8aa129efe7b1ac0552de9c489a0b5e2553 Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Sat, 27 Mar 2021 11:40:16 -0700 Subject: [PATCH 112/225] Add repo to run CI --- toolchains/esp-tools/riscv-gnu-toolchain | 2 +- toolchains/esp-tools/riscv-isa-sim | 2 +- toolchains/esp-tools/riscv-pk | 2 +- toolchains/esp-tools/riscv-tests | 2 +- 4 files changed, 4 insertions(+), 4 deletions(-) diff --git a/toolchains/esp-tools/riscv-gnu-toolchain b/toolchains/esp-tools/riscv-gnu-toolchain index 9f53229398..0ff3683edf 160000 --- a/toolchains/esp-tools/riscv-gnu-toolchain +++ b/toolchains/esp-tools/riscv-gnu-toolchain @@ -1 +1 @@ -Subproject commit 9f532293985d08b0c176d96c7b650e5f433780e1 +Subproject commit 0ff3683edfc7e49521d65fe4789f4dbb1cb9c8ac diff --git a/toolchains/esp-tools/riscv-isa-sim b/toolchains/esp-tools/riscv-isa-sim index 86265d02e8..8feafa0208 160000 --- a/toolchains/esp-tools/riscv-isa-sim +++ b/toolchains/esp-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit 86265d02e8abea3b367114393d6b0661fd35b156 +Subproject commit 8feafa0208c959c60cc53bc8235e16251c96d3d4 diff --git a/toolchains/esp-tools/riscv-pk b/toolchains/esp-tools/riscv-pk index a3e4ac61d2..2ebf3bacce 160000 --- a/toolchains/esp-tools/riscv-pk +++ b/toolchains/esp-tools/riscv-pk @@ -1 +1 @@ -Subproject commit a3e4ac61d2b1ff37a22b9193b85d3b94273e80cb +Subproject commit 2ebf3bacce4631390361a72b99b86f3b2c8dc041 diff --git a/toolchains/esp-tools/riscv-tests b/toolchains/esp-tools/riscv-tests index e116930c7d..39d57cd262 160000 --- a/toolchains/esp-tools/riscv-tests +++ b/toolchains/esp-tools/riscv-tests @@ -1 +1 @@ -Subproject commit e116930c7d4a30fc2a1378417089a089e9e4cad0 +Subproject commit 39d57cd2624a7e4b4fd5fdc69a7f4cc318196b5f From b99d6bb7acb2c20e8970442db48ffcffbbfcc640 Mon Sep 17 00:00:00 2001 From: alonamid Date: Mon, 29 Mar 2021 00:01:13 -0700 Subject: [PATCH 113/225] multiclock config multiple --- generators/firechip/src/main/scala/TargetConfigs.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index 5a777053df..3da8ba9449 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -255,7 +255,7 @@ class FireSimCVA6Config extends Config( //* Multiclock Configurations //*********************************************************************************/ class FireSimMulticlockRocketConfig extends Config( - new chipyard.config.WithTileFrequency(6400.0) ++ //lol + new chipyard.config.WithTileFrequency(6000.0) ++ //lol new freechips.rocketchip.subsystem.WithRationalRocketTiles ++ // Add rational crossings between RocketTile and uncore new FireSimRocketConfig) From c061ea0ac971a5cba7da6023b65e74f48f589580 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Tue, 30 Mar 2021 01:45:34 -0700 Subject: [PATCH 114/225] Bump testchipip | Add custom boot pin --- generators/chipyard/src/main/scala/DigitalTop.scala | 2 ++ generators/chipyard/src/main/scala/HarnessBinders.scala | 6 ++++++ generators/chipyard/src/main/scala/IOBinders.scala | 7 +++++++ .../chipyard/src/main/scala/config/AbstractConfig.scala | 2 ++ generators/testchipip | 2 +- 5 files changed, 18 insertions(+), 1 deletion(-) diff --git a/generators/chipyard/src/main/scala/DigitalTop.scala b/generators/chipyard/src/main/scala/DigitalTop.scala index 7fd682d2b8..3407b4da2d 100644 --- a/generators/chipyard/src/main/scala/DigitalTop.scala +++ b/generators/chipyard/src/main/scala/DigitalTop.scala @@ -13,6 +13,8 @@ import freechips.rocketchip.devices.tilelink._ // DOC include start: DigitalTop class DigitalTop(implicit p: Parameters) extends ChipyardSystem + with testchipip.CanHavePeripheryCustomBootPin // Enables optional custom boot pin + with testchipip.HasPeripheryBootAddrReg // Use programmable boot address register with testchipip.CanHaveTraceIO // Enables optionally adding trace IO with testchipip.CanHaveBackingScratchpad // Enables optionally adding a backing scratchpad with testchipip.CanHavePeripheryBlockDevice // Enables optionally adding the block device diff --git a/generators/chipyard/src/main/scala/HarnessBinders.scala b/generators/chipyard/src/main/scala/HarnessBinders.scala index da497a54a8..c1ec2bdafb 100644 --- a/generators/chipyard/src/main/scala/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/HarnessBinders.scala @@ -321,3 +321,9 @@ class WithSimDromajoBridge extends ComposeHarnessBinder({ ports.map { p => p.traces.map(tileTrace => SimDromajoBridge(tileTrace)(system.p)) } } }) + +class WithTieOffCustomBootPin extends OverrideHarnessBinder({ + (system: CanHavePeripheryCustomBootPin, th: HasHarnessSignalReferences, ports: Seq[Bool]) => { + ports.foreach(_ := false.B) + } +}) diff --git a/generators/chipyard/src/main/scala/IOBinders.scala b/generators/chipyard/src/main/scala/IOBinders.scala index 5d15383480..dd74e960f8 100644 --- a/generators/chipyard/src/main/scala/IOBinders.scala +++ b/generators/chipyard/src/main/scala/IOBinders.scala @@ -372,6 +372,13 @@ class WithTraceIOPunchthrough extends OverrideIOBinder({ } }) +class WithCustomBootPin extends OverrideIOBinder({ + (system: CanHavePeripheryCustomBootPin) => system.custom_boot_pin.map({ p => + val sys = system.asInstanceOf[BaseSubsystem] + val (port, cells) = IOCell.generateIOFromSignal(p.getWrappedValue, "custom_boot", sys.p(IOCellKey), abstractResetAsAsync = true) + (Seq(port), cells) + }).getOrElse((Nil, Nil)) +}) class WithDontTouchPorts extends OverrideIOBinder({ (system: DontTouch) => system.dontTouchPorts(); (Nil, Nil) diff --git a/generators/chipyard/src/main/scala/config/AbstractConfig.scala b/generators/chipyard/src/main/scala/config/AbstractConfig.scala index da84bd0501..6660d159ec 100644 --- a/generators/chipyard/src/main/scala/config/AbstractConfig.scala +++ b/generators/chipyard/src/main/scala/config/AbstractConfig.scala @@ -21,6 +21,7 @@ class AbstractConfig extends Config( new chipyard.harness.WithSimAXIMMIO ++ // add SimAXIMem for axi4 mmio port, if enabled new chipyard.harness.WithTieOffInterrupts ++ // tie-off interrupt ports, if present new chipyard.harness.WithTieOffL2FBusAXI ++ // tie-off external AXI4 master, if present + new chipyard.harness.WithTieOffCustomBootPin ++ // The IOBinders instantiate ChipTop IOs to match desired digital IOs // IOCells are generated for "Chip-like" IOs, while simulation-only IOs are directly punched through @@ -37,6 +38,7 @@ class AbstractConfig extends Config( new chipyard.iobinders.WithSPIIOCells ++ new chipyard.iobinders.WithTraceIOPunchthrough ++ new chipyard.iobinders.WithExtInterruptIOCells ++ + new chipyard.iobinders.WithCustomBootPin ++ new testchipip.WithDefaultSerialTL ++ // use serialized tilelink port to external serialadapter/harnessRAM new chipyard.config.WithBootROM ++ // use default bootrom diff --git a/generators/testchipip b/generators/testchipip index fd7760e286..a973fdd67f 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit fd7760e2862661bf6277acfeeb42644797e876d0 +Subproject commit a973fdd67f650555fdf64e2d904eba9a0af691a3 From bbaebcd596c18def77c59f4c963fa52189c04d0b Mon Sep 17 00:00:00 2001 From: Anoop Date: Wed, 31 Mar 2021 17:28:24 +0530 Subject: [PATCH 115/225] Update barstools.rst Added info about adding our own sram-macros cache --- docs/Tools/Barstools.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/Tools/Barstools.rst b/docs/Tools/Barstools.rst index 6bd8f0363d..a36a29b136 100644 --- a/docs/Tools/Barstools.rst +++ b/docs/Tools/Barstools.rst @@ -24,7 +24,7 @@ A list of unique SRAM configurations is output to a ``.conf`` file by FIRRTL, wh Without this transform, FIRRTL will map all ``SeqMem`` s to flip-flop arrays with equivalent behavior, which may lead to a design that is difficult to route. The ``.conf`` file is consumed by a tool called MacroCompiler, which is part of the :ref:`Tools/Barstools:Barstools` scala package. -MacroCompiler is also passed an ``.mdf`` file that describes the available list of technology SRAMs or the capabilities of the SRAM compiler, if one is provided by the foundry. +MacroCompiler is also passed an ``.mdf`` file that describes the available list of technology SRAMs or the capabilities of the SRAM compiler, if one is provided by the foundry. You may wish to create a cache of your available SRAM macros either manually, or via a script. An reference script for creating a JSON of your SRAM macros is in the `asap7 technology library folder `__; the expected JSON format is `here `_. Typically a foundry SRAM compiler will be able to generate a set of different SRAMs collateral based on some requirements on size, aspect ratio, etc. (see :ref:`Tools/Barstools:SRAM MDF Fields`). Using a user-customizable cost function, MacroCompiler will select the SRAMs that are the best fit for each dimensionality in the ``.conf`` file. This may include over provisioning (e.g. using a 64x1024 SRAM for a requested 60x1024, if the latter is not available) or arraying. From 709c6bb36573c350a90568d1102ae9879ab23019 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Wed, 31 Mar 2021 09:19:29 -0700 Subject: [PATCH 116/225] Bump testchipip --- generators/testchipip | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/testchipip b/generators/testchipip index a973fdd67f..c50a0e2e32 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit a973fdd67f650555fdf64e2d904eba9a0af691a3 +Subproject commit c50a0e2e32d71f4f956c8a616a5ec2f7e31792fb From a7befc8c5c45f0c3a77fe660e1b96a7ba2991d61 Mon Sep 17 00:00:00 2001 From: Anoop Date: Wed, 31 Mar 2021 22:19:49 +0530 Subject: [PATCH 117/225] Updated barstools.rst after review-1 Edits after review-1 --- docs/Tools/Barstools.rst | 10 ++++++---- 1 file changed, 6 insertions(+), 4 deletions(-) diff --git a/docs/Tools/Barstools.rst b/docs/Tools/Barstools.rst index a36a29b136..d8dd042760 100644 --- a/docs/Tools/Barstools.rst +++ b/docs/Tools/Barstools.rst @@ -24,13 +24,14 @@ A list of unique SRAM configurations is output to a ``.conf`` file by FIRRTL, wh Without this transform, FIRRTL will map all ``SeqMem`` s to flip-flop arrays with equivalent behavior, which may lead to a design that is difficult to route. The ``.conf`` file is consumed by a tool called MacroCompiler, which is part of the :ref:`Tools/Barstools:Barstools` scala package. -MacroCompiler is also passed an ``.mdf`` file that describes the available list of technology SRAMs or the capabilities of the SRAM compiler, if one is provided by the foundry. You may wish to create a cache of your available SRAM macros either manually, or via a script. An reference script for creating a JSON of your SRAM macros is in the `asap7 technology library folder `__; the expected JSON format is `here `_. +MacroCompiler is also passed an ``.mdf`` file that describes the available list of technology SRAMs or the capabilities of the SRAM compiler, if one is provided by the foundry. Typically a foundry SRAM compiler will be able to generate a set of different SRAMs collateral based on some requirements on size, aspect ratio, etc. (see :ref:`Tools/Barstools:SRAM MDF Fields`). Using a user-customizable cost function, MacroCompiler will select the SRAMs that are the best fit for each dimensionality in the ``.conf`` file. This may include over provisioning (e.g. using a 64x1024 SRAM for a requested 60x1024, if the latter is not available) or arraying. Arraying can be done in both width and depth, as well as to solve masking constraints. For example, a 128x2048 array could be composed of four 64x1024 arrays, with two macros in parallel to create two 128x1024 virtual SRAMs which are combinationally muxed to add depth. If this macro requires byte-granularity write masking, but no technology SRAMs support masking, then the tool may choose to use thirty-two 8x1024 arrays in a similar configuration. +You may wish to create a cache of your available SRAM macros either manually, or via a script. A reference script for creating a JSON of your SRAM macros is in the asap7 technology library` folder `__. For information on writing ``.mdf`` files, look at `MDF on github `__ and a brief description in :ref:`Tools/Barstools:SRAM MDF Fields` section. The output of MacroCompiler is a Verilog file containing modules that wrap the technology SRAMs into the specified interface names from the ``.conf``. @@ -73,7 +74,6 @@ Likewise, the ``--force-compile [mem]`` option allows the user to force MacroCom SRAM MDF Fields +++++++++++++++ - Technology SRAM macros described in MDF can be defined at three levels of detail. A single instance can be defined with the `SRAMMacro` format. A group of instances that share the number and type of ports but vary in width and depth can be defined with the `SRAMGroup` format. @@ -82,12 +82,14 @@ A set of groups of SRAMs that can be generated together from a single source lik At the most concrete level the `SRAMMAcro` defines a particular instance of an SRAM. That includes its functional attributes such as its width, depth, and number of access ports. These ports can be read, write, or read and write ports, and the instance can have any number. -In order to correctly map to these functional ports to the physical instance each port is described in a list of sub-structures, in the parent instance's structure. +In order to correctly map these functional ports to the physical instance, each port is described in a list of sub-structures, in the parent instance's structure. Each port is only required to have an address and data field, but can have many other optional fields. -These optional fields include a clock, write enable, read enable, chip enable, mask. +These optional fields include a clock, write enable, read enable, chip enable, mask and its granularity. The mask field can have a different granularity than the data field, e.g. it could be a bit mask or a byte mask. Each field must also specify its polarity, whether it is active high or active low. +The specific JSON file format described above is `here `_. A reference cache of SRAMs from the nangate45 technology library is `available here `_. + In addition to these functional descriptions of the SRAM there are also other fields that specify physical/implementation characteristics. These include the threshold voltage, the mux factor, as well as a list of extra non-functional ports. From 3b26d6a9f99534d589d82d9b91b28d1b96ae9024 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Wed, 31 Mar 2021 17:04:42 -0700 Subject: [PATCH 118/225] Bump requirements to get newer Git version (for CI) --- scripts/ubuntu-req.sh | 8 ++++++-- 1 file changed, 6 insertions(+), 2 deletions(-) diff --git a/scripts/ubuntu-req.sh b/scripts/ubuntu-req.sh index b33a6649e8..5f618b71b9 100755 --- a/scripts/ubuntu-req.sh +++ b/scripts/ubuntu-req.sh @@ -3,7 +3,7 @@ set -ex sudo apt-get install -y build-essential bison flex -sudo apt-get install -y libgmp-dev libmpfr-dev libmpc-dev zlib1g-dev vim git default-jdk default-jre +sudo apt-get install -y libgmp-dev libmpfr-dev libmpc-dev zlib1g-dev vim default-jdk default-jre # install sbt: https://www.scala-sbt.org/release/docs/Installing-sbt-on-Linux.html echo "deb https://dl.bintray.com/sbt/debian /" | sudo tee -a /etc/apt/sources.list.d/sbt.list curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add @@ -12,7 +12,7 @@ sudo apt-get install -y sbt sudo apt-get install -y texinfo gengetopt sudo apt-get install -y libexpat1-dev libusb-dev libncurses5-dev cmake # deps for poky -sudo apt-get install -y python3.6 patch diffstat texi2html texinfo subversion chrpath git wget +sudo apt-get install -y python3.6 patch diffstat texi2html texinfo subversion chrpath wget # deps for qemu sudo apt-get install -y libgtk-3-dev gettext # deps for firemarshal @@ -20,6 +20,10 @@ sudo apt-get install -y python3-pip python3.6-dev rsync libguestfs-tools expat c # install DTC sudo apt-get install -y device-tree-compiler sudo apt-get install -y python +# install git >= 2.17 +sudo add-apt-repository ppa:git-core/ppa -y +sudo apt-get update +sudo apt-get install git -y # install verilator git clone http://git.veripool.org/git/verilator From ab57b7d2edaad950b0f2b99a633341fa9833274b Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Wed, 31 Mar 2021 19:00:59 -0700 Subject: [PATCH 119/225] Enable add-apt-repo --- scripts/ubuntu-req.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/scripts/ubuntu-req.sh b/scripts/ubuntu-req.sh index 5f618b71b9..4889dc4889 100755 --- a/scripts/ubuntu-req.sh +++ b/scripts/ubuntu-req.sh @@ -2,7 +2,7 @@ set -ex -sudo apt-get install -y build-essential bison flex +sudo apt-get install -y build-essential bison flex software-properties-common sudo apt-get install -y libgmp-dev libmpfr-dev libmpc-dev zlib1g-dev vim default-jdk default-jre # install sbt: https://www.scala-sbt.org/release/docs/Installing-sbt-on-Linux.html echo "deb https://dl.bintray.com/sbt/debian /" | sudo tee -a /etc/apt/sources.list.d/sbt.list From 4ab2228926c7af958ed6ccd179fb90b67c52df8e Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Wed, 31 Mar 2021 19:15:34 -0700 Subject: [PATCH 120/225] Bump CI image --- .circleci/config.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index 9c6e9f80d8..bdd9044336 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: ucbbar/chipyard-ci-image:9c650dea + - image: ucbbar/chipyard-ci-image:ab57b7d environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit From f334d5799f881cec3df9c8b4d6824d4f6c7d5023 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Thu, 1 Apr 2021 16:21:16 -0700 Subject: [PATCH 121/225] Support 30MiB payloads - VCU118 FPGA --- fpga/src/main/resources/vcu118/sdboot/sd.c | 17 +++++++++++------ 1 file changed, 11 insertions(+), 6 deletions(-) diff --git a/fpga/src/main/resources/vcu118/sdboot/sd.c b/fpga/src/main/resources/vcu118/sdboot/sd.c index 47c87d5f4e..5f465ef68f 100644 --- a/fpga/src/main/resources/vcu118/sdboot/sd.c +++ b/fpga/src/main/resources/vcu118/sdboot/sd.c @@ -8,10 +8,12 @@ #define DEBUG #include "kprintf.h" -#define MAX_CORES 8 - -// A sector is 512 bytes, so ((1 << 11) * 512) = 1 MiB -#define PAYLOAD_SIZE (16 << 11) +// Total payload in B +#define PAYLOAD_SIZE_B (30 << 20) // default: 30MiB +// A sector is 512 bytes, so (1 << 11) * 512B = 1 MiB +#define SECTOR_SIZE_B 512 +// Payload size in # of sectors +#define PAYLOAD_SIZE (PAYLOAD_SIZE_B / SECTOR_SIZE_B) // The sector at which the BBL partition starts #define BBL_PARTITION_START_SECTOR 34 @@ -168,9 +170,12 @@ static int copy(void) int rc = 0; dputs("CMD18"); + + kprintf("LOADING 0x%lxB PAYLOAD\r\n", PAYLOAD_SIZE_B); kprintf("LOADING "); - // John: Let's go slow until we get this working + // TODO: Can this be sped up? + // John Wright: Let's go slow until we get this working //REG32(spi, SPI_REG_SCKDIV) = (F_CLK / 16666666UL); REG32(spi, SPI_REG_SCKDIV) = (F_CLK / 5000000UL); if (sd_cmd(0x52, BBL_PARTITION_START_SECTOR, 0xE1) != 0x00) { @@ -182,7 +187,7 @@ static int copy(void) long n; crc = 0; - n = 512; + n = SECTOR_SIZE_B; while (sd_dummy() != 0xFE); do { uint8_t x = sd_dummy(); From 5a41c5d9ac20414c0071997e803ede13540f7fd9 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Thu, 1 Apr 2021 16:21:44 -0700 Subject: [PATCH 122/225] Use multi-clock config. frags to determine VCU118 clk freq --- fpga/src/main/scala/vcu118/Configs.scala | 15 +++++++-------- fpga/src/main/scala/vcu118/TestHarness.scala | 7 +++---- .../src/main/scala/config/AbstractConfig.scala | 1 - 3 files changed, 10 insertions(+), 13 deletions(-) diff --git a/fpga/src/main/scala/vcu118/Configs.scala b/fpga/src/main/scala/vcu118/Configs.scala index 8b17aa98e6..18f04de4f3 100644 --- a/fpga/src/main/scala/vcu118/Configs.scala +++ b/fpga/src/main/scala/vcu118/Configs.scala @@ -17,7 +17,7 @@ import sifive.fpgashells.shell.xilinx.{VCU118ShellPMOD, VCU118DDRSize} import testchipip.{SerialTLKey} -import chipyard.{BuildSystem, ExtTLMem} +import chipyard.{BuildSystem, ExtTLMem, DefaultClockFrequencyKey} class WithDefaultPeripherals extends Config((site, here, up) => { case PeripheryUARTKey => List(UARTParams(address = BigInt(0x64000000L))) @@ -26,11 +26,10 @@ class WithDefaultPeripherals extends Config((site, here, up) => { }) class WithSystemModifications extends Config((site, here, up) => { - case PeripheryBusKey => up(PeripheryBusKey, site).copy(dtsFrequency = Some(site(FPGAFrequencyKey).toInt*1000000)) - case DTSTimebase => BigInt(1000000) + case DTSTimebase => BigInt((1e6).toLong) case BootROMLocated(x) => up(BootROMLocated(x), site).map { p => // invoke makefile for sdboot - val freqMHz = site(FPGAFrequencyKey).toInt * 1000000 + val freqMHz = (site(DefaultClockFrequencyKey) * 1e6).toLong val make = s"make -C fpga/src/main/resources/vcu118/sdboot PBUS_CLK=${freqMHz} bin" require (make.! == 0, "Failed to build bootrom") p.copy(hang = 0x10000, contentFileName = s"./fpga/src/main/resources/vcu118/sdboot/build/sdboot.bin") @@ -52,7 +51,9 @@ class WithVCU118Tweaks extends Config( new WithSystemModifications ++ // setup busses, use sdboot bootrom, setup ext. mem. size new chipyard.config.WithNoDebug ++ // remove debug module new freechips.rocketchip.subsystem.WithoutTLMonitors ++ - new freechips.rocketchip.subsystem.WithNMemoryChannels(1)) + new freechips.rocketchip.subsystem.WithNMemoryChannels(1) ++ + new WithFPGAFrequency(100) // default 100MHz freq +) class RocketVCU118Config extends Config( new WithVCU118Tweaks ++ @@ -64,9 +65,7 @@ class BoomVCU118Config extends Config( new WithVCU118Tweaks ++ new chipyard.MegaBoomConfig) -class WithFPGAFrequency(MHz: Double) extends Config((site, here, up) => { - case FPGAFrequencyKey => MHz -}) +class WithFPGAFrequency(fMHz: Double) extends chipyard.config.WithPeripheryBusFrequency(fMHz) class WithFPGAFreq25MHz extends WithFPGAFrequency(25) class WithFPGAFreq50MHz extends WithFPGAFrequency(50) diff --git a/fpga/src/main/scala/vcu118/TestHarness.scala b/fpga/src/main/scala/vcu118/TestHarness.scala index 45afe7f757..64ad3deb38 100644 --- a/fpga/src/main/scala/vcu118/TestHarness.scala +++ b/fpga/src/main/scala/vcu118/TestHarness.scala @@ -17,12 +17,10 @@ import sifive.blocks.devices.uart._ import sifive.blocks.devices.spi._ import sifive.blocks.devices.gpio._ -import chipyard.{HasHarnessSignalReferences, HasTestHarnessFunctions, BuildTop, ChipTop, ExtTLMem, CanHaveMasterTLMemPort} +import chipyard.{HasHarnessSignalReferences, HasTestHarnessFunctions, BuildTop, ChipTop, ExtTLMem, CanHaveMasterTLMemPort, DefaultClockFrequencyKey} import chipyard.iobinders.{HasIOBinders} import chipyard.harness.{ApplyHarnessBinders} -case object FPGAFrequencyKey extends Field[Double](100.0) - class VCU118FPGATestHarness(override implicit val p: Parameters) extends VCU118ShellBasicOverlays { def dp = designParameters @@ -55,7 +53,8 @@ class VCU118FPGATestHarness(override implicit val p: Parameters) extends VCU118S harnessSysPLL := sysClkNode // create and connect to the dutClock - val dutClock = ClockSinkNode(freqMHz = dp(FPGAFrequencyKey)) + println(s"VCU118 FPGA Base Clock Freq: ${dp(DefaultClockFrequencyKey)} MHz") + val dutClock = ClockSinkNode(freqMHz = dp(DefaultClockFrequencyKey)) val dutWrangler = LazyModule(new ResetWrangler) val dutGroup = ClockGroup() dutClock := dutWrangler.node := dutGroup := harnessSysPLL diff --git a/generators/chipyard/src/main/scala/config/AbstractConfig.scala b/generators/chipyard/src/main/scala/config/AbstractConfig.scala index da84bd0501..71526d715a 100644 --- a/generators/chipyard/src/main/scala/config/AbstractConfig.scala +++ b/generators/chipyard/src/main/scala/config/AbstractConfig.scala @@ -45,7 +45,6 @@ class AbstractConfig extends Config( new chipyard.config.WithNoSubsystemDrivenClocks ++ // drive the subsystem diplomatic clocks from ChipTop instead of using implicit clocks new chipyard.config.WithInheritBusFrequencyAssignments ++ // Unspecified clocks within a bus will receive the bus frequency if set new chipyard.config.WithPeripheryBusFrequencyAsDefault ++ // Unspecified frequencies with match the pbus frequency (which is always set) - new chipyard.config.WithMemoryBusFrequency(100.0) ++ // Default 100 MHz mbus new chipyard.config.WithPeripheryBusFrequency(100.0) ++ // Default 100 MHz pbus new freechips.rocketchip.subsystem.WithJtagDTM ++ // set the debug module to expose a JTAG port new freechips.rocketchip.subsystem.WithNoMMIOPort ++ // no top-level MMIO master port (overrides default set in rocketchip) From 3a52b709dbf691ed8864fc845d41aa7ecf8f3987 Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Thu, 1 Apr 2021 09:39:46 -0700 Subject: [PATCH 123/225] Bump testchipip --- generators/testchipip | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/testchipip b/generators/testchipip index c50a0e2e32..bddf17c28d 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit c50a0e2e32d71f4f956c8a616a5ec2f7e31792fb +Subproject commit bddf17c28dc43e5ed89ffa58bceda9bee64ddde6 From 2cfd930a32a3589714486a95550eedfc7cadeb20 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Fri, 2 Apr 2021 16:43:23 -0700 Subject: [PATCH 124/225] Bump FireMarshal for prototype targets --- software/firemarshal | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/software/firemarshal b/software/firemarshal index aa8e6aa871..0ada8d84e2 160000 --- a/software/firemarshal +++ b/software/firemarshal @@ -1 +1 @@ -Subproject commit aa8e6aa8714d46b74917ebaa91333f5727e34599 +Subproject commit 0ada8d84e2b2826a70a1ecf9dde01426d52d2475 From be13781a1c9711bb392f42491f569e0022b0ab17 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Fri, 2 Apr 2021 16:43:59 -0700 Subject: [PATCH 125/225] Set both MBUS/PBUS in configs | Add simple check for correct clocks --- fpga/src/main/scala/vcu118/Configs.scala | 8 +++++++- fpga/src/main/scala/vcu118/TestHarness.scala | 9 ++++++++- .../chipyard/src/main/scala/config/AbstractConfig.scala | 1 + 3 files changed, 16 insertions(+), 2 deletions(-) diff --git a/fpga/src/main/scala/vcu118/Configs.scala b/fpga/src/main/scala/vcu118/Configs.scala index 18f04de4f3..9da3ae1ee4 100644 --- a/fpga/src/main/scala/vcu118/Configs.scala +++ b/fpga/src/main/scala/vcu118/Configs.scala @@ -40,12 +40,15 @@ class WithSystemModifications extends Config((site, here, up) => { // DOC include start: AbstractVCU118 and Rocket class WithVCU118Tweaks extends Config( + // harness binders new WithUART ++ new WithSPISDCard ++ new WithDDRMem ++ + // io binders new WithUARTIOPassthrough ++ new WithSPIIOPassthrough ++ new WithTLIOPassthrough ++ + // other configuration new WithDefaultPeripherals ++ new chipyard.config.WithTLBackingMemory ++ // use TL backing memory new WithSystemModifications ++ // setup busses, use sdboot bootrom, setup ext. mem. size @@ -65,7 +68,10 @@ class BoomVCU118Config extends Config( new WithVCU118Tweaks ++ new chipyard.MegaBoomConfig) -class WithFPGAFrequency(fMHz: Double) extends chipyard.config.WithPeripheryBusFrequency(fMHz) +class WithFPGAFrequency(fMHz: Double) extends Config( + new chipyard.config.WithPeripheryBusFrequency(fMHz) ++ + new chipyard.config.WithMemoryBusFrequency(fMHz) +) class WithFPGAFreq25MHz extends WithFPGAFrequency(25) class WithFPGAFreq50MHz extends WithFPGAFrequency(50) diff --git a/fpga/src/main/scala/vcu118/TestHarness.scala b/fpga/src/main/scala/vcu118/TestHarness.scala index 64ad3deb38..33161b6829 100644 --- a/fpga/src/main/scala/vcu118/TestHarness.scala +++ b/fpga/src/main/scala/vcu118/TestHarness.scala @@ -17,7 +17,7 @@ import sifive.blocks.devices.uart._ import sifive.blocks.devices.spi._ import sifive.blocks.devices.gpio._ -import chipyard.{HasHarnessSignalReferences, HasTestHarnessFunctions, BuildTop, ChipTop, ExtTLMem, CanHaveMasterTLMemPort, DefaultClockFrequencyKey} +import chipyard.{HasHarnessSignalReferences, HasTestHarnessFunctions, BuildTop, ChipTop, ExtTLMem, CanHaveMasterTLMemPort, DefaultClockFrequencyKey, HasReferenceClockFreq} import chipyard.iobinders.{HasIOBinders} import chipyard.harness.{ApplyHarnessBinders} @@ -135,4 +135,11 @@ class VCU118FPGATestHarnessImp(_outer: VCU118FPGATestHarness) extends LazyRawMod _outer.topDesign match { case d: HasIOBinders => ApplyHarnessBinders(this, d.lazySystem, d.portMap) } + + // check the top-level reference clock is equal to the default + // non-exhaustive since you need all ChipTop clocks to equal the default + _outer.topDesign match { + case d: HasReferenceClockFreq => require(d.refClockFreqMHz == p(DefaultClockFrequencyKey)) + case _ => + } } diff --git a/generators/chipyard/src/main/scala/config/AbstractConfig.scala b/generators/chipyard/src/main/scala/config/AbstractConfig.scala index 71526d715a..da84bd0501 100644 --- a/generators/chipyard/src/main/scala/config/AbstractConfig.scala +++ b/generators/chipyard/src/main/scala/config/AbstractConfig.scala @@ -45,6 +45,7 @@ class AbstractConfig extends Config( new chipyard.config.WithNoSubsystemDrivenClocks ++ // drive the subsystem diplomatic clocks from ChipTop instead of using implicit clocks new chipyard.config.WithInheritBusFrequencyAssignments ++ // Unspecified clocks within a bus will receive the bus frequency if set new chipyard.config.WithPeripheryBusFrequencyAsDefault ++ // Unspecified frequencies with match the pbus frequency (which is always set) + new chipyard.config.WithMemoryBusFrequency(100.0) ++ // Default 100 MHz mbus new chipyard.config.WithPeripheryBusFrequency(100.0) ++ // Default 100 MHz pbus new freechips.rocketchip.subsystem.WithJtagDTM ++ // set the debug module to expose a JTAG port new freechips.rocketchip.subsystem.WithNoMMIOPort ++ // no top-level MMIO master port (overrides default set in rocketchip) From 985faa4c8e9608a80889afdd5a838a3fed9e8470 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Sat, 3 Apr 2021 12:55:27 -0700 Subject: [PATCH 126/225] Small comment updates + cleanup --- fpga/src/main/resources/vcu118/sdboot/sd.c | 5 ++--- fpga/src/main/scala/vcu118/Configs.scala | 2 +- 2 files changed, 3 insertions(+), 4 deletions(-) diff --git a/fpga/src/main/resources/vcu118/sdboot/sd.c b/fpga/src/main/resources/vcu118/sdboot/sd.c index 5f465ef68f..f1bdb61e2c 100644 --- a/fpga/src/main/resources/vcu118/sdboot/sd.c +++ b/fpga/src/main/resources/vcu118/sdboot/sd.c @@ -171,11 +171,10 @@ static int copy(void) dputs("CMD18"); - kprintf("LOADING 0x%lxB PAYLOAD\r\n", PAYLOAD_SIZE_B); + kprintf("LOADING 0x%xB PAYLOAD\r\n", PAYLOAD_SIZE_B); kprintf("LOADING "); - // TODO: Can this be sped up? - // John Wright: Let's go slow until we get this working + // TODO: Speed up SPI freq. (breaks between these two values) //REG32(spi, SPI_REG_SCKDIV) = (F_CLK / 16666666UL); REG32(spi, SPI_REG_SCKDIV) = (F_CLK / 5000000UL); if (sd_cmd(0x52, BBL_PARTITION_START_SECTOR, 0xE1) != 0x00) { diff --git a/fpga/src/main/scala/vcu118/Configs.scala b/fpga/src/main/scala/vcu118/Configs.scala index 9da3ae1ee4..47a22dcf7a 100644 --- a/fpga/src/main/scala/vcu118/Configs.scala +++ b/fpga/src/main/scala/vcu118/Configs.scala @@ -69,7 +69,7 @@ class BoomVCU118Config extends Config( new chipyard.MegaBoomConfig) class WithFPGAFrequency(fMHz: Double) extends Config( - new chipyard.config.WithPeripheryBusFrequency(fMHz) ++ + new chipyard.config.WithPeripheryBusFrequency(fMHz) ++ // assumes using PBUS as default freq. new chipyard.config.WithMemoryBusFrequency(fMHz) ) From 565ef2eb3cbf0265a9fe36d0e497af12612b8f0d Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Sat, 3 Apr 2021 12:57:10 -0700 Subject: [PATCH 127/225] First commit of docs [ci skip] --- docs/Prototyping/VCU118.rst | 77 +++++++++++++++++++++++++++++++++++++ 1 file changed, 77 insertions(+) diff --git a/docs/Prototyping/VCU118.rst b/docs/Prototyping/VCU118.rst index 7f8f2cb90e..0ad7bed721 100644 --- a/docs/Prototyping/VCU118.rst +++ b/docs/Prototyping/VCU118.rst @@ -58,3 +58,80 @@ The TSI Host Widget is used to interact with the DUT from the prototype over a S .. Note:: Remember that since whenever a new test harness is created (or the config changes, or the config packages changes, or...), you need to modify the make invocation. For example, ``make SUB_PROJECT=vcu118 CONFIG=MyNewVCU118Config CONFIG_PACKAGE=this.is.my.scala.package bitstream``. See :ref:`Prototyping/General:Generating a Bitstream` for information on the various make variables. + +Running Linux with SDCard Setup +------------------------------- + +Both the bringup and normal VCU118 platforms are setup to boot Linux loaded from the SPI SDCard. + +Building Linux with FireMarshal +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +To build Linux that will run on the VCU118 prototype, we will use the FireMarshal platform. +To understand FireMarshal in more depth, refer to it's documentation. + +1. Setup FireMarshal +2. Switch the FireMarshal "board" to target the prototype platform using ``marshal-config.yaml`` + +``` + echo "board-dir : \'boards/prototype\' > PATH_TO_FIREMARSHAL/marshal-config.yaml +``` + +This should allow you to use the ``br-base.json`` workload built for the prototype platform (includes GPIO/SPI drivers). + +3. Run ``./marshal -v -d build br-base.json`` to build the workload with initramfs. + +4. Install the workload ``./marshal -v -d install -t prototype br-base.json``. This will flatten the binary. + +.. note:: Feel free to modify and build off the `br-base.json` using normal FireMarshal functionality. + +Setting up the SDCard +~~~~~~~~~~~~~~~~~~~~~ + +The following instructions are for Linux but you can follow a similar set of steps on Mac (using `gpt`). + +Linux Instructions +================== + +Use `gdisk` to put the binary on the SDCard. +The following steps use `/dev/sdc` as the path to the SD card (replace with your own path). + +1. Wipe the GPT on the card. + +`sudo gdisk /dev/sdc` + +2. Use the `z` command to zap everything. + +`sudo gdisk /dev/sdc` + +3. Change the default partition alignment to `1` so you can write to sector `34`. +Do this with the `l` command. + +4. Then create the new GPT with `o`. Click yes on all the prompts. + +5. Create a 512MiB partition to store the Linux payload (note this can be smaller but it must be larger than the size of the Linux payload). +Use `n` and select sector 34, with size `+1048576`. +For the type search for the `apfs` type and use the hex number given. + +6. Create a second partition to store any other files with the rest of the SDCard. +Use `n` and use the defaults for starting sector and overall size. +For the type search for the `hfs` and use the hex number given. + +7. Write the changes using `w`. + +8. Setup the filesystem on the 2nd partition using the following command: + +`sudo mkfs.hfs -v "Prototype Data" /dev/sdc2` + +Note that `sdc2` is used since it points to the 2nd partition. + +Transfer Linux to the SDCard +============================ + +Finally transfer the `-flat` binary generated by FireMarshal to the sdcard: + +`sudo dd if=/br-base-bin-nodisk-flat of=/dev/sdc1` + +Note that `sdc1` points to the 1st partition. + +Additionally at this point you can mount the 2nd partition, add files, and mount that on the Linux on the prototype. From e159c4f6a7087b55e9e4dd1789d04109f05c58bd Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Sat, 3 Apr 2021 13:48:58 -0700 Subject: [PATCH 128/225] Cleanup docs for Linux on VCU118 --- docs/Prototyping/VCU118.rst | 110 ++++++++++++++++++++++-------------- 1 file changed, 68 insertions(+), 42 deletions(-) diff --git a/docs/Prototyping/VCU118.rst b/docs/Prototyping/VCU118.rst index 0ad7bed721..ee343d2d1d 100644 --- a/docs/Prototyping/VCU118.rst +++ b/docs/Prototyping/VCU118.rst @@ -59,79 +59,105 @@ The TSI Host Widget is used to interact with the DUT from the prototype over a S For example, ``make SUB_PROJECT=vcu118 CONFIG=MyNewVCU118Config CONFIG_PACKAGE=this.is.my.scala.package bitstream``. See :ref:`Prototyping/General:Generating a Bitstream` for information on the various make variables. -Running Linux with SDCard Setup -------------------------------- +Running Linux with Basic and Bringup Platforms +---------------------------------------------- -Both the bringup and normal VCU118 platforms are setup to boot Linux loaded from the SPI SDCard. +As mentioned above, the default VCU118 harness is setup with a UART and a SPI SDCard. +These are utilized to both interact with the DUT (with the UART) and load in Linux (with the SDCard). +The following steps describe how to build and run buildroot Linux on the prototype platform. Building Linux with FireMarshal ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -To build Linux that will run on the VCU118 prototype, we will use the FireMarshal platform. -To understand FireMarshal in more depth, refer to it's documentation. +Since the prototype does not have a block device we build Linux with the rootfs built into the binary (otherwise known as "initramfs" or "nodisk" version of Linux). +To make building this type of Linux binary easy, we will use the FireMarshal platform (see :ref:`fire-marshal` for more information). -1. Setup FireMarshal -2. Switch the FireMarshal "board" to target the prototype platform using ``marshal-config.yaml`` +1. Setup FireMarshal (see :ref:`fire-marshal` on the initial setup). +2. By default FireMarshal is setup to work with FireSim. + Instead we want to target the prototype platform. + This is done by switching the FireMarshal "board" from "firechip" to "prototype" using ``marshal-config.yaml``: -``` - echo "board-dir : \'boards/prototype\' > PATH_TO_FIREMARSHAL/marshal-config.yaml -``` +.. code-block:: shell -This should allow you to use the ``br-base.json`` workload built for the prototype platform (includes GPIO/SPI drivers). + echo "board-dir : 'boards/prototype'" > $PATH_TO_FIREMARSHAL/marshal-config.yaml -3. Run ``./marshal -v -d build br-base.json`` to build the workload with initramfs. +.. Note:: Refer to the FireMarshal docs on more ways to set the board differently through environment variables and more. -4. Install the workload ``./marshal -v -d install -t prototype br-base.json``. This will flatten the binary. +3. Next build the workload (a.k.a buildroot Linux) with nodisk with FireMarshal. + For the rest of these steps we will assume you are using the base ``br-base.json`` workload. + This workload has basic support for GPIO and SPI drivers but you can build off it in different workloads (refer to FireMarshal docs on workload inheritance). -.. note:: Feel free to modify and build off the `br-base.json` using normal FireMarshal functionality. +.. code-block:: shell + + ./marshal -v -d build br-base.json # here the -d indicates --nodisk or initramfs + +.. Note:: Using the "board" FireMarshal functionality allows any child workload depending on ``br-base.json`` to use the "prototype" ``br-base.json`` rather than the FireChip version. + Thus you can re-use existing workloads that depend on ``br-base.json`` on the prototype platform by just changing the "board"! + +4. The last step to generate the proper binary is to flatten it. + This is done by using FireMarshal's install feature and will produce a ``*-flat`` binary in the ``$PATH_TO_FIREMARSHAL/images`` directory (in our case ``br-base-bin-nodisk-flat``). + +.. code-block:: shell + + ./marshal -v -d install -t prototype br-base.json Setting up the SDCard ~~~~~~~~~~~~~~~~~~~~~ -The following instructions are for Linux but you can follow a similar set of steps on Mac (using `gpt`). +These instructions assume that you have a spare uSDCard that can be loaded with Linux and other files using two partitions. +The 1st partition will be used to store the Linux binary (created with FireMarshal or other means) while the 2nd partition will be used to store miscellaneous files. +Additionally, these instructions assume you are using Linux with ``sudo`` privileges and ``gdisk`` but you can follow a similar set of steps on Mac (using ``gpt`` or another similar program). + +1. Wipe the GPT on the card using ``gdisk``. + Use the `z` command to zap everything. + For rest of these instructions, we assume the SDCard path is ``/dev/sdc`` (replace this with the path to your SDCard). -Linux Instructions -================== +.. code-block:: shell -Use `gdisk` to put the binary on the SDCard. -The following steps use `/dev/sdc` as the path to the SD card (replace with your own path). + sudo gdisk /dev/sdc -1. Wipe the GPT on the card. +2. The VCU118 bootrom assumes that the Linux binary to load into memory will be located on sector 34 of the SDCard. + Change the default partition alignment to `1` so you can write to sector `34`. + Do this with the `l` command. -`sudo gdisk /dev/sdc` +3. Create the new GPT with `o`. Click yes on all the prompts. -2. Use the `z` command to zap everything. +4. Create a 512MiB partition to store the Linux binary (this can be smaller but it must be larger than the size of the Linux binary). + Use `n` and select sector 34, with size `+1048576` (corresponding to 512MiB). + For the type search for the `apfs` type and use the hex number given. -`sudo gdisk /dev/sdc` +5. Create a second partition to store any other files with the rest of the SDCard. + Use `n` and use the defaults for starting sector and overall size (expand the 2nd partition to the rest of the SDCard space). + For the type search for the `hfs` and use the hex number given. -3. Change the default partition alignment to `1` so you can write to sector `34`. -Do this with the `l` command. +6. Write the changes using `w`. -4. Then create the new GPT with `o`. Click yes on all the prompts. +7. Setup the filesystem on the 2nd partition. + Note that the ``/dev/sdc2`` points to the 2nd partition. + Use the following command: -5. Create a 512MiB partition to store the Linux payload (note this can be smaller but it must be larger than the size of the Linux payload). -Use `n` and select sector 34, with size `+1048576`. -For the type search for the `apfs` type and use the hex number given. +.. code-block:: shell -6. Create a second partition to store any other files with the rest of the SDCard. -Use `n` and use the defaults for starting sector and overall size. -For the type search for the `hfs` and use the hex number given. + sudo mkfs.hfs -v "PrototypeData" /dev/sdc2 -7. Write the changes using `w`. +Transfer and Run Linux from the SDCard +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -8. Setup the filesystem on the 2nd partition using the following command: +After you have a Linux boot binary and the SDCard is setup properly (1st partition at sector 34), you can transfer the binary to the 1st SDCard partition. +In this example, we generated a ``br-base-bin-nodisk-flat`` from FireMarshal and we will load it using ``dd``. +Note that ``sdc1`` points to the 1st partition (remember to change the ``sdc`` to your own SDCard path). -`sudo mkfs.hfs -v "Prototype Data" /dev/sdc2` +.. code-block:: shell -Note that `sdc2` is used since it points to the 2nd partition. + sudo dd if=$PATH_TO_FIREMARSHAL/br-base-bin-nodisk-flat of=/dev/sdc1 -Transfer Linux to the SDCard -============================ +If you want to add files to the 2nd partition, you can also do this now. -Finally transfer the `-flat` binary generated by FireMarshal to the sdcard: +After loading the SDCard with Linux and potentially other files, you can program the FPGA and plug in the SDCard. +To interact with Linux via the UART console, you can connect to the serial port (in this case called ``ttyUSB1``) using something like ``screen``: -`sudo dd if=/br-base-bin-nodisk-flat of=/dev/sdc1` +.. code-block:: shell -Note that `sdc1` points to the 1st partition. + screen -S FPGA_UART_CONSOLE /dev/ttyUSB1 115200 -Additionally at this point you can mount the 2nd partition, add files, and mount that on the Linux on the prototype. +Once connected you should see the binary being loaded as well as Linux output (in some cases you might need to reset the DUT). From a401861f5b9d650f3eab89f37d2516fc12bd9d93 Mon Sep 17 00:00:00 2001 From: Anoop Date: Tue, 6 Apr 2021 10:02:47 +0530 Subject: [PATCH 129/225] Update Barstools.rst --- docs/Tools/Barstools.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/Tools/Barstools.rst b/docs/Tools/Barstools.rst index 0ebf9e7004..fa4176c453 100644 --- a/docs/Tools/Barstools.rst +++ b/docs/Tools/Barstools.rst @@ -31,7 +31,7 @@ This may include over provisioning (e.g. using a 64x1024 SRAM for a requested 60 Arraying can be done in both width and depth, as well as to solve masking constraints. For example, a 128x2048 array could be composed of four 64x1024 arrays, with two macros in parallel to create two 128x1024 virtual SRAMs which are combinationally muxed to add depth. If this macro requires byte-granularity write masking, but no technology SRAMs support masking, then the tool may choose to use thirty-two 8x1024 arrays in a similar configuration. -You may wish to create a cache of your available SRAM macros either manually, or via a script. A reference script for creating a JSON of your SRAM macros is in the asap7 technology library` folder `__. +You may wish to create a cache of your available SRAM macros either manually, or via a script. A reference script for creating a JSON of your SRAM macros is in the `asap7 technology library folder `__. For information on writing ``.mdf`` files, look at `MDF on github `__ and a brief description in :ref:`Tools/Barstools:SRAM MDF Fields` section. The output of MacroCompiler is a Verilog file containing modules that wrap the technology SRAMs into the specified interface names from the ``.conf``. From ffd312f6ea1c904a56ddffeb016c8c55fa2b2bae Mon Sep 17 00:00:00 2001 From: Anoop Date: Tue, 6 Apr 2021 10:10:39 +0530 Subject: [PATCH 130/225] conflict resolution --- generators/firechip/src/main/scala/TargetConfigs.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index dd2e2bcc07..43fea874a9 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -77,7 +77,7 @@ class WithFireSimDesignTweaks extends Config( new freechips.rocketchip.subsystem.WithExtMemSize((1 << 30) * 16L) ++ // Optional: Removing this will require using an initramfs under linux new testchipip.WithBlockDevice ++ - // Optional: Set a UART baudrate (this selection matches FireSim's historical value) + // Required*: Scale default baud rate with periphery bus frequency new chipyard.config.WithUART(BigInt(3686400L)) ++ // Required: Do not support debug module w. JTAG until FIRRTL stops emitting @(posedge ~clock) new chipyard.config.WithNoDebug From 436c235b1710839b04d0acd30e1250db7ba40164 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Tue, 6 Apr 2021 12:24:07 -0700 Subject: [PATCH 131/225] Bump FireMarshal --- software/firemarshal | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/software/firemarshal b/software/firemarshal index 0ada8d84e2..8d9f71841e 160000 --- a/software/firemarshal +++ b/software/firemarshal @@ -1 +1 @@ -Subproject commit 0ada8d84e2b2826a70a1ecf9dde01426d52d2475 +Subproject commit 8d9f71841e2215292c6fef663a98d4715998c047 From b0d69eada6e7b9c7deded3789a86d5a32c37c3f9 Mon Sep 17 00:00:00 2001 From: Colin Schmidt Date: Tue, 6 Apr 2021 14:32:41 -0700 Subject: [PATCH 132/225] Workaround docutils issue in doc generation Need this until the following is fixed: https://github.com/sphinx-doc/sphinx/issues/9063 --- docs/requirements.txt | 1 + 1 file changed, 1 insertion(+) diff --git a/docs/requirements.txt b/docs/requirements.txt index 4b1565b36b..bbd41a7151 100644 --- a/docs/requirements.txt +++ b/docs/requirements.txt @@ -2,3 +2,4 @@ Sphinx==1.8.5 Pygments==2.2.0 sphinx-autobuild sphinx_rtd_theme==0.2.5b1 +docutils==0.16 From 8ed61d6a7ddce8a9c53ba34737177ce2a8802c9c Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Wed, 7 Apr 2021 12:13:41 -0700 Subject: [PATCH 133/225] Bump FireMarshal --- software/firemarshal | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/software/firemarshal b/software/firemarshal index 8d9f71841e..22f9101d45 160000 --- a/software/firemarshal +++ b/software/firemarshal @@ -1 +1 @@ -Subproject commit 8d9f71841e2215292c6fef663a98d4715998c047 +Subproject commit 22f9101d456f36d9580bd3327a1613e39812d06d From 358b97b83225dfa338ca090d74ff58da5e0b4e8e Mon Sep 17 00:00:00 2001 From: Nathan Pemberton Date: Wed, 7 Apr 2021 18:56:10 -0400 Subject: [PATCH 134/225] Bump the spec workload to get the marshal overhaul --- software/spec2017 | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/software/spec2017 b/software/spec2017 index 8f2812bebc..83e3311047 160000 --- a/software/spec2017 +++ b/software/spec2017 @@ -1 +1 @@ -Subproject commit 8f2812bebc15ae36f15cb8b80f4b50aa7d342b46 +Subproject commit 83e33110472c47aaa762257ed7c053ff1278834c From dae8c12c600bcc46163c6bcf852679b45ce6e33c Mon Sep 17 00:00:00 2001 From: Alfonso Rodriguez Date: Fri, 9 Apr 2021 20:12:19 +0200 Subject: [PATCH 135/225] Ensure proper alignment with 'CacheBlockBytes' in charcount test --- tests/charcount.c | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tests/charcount.c b/tests/charcount.c index f8b3641b27..6a3d2744d2 100644 --- a/tests/charcount.c +++ b/tests/charcount.c @@ -1,6 +1,6 @@ #include "rocc.h" -char string[64] = "The quick brown fox jumped over the lazy dog"; +char string[64] __attribute__ ((aligned (64))) = "The quick brown fox jumped over the lazy dog"; static inline unsigned long count_chars(char *start, char needle) { From b152bbd0d4a1b092717762e281310fe9603eb4c3 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Mon, 12 Apr 2021 11:02:23 -0700 Subject: [PATCH 136/225] Update grammer issues a bit --- docs/Prototyping/VCU118.rst | 15 ++++++++------- 1 file changed, 8 insertions(+), 7 deletions(-) diff --git a/docs/Prototyping/VCU118.rst b/docs/Prototyping/VCU118.rst index ee343d2d1d..1fb4fcf95d 100644 --- a/docs/Prototyping/VCU118.rst +++ b/docs/Prototyping/VCU118.rst @@ -69,12 +69,12 @@ The following steps describe how to build and run buildroot Linux on the prototy Building Linux with FireMarshal ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -Since the prototype does not have a block device we build Linux with the rootfs built into the binary (otherwise known as "initramfs" or "nodisk" version of Linux). +Since the prototype does not have a block device, we build Linux with the rootfs built into the binary (otherwise known as "initramfs" or "nodisk" version of Linux). To make building this type of Linux binary easy, we will use the FireMarshal platform (see :ref:`fire-marshal` for more information). 1. Setup FireMarshal (see :ref:`fire-marshal` on the initial setup). -2. By default FireMarshal is setup to work with FireSim. - Instead we want to target the prototype platform. +2. By default, FireMarshal is setup to work with FireSim. + Instead, we want to target the prototype platform. This is done by switching the FireMarshal "board" from "firechip" to "prototype" using ``marshal-config.yaml``: .. code-block:: shell @@ -83,8 +83,8 @@ To make building this type of Linux binary easy, we will use the FireMarshal pla .. Note:: Refer to the FireMarshal docs on more ways to set the board differently through environment variables and more. -3. Next build the workload (a.k.a buildroot Linux) with nodisk with FireMarshal. - For the rest of these steps we will assume you are using the base ``br-base.json`` workload. +3. Next, build the workload (a.k.a buildroot Linux) with nodisk with FireMarshal. + For the rest of these steps, we will assume you are using the base ``br-base.json`` workload. This workload has basic support for GPIO and SPI drivers but you can build off it in different workloads (refer to FireMarshal docs on workload inheritance). .. code-block:: shell @@ -92,7 +92,7 @@ To make building this type of Linux binary easy, we will use the FireMarshal pla ./marshal -v -d build br-base.json # here the -d indicates --nodisk or initramfs .. Note:: Using the "board" FireMarshal functionality allows any child workload depending on ``br-base.json`` to use the "prototype" ``br-base.json`` rather than the FireChip version. - Thus you can re-use existing workloads that depend on ``br-base.json`` on the prototype platform by just changing the "board"! + Thus, you can re-use existing workloads that depend on ``br-base.json`` on the prototype platform by just changing the "board"! 4. The last step to generate the proper binary is to flatten it. This is done by using FireMarshal's install feature and will produce a ``*-flat`` binary in the ``$PATH_TO_FIREMARSHAL/images`` directory (in our case ``br-base-bin-nodisk-flat``). @@ -120,7 +120,8 @@ Additionally, these instructions assume you are using Linux with ``sudo`` privil Change the default partition alignment to `1` so you can write to sector `34`. Do this with the `l` command. -3. Create the new GPT with `o`. Click yes on all the prompts. +3. Create the new GPT with `o`. + Click yes on all the prompts. 4. Create a 512MiB partition to store the Linux binary (this can be smaller but it must be larger than the size of the Linux binary). Use `n` and select sector 34, with size `+1048576` (corresponding to 512MiB). From 9cee20ecc10105010f2cf1684aa815262da4245f Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Tue, 13 Apr 2021 22:23:03 -0700 Subject: [PATCH 137/225] Address review comments --- docs/Prototyping/VCU118.rst | 15 ++++++++------- 1 file changed, 8 insertions(+), 7 deletions(-) diff --git a/docs/Prototyping/VCU118.rst b/docs/Prototyping/VCU118.rst index 1fb4fcf95d..6cfa9f3269 100644 --- a/docs/Prototyping/VCU118.rst +++ b/docs/Prototyping/VCU118.rst @@ -47,8 +47,8 @@ After the harness is created, the ``BundleBridgeSource``'s must be connected to This is done with harness binders and io binders (see ``fpga/src/main/scala/vcu118/HarnessBinders.scala`` and ``fpga/src/main/scala/vcu118/IOBinders.scala``). For more information on harness binders and io binders, refer to :ref:`Customization/IOBinders:IOBinders and HarnessBinders`. -Introduction to the Bringup Platform ------------------------------------- +Introduction to the Bringup Design +---------------------------------- An example of a more complicated design used for Chipyard test chips can be viewed in ``fpga/src/main/scala/vcu118/bringup/``. This example extends the default test harness and creates new ``Overlays`` to connect to a DUT (connected to the FMC port). @@ -59,8 +59,8 @@ The TSI Host Widget is used to interact with the DUT from the prototype over a S For example, ``make SUB_PROJECT=vcu118 CONFIG=MyNewVCU118Config CONFIG_PACKAGE=this.is.my.scala.package bitstream``. See :ref:`Prototyping/General:Generating a Bitstream` for information on the various make variables. -Running Linux with Basic and Bringup Platforms ----------------------------------------------- +Running Linux on VCU118 Designs +------------------------------- As mentioned above, the default VCU118 harness is setup with a UART and a SPI SDCard. These are utilized to both interact with the DUT (with the UART) and load in Linux (with the SDCard). @@ -69,7 +69,7 @@ The following steps describe how to build and run buildroot Linux on the prototy Building Linux with FireMarshal ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -Since the prototype does not have a block device, we build Linux with the rootfs built into the binary (otherwise known as "initramfs" or "nodisk" version of Linux). +Since the prototype currently does not have a block device setup for it, we build Linux with the rootfs built into the binary (otherwise known as "initramfs" or "nodisk" version of Linux). To make building this type of Linux binary easy, we will use the FireMarshal platform (see :ref:`fire-marshal` for more information). 1. Setup FireMarshal (see :ref:`fire-marshal` on the initial setup). @@ -79,13 +79,14 @@ To make building this type of Linux binary easy, we will use the FireMarshal pla .. code-block:: shell + # this assumes you do not have a `marshal-config.yaml` file already setup echo "board-dir : 'boards/prototype'" > $PATH_TO_FIREMARSHAL/marshal-config.yaml .. Note:: Refer to the FireMarshal docs on more ways to set the board differently through environment variables and more. 3. Next, build the workload (a.k.a buildroot Linux) with nodisk with FireMarshal. For the rest of these steps, we will assume you are using the base ``br-base.json`` workload. - This workload has basic support for GPIO and SPI drivers but you can build off it in different workloads (refer to FireMarshal docs on workload inheritance). + This workload has basic support for GPIO and SPI drivers (in addition to the default UART driver) but you can build off it in different workloads (refer to FireMarshal docs on workload inheritance). .. code-block:: shell @@ -105,7 +106,7 @@ Setting up the SDCard ~~~~~~~~~~~~~~~~~~~~~ These instructions assume that you have a spare uSDCard that can be loaded with Linux and other files using two partitions. -The 1st partition will be used to store the Linux binary (created with FireMarshal or other means) while the 2nd partition will be used to store miscellaneous files. +The 1st partition will be used to store the Linux binary (created with FireMarshal or other means) while the 2nd partition will store a file system that can be accessed from the DUT. Additionally, these instructions assume you are using Linux with ``sudo`` privileges and ``gdisk`` but you can follow a similar set of steps on Mac (using ``gpt`` or another similar program). 1. Wipe the GPT on the card using ``gdisk``. From 1dd2698e112557115762b15c12444f322f2cab64 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Tue, 13 Apr 2021 22:30:35 -0700 Subject: [PATCH 138/225] Update ref in chip communication docs. --- docs/Advanced-Concepts/Chip-Communication.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/Advanced-Concepts/Chip-Communication.rst b/docs/Advanced-Concepts/Chip-Communication.rst index 6e8d2c0e5e..b63a38855b 100644 --- a/docs/Advanced-Concepts/Chip-Communication.rst +++ b/docs/Advanced-Concepts/Chip-Communication.rst @@ -222,4 +222,4 @@ The following image shows this flow: .. image:: ../_static/images/chip-bringup.png In fact, this exact type of bringup setup is what the following section discusses: -:ref:`Prototyping/VCU118:Introduction to the Bringup Platform`. +:ref:`Prototyping/VCU118:Introduction to the Bringup Design`. From 325f65e4dfcad7be0e9ae80e31d3140caee4563e Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Wed, 14 Apr 2021 11:21:33 -0700 Subject: [PATCH 139/225] Apply suggestions from code review Co-authored-by: alonamid --- docs/Prototyping/VCU118.rst | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/docs/Prototyping/VCU118.rst b/docs/Prototyping/VCU118.rst index 6cfa9f3269..9cdedc37b6 100644 --- a/docs/Prototyping/VCU118.rst +++ b/docs/Prototyping/VCU118.rst @@ -84,7 +84,7 @@ To make building this type of Linux binary easy, we will use the FireMarshal pla .. Note:: Refer to the FireMarshal docs on more ways to set the board differently through environment variables and more. -3. Next, build the workload (a.k.a buildroot Linux) with nodisk with FireMarshal. +3. Next, build the workload (a.k.a buildroot Linux) in FireMarshal with the ``nodisk`` option flag. For the rest of these steps, we will assume you are using the base ``br-base.json`` workload. This workload has basic support for GPIO and SPI drivers (in addition to the default UART driver) but you can build off it in different workloads (refer to FireMarshal docs on workload inheritance). @@ -92,7 +92,7 @@ To make building this type of Linux binary easy, we will use the FireMarshal pla ./marshal -v -d build br-base.json # here the -d indicates --nodisk or initramfs -.. Note:: Using the "board" FireMarshal functionality allows any child workload depending on ``br-base.json`` to use the "prototype" ``br-base.json`` rather than the FireChip version. +.. Note:: Using the "board" FireMarshal functionality allows any child workload depending on the ``br-base.json`` workload specification to target a "prototype" platform rather than FireChip platform. Thus, you can re-use existing workloads that depend on ``br-base.json`` on the prototype platform by just changing the "board"! 4. The last step to generate the proper binary is to flatten it. @@ -107,7 +107,7 @@ Setting up the SDCard These instructions assume that you have a spare uSDCard that can be loaded with Linux and other files using two partitions. The 1st partition will be used to store the Linux binary (created with FireMarshal or other means) while the 2nd partition will store a file system that can be accessed from the DUT. -Additionally, these instructions assume you are using Linux with ``sudo`` privileges and ``gdisk`` but you can follow a similar set of steps on Mac (using ``gpt`` or another similar program). +Additionally, these instructions assume you are using Linux with ``sudo`` privileges and ``gdisk``, but you can follow a similar set of steps on Mac (using ``gpt`` or another similar program). 1. Wipe the GPT on the card using ``gdisk``. Use the `z` command to zap everything. @@ -126,11 +126,11 @@ Additionally, these instructions assume you are using Linux with ``sudo`` privil 4. Create a 512MiB partition to store the Linux binary (this can be smaller but it must be larger than the size of the Linux binary). Use `n` and select sector 34, with size `+1048576` (corresponding to 512MiB). - For the type search for the `apfs` type and use the hex number given. + For the type, search for the `apfs` type and use the hex number given. 5. Create a second partition to store any other files with the rest of the SDCard. Use `n` and use the defaults for starting sector and overall size (expand the 2nd partition to the rest of the SDCard space). - For the type search for the `hfs` and use the hex number given. + For the type, search for the `hfs` and use the hex number given. 6. Write the changes using `w`. @@ -162,4 +162,4 @@ To interact with Linux via the UART console, you can connect to the serial port screen -S FPGA_UART_CONSOLE /dev/ttyUSB1 115200 -Once connected you should see the binary being loaded as well as Linux output (in some cases you might need to reset the DUT). +Once connected, you should see the binary being loaded as well as Linux output (in some cases you might need to reset the DUT). From 39c37563664255e7c27253adb0674e409ca420e8 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Wed, 14 Apr 2021 11:24:19 -0700 Subject: [PATCH 140/225] Update VCU118.rst --- docs/Prototyping/VCU118.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/Prototyping/VCU118.rst b/docs/Prototyping/VCU118.rst index 9cdedc37b6..ece3ac821e 100644 --- a/docs/Prototyping/VCU118.rst +++ b/docs/Prototyping/VCU118.rst @@ -96,7 +96,7 @@ To make building this type of Linux binary easy, we will use the FireMarshal pla Thus, you can re-use existing workloads that depend on ``br-base.json`` on the prototype platform by just changing the "board"! 4. The last step to generate the proper binary is to flatten it. - This is done by using FireMarshal's install feature and will produce a ``*-flat`` binary in the ``$PATH_TO_FIREMARSHAL/images`` directory (in our case ``br-base-bin-nodisk-flat``). + This is done by using FireMarshal's ``install`` feature which will produce a ``*-flat`` binary in the ``$PATH_TO_FIREMARSHAL/images`` directory (in our case ``br-base-bin-nodisk-flat``) from the previously built Linux binary (``br-base-bin-nodisk``). .. code-block:: shell From 53daab2164b32cd4839898ec575b694b188c6934 Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Wed, 14 Apr 2021 16:35:34 -0700 Subject: [PATCH 141/225] Test removing xhwacha --- toolchains/esp-tools/riscv-tests | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/toolchains/esp-tools/riscv-tests b/toolchains/esp-tools/riscv-tests index 39d57cd262..5374d52bf9 160000 --- a/toolchains/esp-tools/riscv-tests +++ b/toolchains/esp-tools/riscv-tests @@ -1 +1 @@ -Subproject commit 39d57cd2624a7e4b4fd5fdc69a7f4cc318196b5f +Subproject commit 5374d52bf9207516ea6fc5ea383af60b07653985 From 87a10643660a048d13a2d8fa5f7faa62c039dfad Mon Sep 17 00:00:00 2001 From: Harrison Liew Date: Thu, 15 Apr 2021 09:36:07 -0700 Subject: [PATCH 142/225] add timing annotated targets for post-syn sim + docs update --- docs/VLSI/Advanced-Usage.rst | 28 +++++++++++++++++++++++++--- docs/VLSI/Tutorial.rst | 4 ++-- vlsi/sim.mk | 4 ++++ 3 files changed, 31 insertions(+), 5 deletions(-) diff --git a/docs/VLSI/Advanced-Usage.rst b/docs/VLSI/Advanced-Usage.rst index b78eda54fe..2e2961f579 100644 --- a/docs/VLSI/Advanced-Usage.rst +++ b/docs/VLSI/Advanced-Usage.rst @@ -49,6 +49,28 @@ Say you need to update some power straps settings in ``example.yml`` and want to make redo-par HAMMER_REDO_ARGS='-p example.yml --only_step power_straps' -RTL and Gate-level Simulation ------------------------------ -With the Synopsys plugin, RTL and gate-level simulation is supported using VCS. While this example does not implement any simulation, refer to Hammer's documentation for how to set it up for your design. +RTL/Gate-level Simulation, Power Estimation +------------------------------------------- +With the Synopsys plugin, RTL and gate-level simulation is supported using VCS at the chip-level. Also, post-par power estimation with Voltus in the Cadence plugin is also supported. While the provided example does not implement any simulation, some Make targets are provided in the ``vlsi/`` directory. Here is a brief description: + +* ``sim-rtl``: RTL-level simulation + + * ``sim-rtl-debug``: Also write a VPD waveform + +* ``sim-syn``: Post-synthesis gate-level simulation + + * ``sim-syn-debug``: Also write a VPD waveform + * ``sim-syn-timing-debug``: Timing-annotated with VPD waveform + +* ``sim-par``: Post-par gate-level simulation + + * ``sim-par-debug``: Also write a VPD waveform + * ``sim-par-timing-debug``: Timing-annotated with VPD waveform + +* ``power-par``: Post-par power estimation + + * Note: this will run ``sim-par`` first + +* ``redo-`` can be appended to all above targets to break dependency tracking, like described above. + +The simulation configuration (e.g. binaries) can be edited for your design. See the Makefile and refer to Hammer's documentation for how to set up simulation parameters for your design. diff --git a/docs/VLSI/Tutorial.rst b/docs/VLSI/Tutorial.rst index 27e3a039a0..5a227d52f1 100644 --- a/docs/VLSI/Tutorial.rst +++ b/docs/VLSI/Tutorial.rst @@ -50,11 +50,11 @@ Prerequisites ------------- * Python 3.4+ -* numpy and gdspy packages +* numpy and gdspy packages. gdspy must be version 1.4. * Genus, Innovus, and Calibre licenses * For ASAP7 specifically: - * Download the `ASAP7 PDK `__ tarball to a directory of choice but do not extract it. The tech plugin is configured to extract the PDK into a cache directory for you. + * Download the `ASAP7 PDK v1p5 `__ tarball to a directory of choice but do not extract it. The tech plugin is configured to extract the PDK into a cache directory for you. * If you have additional ASAP7 hard macros, their LEF & GDS need to be 4x upscaled @ 4000 DBU precision. They may live outside ``extra_libraries`` at your discretion. * Innovus version must be >= 15.2 or <= 18.1 (ISRs excluded). diff --git a/vlsi/sim.mk b/vlsi/sim.mk index 6de46a9026..e397e431e4 100644 --- a/vlsi/sim.mk +++ b/vlsi/sim.mk @@ -11,6 +11,8 @@ redo-sim-syn: override HAMMER_EXTRA_ARGS += -p $(SIM_CONF) redo-sim-syn: override HAMMER_SIM_RUN_DIR = sim-syn-rundir redo-sim-syn-debug: $(SIM_DEBUG_CONF) redo-sim-syn redo-sim-syn-debug: override HAMMER_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) +redo-sim-syn-timing-debug: $(SIM_TIMING_CONF) redo-sim-par-debug +redo-sim-syn-timing-debug: override HAMMER_EXTRA_ARGS += -p $(SIM_TIMING_CONF) redo-sim-par: $(SIM_CONF) redo-sim-par: override HAMMER_EXTRA_ARGS += -p $(SIM_CONF) @@ -32,6 +34,8 @@ sim-syn: override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_CONF) sim-syn: override HAMMER_SIM_RUN_DIR = sim-syn-rundir sim-syn-debug: $(SIM_DEBUG_CONF) sim-syn sim-syn-debug: override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) +sim-syn-timing-debug: $(SIM_TIMING_CONF) sim-syn-debug +sim-syn-timing-debug: override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_TIMING_CONF) $(OBJ_DIR)/sim-syn-rundir/sim-output-full.json: private override HAMMER_EXTRA_ARGS += $(HAMMER_SIM_EXTRA_ARGS) sim-par: $(SIM_CONF) From 840eb9fdebf34664bd1bf098390151c1ada52482 Mon Sep 17 00:00:00 2001 From: Harrison Liew Date: Thu, 15 Apr 2021 10:00:14 -0700 Subject: [PATCH 143/225] par -> syn typo --- vlsi/sim.mk | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vlsi/sim.mk b/vlsi/sim.mk index e397e431e4..92225737c4 100644 --- a/vlsi/sim.mk +++ b/vlsi/sim.mk @@ -11,7 +11,7 @@ redo-sim-syn: override HAMMER_EXTRA_ARGS += -p $(SIM_CONF) redo-sim-syn: override HAMMER_SIM_RUN_DIR = sim-syn-rundir redo-sim-syn-debug: $(SIM_DEBUG_CONF) redo-sim-syn redo-sim-syn-debug: override HAMMER_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) -redo-sim-syn-timing-debug: $(SIM_TIMING_CONF) redo-sim-par-debug +redo-sim-syn-timing-debug: $(SIM_TIMING_CONF) redo-sim-syn-debug redo-sim-syn-timing-debug: override HAMMER_EXTRA_ARGS += -p $(SIM_TIMING_CONF) redo-sim-par: $(SIM_CONF) From 27d9228bea2bca250a5f0c5b515264220b844ead Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Thu, 15 Apr 2021 12:13:49 -0700 Subject: [PATCH 144/225] Manually apply hwacha changes to binutils --- toolchains/esp-tools/riscv-gnu-toolchain | 2 +- toolchains/esp-tools/riscv-tests | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/toolchains/esp-tools/riscv-gnu-toolchain b/toolchains/esp-tools/riscv-gnu-toolchain index 0ff3683edf..0409defbcd 160000 --- a/toolchains/esp-tools/riscv-gnu-toolchain +++ b/toolchains/esp-tools/riscv-gnu-toolchain @@ -1 +1 @@ -Subproject commit 0ff3683edfc7e49521d65fe4789f4dbb1cb9c8ac +Subproject commit 0409defbcdedffbebd1aac5ea700988999dca71d diff --git a/toolchains/esp-tools/riscv-tests b/toolchains/esp-tools/riscv-tests index 5374d52bf9..0ee4ae34bf 160000 --- a/toolchains/esp-tools/riscv-tests +++ b/toolchains/esp-tools/riscv-tests @@ -1 +1 @@ -Subproject commit 5374d52bf9207516ea6fc5ea383af60b07653985 +Subproject commit 0ee4ae34bf23475ca879cefb39b9f078d0362c12 From b77afa34d6dbad4649ab14b99b74f8831a7fbac4 Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Thu, 15 Apr 2021 15:39:59 -0700 Subject: [PATCH 145/225] change asm instruction format --- toolchains/esp-tools/riscv-gnu-toolchain | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/toolchains/esp-tools/riscv-gnu-toolchain b/toolchains/esp-tools/riscv-gnu-toolchain index 0409defbcd..286b5bcfed 160000 --- a/toolchains/esp-tools/riscv-gnu-toolchain +++ b/toolchains/esp-tools/riscv-gnu-toolchain @@ -1 +1 @@ -Subproject commit 0409defbcdedffbebd1aac5ea700988999dca71d +Subproject commit 286b5bcfed988a7636b1cbdb22d3ab09bf5def4d From fd0ba3f315ee034455812973fa4b6212827aa8ed Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Sat, 17 Apr 2021 01:27:07 -0700 Subject: [PATCH 146/225] Synchronize JTAG reset to JTAG.TCK. --- generators/chipyard/src/main/scala/IOBinders.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/chipyard/src/main/scala/IOBinders.scala b/generators/chipyard/src/main/scala/IOBinders.scala index dd74e960f8..501fb8df6b 100644 --- a/generators/chipyard/src/main/scala/IOBinders.scala +++ b/generators/chipyard/src/main/scala/IOBinders.scala @@ -231,7 +231,7 @@ class WithDebugIOCells extends OverrideLazyIOBinder({ d.disableDebug.foreach { d => d := false.B } // Drive JTAG on-chip IOs d.systemjtag.map { j => - j.reset := clockBundle.reset + j.reset := ResetCatchAndSync(j.jtag.TCK, clockBundle.reset.toBool) j.mfr_id := p(JtagDTMKey).idcodeManufId.U(11.W) j.part_number := p(JtagDTMKey).idcodePartNum.U(16.W) j.version := p(JtagDTMKey).idcodeVersion.U(4.W) From c046af0de2768daa9f8a6aeb625477056f846826 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Vladimir=20Milovanovi=C4=87?= Date: Sun, 18 Apr 2021 17:43:10 +0200 Subject: [PATCH 147/225] Bump tests for scalatest version change. --- .../src/test/scala/clocking/SimplePllConfigurationSpec.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/chipyard/src/test/scala/clocking/SimplePllConfigurationSpec.scala b/generators/chipyard/src/test/scala/clocking/SimplePllConfigurationSpec.scala index 0abe7c5092..d80aafc160 100644 --- a/generators/chipyard/src/test/scala/clocking/SimplePllConfigurationSpec.scala +++ b/generators/chipyard/src/test/scala/clocking/SimplePllConfigurationSpec.scala @@ -3,7 +3,7 @@ package chipyard.clocking import freechips.rocketchip.prci._ -class SimplePllConfigurationSpec extends org.scalatest.FlatSpec { +class SimplePllConfigurationSpec extends org.scalatest.flatspec.AnyFlatSpec { def genConf(freqMHz: Iterable[Double]): SimplePllConfiguration = new SimplePllConfiguration( "testPLL", From 70afebae9f17cbd6c05645623128aad6b5e39de5 Mon Sep 17 00:00:00 2001 From: Chick Markley Date: Mon, 19 Apr 2021 14:57:34 -0700 Subject: [PATCH 148/225] Runs `make clean` after `make-install` to reduce used disk (#855) * Runs `make clean` after `make-install` to reduce used disk Make install leaves many .o and other files Fixes problems with disk space on github actions runner * Create a flag --clean-after-install that can be passed as the second argument to `make_build` function. * Create a flag --clean-after-install that can be passed as the second argument to `make_build` function. Ups tools-cache-version to v7 * Now running clean after install just depends on adding an environment variable when using scripts that call `module_make` or `module_build`. Those two bash functions will check CLEANAFTERINSTALL and if it is non-empty, they will call `make clean` after other `make` calls. * build-toolchains.sh gets new flag --clean-after-install to turn enable `make clean` in `module_make` and `module_run` --- .circleci/config.yml | 2 +- scripts/build-toolchains.sh | 20 ++++++++++++-------- scripts/build-util.sh | 6 ++++++ 3 files changed, 19 insertions(+), 9 deletions(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index bdd9044336..805611eb26 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -6,7 +6,7 @@ version: 2.1 parameters: tools-cache-version: type: string - default: "v6" + default: "v7" # default execution env.s executors: diff --git a/scripts/build-toolchains.sh b/scripts/build-toolchains.sh index ec2920a2d8..f4d208492a 100755 --- a/scripts/build-toolchains.sh +++ b/scripts/build-toolchains.sh @@ -18,11 +18,12 @@ usage() { echo " ec2fast: if set, pulls in a pre-compiled RISC-V toolchain for an EC2 manager instance" echo "" echo "Options" - echo " --prefix PREFIX : Install destination. If unset, defaults to $(pwd)/riscv-tools-install" - echo " or $(pwd)/esp-tools-install" - echo " --ignore-qemu : Ignore installing QEMU" - echo " --arch -a : Architecture (e.g., rv64gc)" - echo " --help -h : Display this message" + echo " --prefix PREFIX : Install destination. If unset, defaults to $(pwd)/riscv-tools-install" + echo " or $(pwd)/esp-tools-install" + echo " --ignore-qemu : Ignore installing QEMU" + echo " --clean-after-install : Run make clean in calls to module_make and module_build" + echo " --arch -a : Architecture (e.g., rv64gc)" + echo " --help -h : Display this message" exit "$1" } @@ -37,6 +38,7 @@ die() { TOOLCHAIN="riscv-tools" EC2FASTINSTALL="false" IGNOREQEMU="" +CLEANAFTERINSTALL="" RISCV="" ARCH="" @@ -51,9 +53,11 @@ do RISCV=$(realpath $1) ;; --ignore-qemu ) IGNOREQEMU="true" ;; - -a | --arch ) - shift - ARCH=$1 ;; + -a | --arch ) + shift + ARCH=$1 ;; + --clean-after-install ) + CLEANAFTERINSTALL="true" ;; riscv-tools | esp-tools) TOOLCHAIN=$1 ;; ec2fast ) diff --git a/scripts/build-util.sh b/scripts/build-util.sh index 892b274d08..1af11aeec1 100644 --- a/scripts/build-util.sh +++ b/scripts/build-util.sh @@ -49,6 +49,9 @@ module_make() ( # cd "${SRCDIR}/${1}/build" shift "${MAKE}" "$@" | tee "build-${1:-make}.log" + if [ -n "$CLEANAFTERINSTALL" ] ; then + "${MAKE}" clean # get rid of intermediate files + fi ) module_build() ( # [configure-arg..] @@ -81,6 +84,9 @@ module_build() ( # [configure-arg..] "${MAKE}" echo "==> Installing ${name}" "${MAKE}" install + if [ -n "$CLEANAFTERINSTALL" ] ; then + "${MAKE}" clean # get rid of intermediate files + fi } 2>&1 | tee build.log ) From 4901e2d257bfd2762c122408b6511e8f2f8b7950 Mon Sep 17 00:00:00 2001 From: Jiuyang Liu Date: Tue, 20 Apr 2021 02:54:29 +0000 Subject: [PATCH 149/225] remove toBool to asBool. --- generators/chipyard/src/main/scala/IOBinders.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/chipyard/src/main/scala/IOBinders.scala b/generators/chipyard/src/main/scala/IOBinders.scala index 501fb8df6b..448d4b729d 100644 --- a/generators/chipyard/src/main/scala/IOBinders.scala +++ b/generators/chipyard/src/main/scala/IOBinders.scala @@ -231,7 +231,7 @@ class WithDebugIOCells extends OverrideLazyIOBinder({ d.disableDebug.foreach { d => d := false.B } // Drive JTAG on-chip IOs d.systemjtag.map { j => - j.reset := ResetCatchAndSync(j.jtag.TCK, clockBundle.reset.toBool) + j.reset := ResetCatchAndSync(j.jtag.TCK, clockBundle.reset.asBool) j.mfr_id := p(JtagDTMKey).idcodeManufId.U(11.W) j.part_number := p(JtagDTMKey).idcodePartNum.U(16.W) j.version := p(JtagDTMKey).idcodeVersion.U(4.W) From d14513cd64ce740eb6074d5f82c9f92dcbf2a400 Mon Sep 17 00:00:00 2001 From: alonamid Date: Wed, 21 Apr 2021 15:29:17 -0700 Subject: [PATCH 150/225] Docker Images Comment in Docs --- docs/Chipyard-Basics/Initial-Repo-Setup.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/Chipyard-Basics/Initial-Repo-Setup.rst b/docs/Chipyard-Basics/Initial-Repo-Setup.rst index a3de22b880..180c21f6aa 100644 --- a/docs/Chipyard-Basics/Initial-Repo-Setup.rst +++ b/docs/Chipyard-Basics/Initial-Repo-Setup.rst @@ -16,7 +16,7 @@ In CentOS-based platforms, we recommend installing the following dependencies: .. include:: /../scripts/centos-req.sh :code: bash -In Ubuntu/Debian-based platforms (Ubuntu), we recommend installing the following dependencies: +In Ubuntu/Debian-based platforms (Ubuntu), we recommend installing the following dependencies. These dependancies were written based on Ubuntu 16.04 LTS and 18.04 LTS - If they don't work for you, you can try out the Docker image (:ref:`Pre-built Docker Image`) before manually installing or removing dependancies: .. include:: /../scripts/ubuntu-req.sh :code: bash From 7d32e6c0b202ddb031eec1c4d56ef37456e7876e Mon Sep 17 00:00:00 2001 From: Tynan McAuley Date: Thu, 22 Apr 2021 15:27:05 -0700 Subject: [PATCH 151/225] make: Use 'fd' over 'find' if possible --- common.mk | 7 ++++++- 1 file changed, 6 insertions(+), 1 deletion(-) diff --git a/common.mk b/common.mk index 00fdae8e41..89e998bdaf 100644 --- a/common.mk +++ b/common.mk @@ -59,7 +59,12 @@ include $(base_dir)/tools/dromajo/dromajo.mk # Prerequisite lists ######################################################################################### # Returns a list of files in directory $1 with file extension $2. -lookup_srcs = $(shell find -L $(1)/ -name target -prune -o -iname "*.$(2)" -print 2> /dev/null) +# If available, use 'fd' to find the list of files, which is faster than 'find'. +ifeq ($(shell which fd),) + lookup_srcs = $(shell find -L $(1)/ -name target -prune -o -iname "*.$(2)" -print 2> /dev/null) +else + lookup_srcs = $(shell fd -L ".*\.$(2)" $(1)) +endif SOURCE_DIRS = $(addprefix $(base_dir)/,generators sims/firesim/sim tools/barstools/iocell fpga/fpga-shells fpga/src) SCALA_SOURCES = $(call lookup_srcs,$(SOURCE_DIRS),scala) From f08f88aac0cdf8b6396a2a65f404a7f34f027949 Mon Sep 17 00:00:00 2001 From: Karl Hallsby Date: Mon, 26 Apr 2021 12:11:47 -0500 Subject: [PATCH 152/225] Update sbt installation Bintray has gone down. SBT's website now provides these as install commands. --- scripts/centos-req.sh | 8 +++++++- scripts/ubuntu-req.sh | 4 ++-- 2 files changed, 9 insertions(+), 3 deletions(-) diff --git a/scripts/centos-req.sh b/scripts/centos-req.sh index 89e8644c36..c7107dbc77 100755 --- a/scripts/centos-req.sh +++ b/scripts/centos-req.sh @@ -4,7 +4,13 @@ set -ex sudo yum groupinstall -y "Development tools" sudo yum install -y gmp-devel mpfr-devel libmpc-devel zlib-devel vim git java java-devel -curl https://bintray.com/sbt/rpm/rpm | sudo tee /etc/yum.repos.d/bintray-sbt-rpm.repo + +# Install SBT https://www.scala-sbt.org/release/docs/Installing-sbt-on-Linux.html#Red+Hat+Enterprise+Linux+and+other+RPM-based+distributions +# sudo rm -f /etc/yum.repos.d/bintray-rpm.repo +# Use rm above if sbt installed from bintray before. +curl -L https://www.scala-sbt.org/sbt-rpm.repo > sbt-rpm.repo +sudo mv sbt-rpm.repo /etc/yum.repos.d/ + sudo yum install -y sbt texinfo gengetopt sudo yum install -y expat-devel libusb1-devel ncurses-devel cmake "perl(ExtUtils::MakeMaker)" # deps for poky diff --git a/scripts/ubuntu-req.sh b/scripts/ubuntu-req.sh index 3bc22c7395..cb74691e35 100755 --- a/scripts/ubuntu-req.sh +++ b/scripts/ubuntu-req.sh @@ -4,8 +4,8 @@ set -ex sudo apt-get install -y build-essential bison flex sudo apt-get install -y libgmp-dev libmpfr-dev libmpc-dev zlib1g-dev vim git default-jdk default-jre -# install sbt: https://www.scala-sbt.org/release/docs/Installing-sbt-on-Linux.html -echo "deb https://dl.bintray.com/sbt/debian /" | sudo tee -a /etc/apt/sources.list.d/sbt.list +# install sbt: https://www.scala-sbt.org/release/docs/Installing-sbt-on-Linux.html#Ubuntu+and+other+Debian-based+distributions +echo "deb https://repo.scala-sbt.org/scalasbt/debian /" | sudo tee -a /etc/apt/sources.list.d/sbt.list curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add sudo apt-get update sudo apt-get install -y sbt From 7228a7f9b45e93b9f293d8dbac5b2f51d432422e Mon Sep 17 00:00:00 2001 From: Karl Hallsby Date: Mon, 26 Apr 2021 12:45:55 -0500 Subject: [PATCH 153/225] Add missing dependency for building verilator on Ubuntu --- scripts/ubuntu-req.sh | 1 + 1 file changed, 1 insertion(+) diff --git a/scripts/ubuntu-req.sh b/scripts/ubuntu-req.sh index cb74691e35..cbb5230f18 100755 --- a/scripts/ubuntu-req.sh +++ b/scripts/ubuntu-req.sh @@ -21,6 +21,7 @@ sudo apt-get install -y python3-pip python3.6-dev rsync libguestfs-tools expat c sudo apt-get install -y device-tree-compiler # install verilator +sudo apt-get install -y autoconf git clone http://git.veripool.org/git/verilator cd verilator git checkout v4.034 From 25f85ffa0e82ceb7b2aab7dc0c186e2dedcfb464 Mon Sep 17 00:00:00 2001 From: Karl Hallsby Date: Mon, 26 Apr 2021 13:50:33 -0500 Subject: [PATCH 154/225] Bump installed versions of python to 3.8 Ubuntu 20.04 LTS (the most recent LTS release) stopped shipping Python 3.6 in their default repositories. Upping it to Python 3.8 does not seem to have broken the design/elaboration process. I tested re-building Chipyard and all its dependencies using this new version, and everything appears to have remained the same. --- scripts/centos-req.sh | 4 ++-- scripts/ubuntu-req.sh | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/scripts/centos-req.sh b/scripts/centos-req.sh index 89e8644c36..a52aea6315 100755 --- a/scripts/centos-req.sh +++ b/scripts/centos-req.sh @@ -8,11 +8,11 @@ curl https://bintray.com/sbt/rpm/rpm | sudo tee /etc/yum.repos.d/bintray-sbt-rpm sudo yum install -y sbt texinfo gengetopt sudo yum install -y expat-devel libusb1-devel ncurses-devel cmake "perl(ExtUtils::MakeMaker)" # deps for poky -sudo yum install -y python36 patch diffstat texi2html texinfo subversion chrpath git wget +sudo yum install -y python38 patch diffstat texi2html texinfo subversion chrpath git wget # deps for qemu sudo yum install -y gtk3-devel # deps for firemarshal -sudo yum install -y python36-pip python36-devel rsync libguestfs-tools makeinfo expat ctags +sudo yum install -y python38-pip python38-devel rsync libguestfs-tools makeinfo expat ctags # Install GNU make 4.x (needed to cross-compile glibc 2.28+) sudo yum install -y centos-release-scl sudo yum install -y devtoolset-8-make diff --git a/scripts/ubuntu-req.sh b/scripts/ubuntu-req.sh index 3bc22c7395..fc149efc09 100755 --- a/scripts/ubuntu-req.sh +++ b/scripts/ubuntu-req.sh @@ -12,11 +12,11 @@ sudo apt-get install -y sbt sudo apt-get install -y texinfo gengetopt sudo apt-get install -y libexpat1-dev libusb-dev libncurses5-dev cmake # deps for poky -sudo apt-get install -y python3.6 patch diffstat texi2html texinfo subversion chrpath git wget +sudo apt-get install -y python3.8 patch diffstat texi2html texinfo subversion chrpath git wget # deps for qemu sudo apt-get install -y libgtk-3-dev gettext # deps for firemarshal -sudo apt-get install -y python3-pip python3.6-dev rsync libguestfs-tools expat ctags +sudo apt-get install -y python3-pip python3.8-dev rsync libguestfs-tools expat ctags # install DTC sudo apt-get install -y device-tree-compiler From 67a29f56ab3f4dbea08dcf679f7a57a34fd91555 Mon Sep 17 00:00:00 2001 From: Karl Hallsby Date: Mon, 26 Apr 2021 13:51:59 -0500 Subject: [PATCH 155/225] Use nproc to determine number of cores in CentOS verilator build --- scripts/centos-req.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/scripts/centos-req.sh b/scripts/centos-req.sh index c7107dbc77..1e2557b76c 100755 --- a/scripts/centos-req.sh +++ b/scripts/centos-req.sh @@ -29,4 +29,4 @@ sudo yum install -y dtc git clone http://git.veripool.org/git/verilator cd verilator git checkout v4.034 -autoconf && ./configure && make -j16 && sudo make install +autoconf && ./configure && make -j$(nproc) && sudo make install From d5893adad605b9be9e9ad8a5460b5023f2b9ff25 Mon Sep 17 00:00:00 2001 From: Karl Hallsby Date: Mon, 26 Apr 2021 13:57:47 -0500 Subject: [PATCH 156/225] Install curl in Ubuntu requirements Ubuntu does not ship with curl by default any more. If we are to use curl to install SBT's required information, we must ensure it is available for use on Ubuntu. --- scripts/ubuntu-req.sh | 1 + 1 file changed, 1 insertion(+) diff --git a/scripts/ubuntu-req.sh b/scripts/ubuntu-req.sh index cbb5230f18..ee3a9de5ee 100755 --- a/scripts/ubuntu-req.sh +++ b/scripts/ubuntu-req.sh @@ -2,6 +2,7 @@ set -ex +sudo apt-get install -y curl sudo apt-get install -y build-essential bison flex sudo apt-get install -y libgmp-dev libmpfr-dev libmpc-dev zlib1g-dev vim git default-jdk default-jre # install sbt: https://www.scala-sbt.org/release/docs/Installing-sbt-on-Linux.html#Ubuntu+and+other+Debian-based+distributions From 7f4659eb762bbdc2d2bab17bcd3f3af95448e328 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Wed, 28 Apr 2021 15:28:36 -0700 Subject: [PATCH 157/225] Fix doc breakages | Fix spelling --- docs/Chipyard-Basics/Initial-Repo-Setup.rst | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/docs/Chipyard-Basics/Initial-Repo-Setup.rst b/docs/Chipyard-Basics/Initial-Repo-Setup.rst index 180c21f6aa..1194009ff9 100644 --- a/docs/Chipyard-Basics/Initial-Repo-Setup.rst +++ b/docs/Chipyard-Basics/Initial-Repo-Setup.rst @@ -16,7 +16,8 @@ In CentOS-based platforms, we recommend installing the following dependencies: .. include:: /../scripts/centos-req.sh :code: bash -In Ubuntu/Debian-based platforms (Ubuntu), we recommend installing the following dependencies. These dependancies were written based on Ubuntu 16.04 LTS and 18.04 LTS - If they don't work for you, you can try out the Docker image (:ref:`Pre-built Docker Image`) before manually installing or removing dependancies: +In Ubuntu/Debian-based platforms (Ubuntu), we recommend installing the following dependencies. +These dependencies were written based on Ubuntu 16.04 LTS and 18.04 LTS - If they don't work for you, you can try out the Docker image (:ref:`Chipyard-Basics/Initial-Repo-Setup:Pre-built Docker Image`) before manually installing or removing dependencies: .. include:: /../scripts/ubuntu-req.sh :code: bash From d5541dcffd8cccbd4d9336d93e0ad0d6567c48fa Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Mon, 3 May 2021 14:03:36 -0700 Subject: [PATCH 158/225] Bump CI image --- .circleci/config.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index 805611eb26..e60676a8a5 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: ucbbar/chipyard-ci-image:ab57b7d + - image: ucbbar/chipyard-ci-image:c29770 environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit From c4e2e1246d0daf9a546eae848fb2a2c5b9d4a836 Mon Sep 17 00:00:00 2001 From: Tim Snyder Date: Tue, 4 May 2021 08:06:18 -0500 Subject: [PATCH 159/225] avoid git.qemu.org (#876) git.qemu.org seems to be down or under heavy load. Latest qemu seems to have changed it's .gitmodules to use gitlab. Since we're using a github.com mirror, when cloning qemu use rewrite rules to use github instead of git.qemu.org. Also install the rewrite rules recursively into the local config of qemu and it's submodules so that any further git commands done interactively by the user will also use github. Co-authored-by: Tim Snyder --- scripts/build-toolchains.sh | 12 +++++++++++- 1 file changed, 11 insertions(+), 1 deletion(-) diff --git a/scripts/build-toolchains.sh b/scripts/build-toolchains.sh index f4d208492a..edc626966b 100755 --- a/scripts/build-toolchains.sh +++ b/scripts/build-toolchains.sh @@ -155,7 +155,17 @@ module_all riscv-tests --prefix="${RISCV}/riscv${XLEN}-unknown-elf" --with-xlen= CC= CXX= SRCDIR="$(pwd)/toolchains" module_all libgloss --prefix="${RISCV}/riscv${XLEN}-unknown-elf" --host=riscv${XLEN}-unknown-elf if [ -z "$IGNOREQEMU" ] ; then -SRCDIR="$(pwd)/toolchains" module_all qemu --prefix="${RISCV}" --target-list=riscv${XLEN}-softmmu + echo "=> Starting qemu build" + dir="$(pwd)/toolchains/qemu" + echo "==> Initializing qemu submodule" + #since we don't want to use the global config we init passing rewrite config in to the command + git -c url.https://github.com/qemu.insteadOf=https://git.qemu.org/git submodule update --init --recursive "$dir" + echo "==> Applying url-rewriting to avoid git.qemu.org" + # and once the clones exist, we recurse through them and set the rewrite + # in the local config so that any further commands by the user have the rewrite. uggh. git, why you so ugly? + git -C "$dir" config --local url.https://github.com/qemu.insteadOf https://git.qemu.org/git + git -C "$dir" submodule foreach --recursive 'git config --local url.https://github.com/qemu.insteadOf https://git.qemu.org/git' + SRCDIR="$(pwd)/toolchains" module_build qemu --prefix="${RISCV}" --target-list=riscv${XLEN}-softmmu fi # make Dromajo From 2dc47f69b88f1be721145db0513dd6891f063411 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Tue, 4 May 2021 15:34:21 -0700 Subject: [PATCH 160/225] Re-check toolchain build --- .circleci/config.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index e60676a8a5..f3dbf371fd 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -6,7 +6,7 @@ version: 2.1 parameters: tools-cache-version: type: string - default: "v7" + default: "v8" # default execution env.s executors: From d9900e56c1ba89bd9e23674bfd6608b85ab0889b Mon Sep 17 00:00:00 2001 From: Tim Snyder Date: Tue, 4 May 2021 19:14:45 -0500 Subject: [PATCH 161/225] test whether git.qemu.org rewrite still needed (#877) In https://github.com/ucb-bar/chipyard/pull/876#issuecomment-831639151 it was requested that we add a note to build-toolchains.sh saying that the url rewrites can be removed. I went a step further and made it self-checking. Once qemu is bumped far enough that none of it's submodules come from git.qemu.org, then the test added in this commit will fail CI during the bump PR and everyone will be reminded to remove the url renaming rules and change module_build back to module_all for qemu. Co-authored-by: Tim Snyder --- scripts/build-toolchains.sh | 9 +++++++++ 1 file changed, 9 insertions(+) diff --git a/scripts/build-toolchains.sh b/scripts/build-toolchains.sh index edc626966b..611f50e01a 100755 --- a/scripts/build-toolchains.sh +++ b/scripts/build-toolchains.sh @@ -165,6 +165,15 @@ if [ -z "$IGNOREQEMU" ] ; then # in the local config so that any further commands by the user have the rewrite. uggh. git, why you so ugly? git -C "$dir" config --local url.https://github.com/qemu.insteadOf https://git.qemu.org/git git -C "$dir" submodule foreach --recursive 'git config --local url.https://github.com/qemu.insteadOf https://git.qemu.org/git' + + # check to see whether the rewrite rules are needed any more + # If you find git.qemu.org in any .gitmodules file below qemu, you still need them + # the /dev/null redirection in the submodule grepping is to quiet non-existance of further .gitmodules + ! grep -q 'git\.qemu\.org' "$dir/.gitmodules" && \ + git -C "$dir" submodule foreach --quiet --recursive '! grep -q "git\.qemu\.org" .gitmodules 2>/dev/null' && \ + echo "==> PLEASE REMOVE qemu URL-REWRITING from scripts/build-toolchains.sh. It is no longer needed!" && exit 1 + + # now actually do the build SRCDIR="$(pwd)/toolchains" module_build qemu --prefix="${RISCV}" --target-list=riscv${XLEN}-softmmu fi From cdca36a93546f2a381b472d7519f8502579e2a8f Mon Sep 17 00:00:00 2001 From: Tim Snyder Date: Wed, 5 May 2021 03:25:39 +0000 Subject: [PATCH 162/225] bump testchipip --- generators/testchipip | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/testchipip b/generators/testchipip index bddf17c28d..0743c5a941 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit bddf17c28dc43e5ed89ffa58bceda9bee64ddde6 +Subproject commit 0743c5a9410af713fb7a1c0025d6331dfedec328 From 1d52899736a01ed27b4415d5e33c9ec73a4e0568 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 6 May 2021 00:27:11 -0700 Subject: [PATCH 163/225] Remove GenerateSimFiles and use make instead --- build.sbt | 8 +- common.mk | 8 +- .../src/main/resources/csrc/emulator.cc | 0 .../src/main/scala/ConfigFragments.scala | 3 +- .../utilities/src/main/resources/bootrom | 1 - .../utilities/src/main/scala/Simulator.scala | 143 ------------------ sims/common-sim-flags.mk | 16 ++ sims/vcs/Makefile | 16 ++ sims/verilator/Makefile | 21 ++- variables.mk | 13 +- 10 files changed, 69 insertions(+), 160 deletions(-) rename generators/{utilities => chipyard}/src/main/resources/csrc/emulator.cc (100%) delete mode 120000 generators/utilities/src/main/resources/bootrom delete mode 100644 generators/utilities/src/main/scala/Simulator.scala diff --git a/build.sbt b/build.sbt index f44eeb66b8..3123c4b803 100644 --- a/build.sbt +++ b/build.sbt @@ -183,7 +183,7 @@ lazy val testchipipLib = "edu.berkeley.cs" %% "testchipip" % "1.0-020719-SNAPSHO lazy val chipyard = (project in file("generators/chipyard")) .sourceDependency(testchipip, testchipipLib) - .dependsOn(rocketchip, boom, hwacha, sifive_blocks, sifive_cache, utilities, iocell, + .dependsOn(rocketchip, boom, hwacha, sifive_blocks, sifive_cache, iocell, sha3, // On separate line to allow for cleaner tutorial-setup patches dsptools, `rocket-dsptools`, gemmini, icenet, tracegen, cva6, nvdla, sodor) @@ -192,14 +192,10 @@ lazy val chipyard = (project in file("generators/chipyard")) lazy val tracegen = (project in file("generators/tracegen")) .sourceDependency(testchipip, testchipipLib) - .dependsOn(rocketchip, sifive_cache, boom, utilities) + .dependsOn(rocketchip, sifive_cache, boom) .settings(libraryDependencies ++= rocketLibDeps.value) .settings(commonSettings) -lazy val utilities = (project in file("generators/utilities")) - .sourceDependency(testchipip, testchipipLib) - .settings(commonSettings) - lazy val icenet = (project in file("generators/icenet")) .sourceDependency(testchipip, testchipipLib) .dependsOn(rocketchip) diff --git a/common.mk b/common.mk index 89e998bdaf..b876340edd 100644 --- a/common.mk +++ b/common.mk @@ -20,7 +20,7 @@ HELP_COMPILATION_VARIABLES += \ " EXTRA_SIM_REQS = additional make requirements to build the simulator" \ " ENABLE_SBT_THIN_CLIENT = if set, use sbt's experimental thin client" -EXTRA_GENERATOR_REQS ?= +EXTRA_GENERATOR_REQS ?= $(BOOTROM_TARGETS) EXTRA_SIM_CXXFLAGS ?= EXTRA_SIM_LDFLAGS ?= EXTRA_SIM_SOURCES ?= @@ -85,10 +85,10 @@ else endif ######################################################################################### -# create list of simulation file inputs +# copy over bootrom files ######################################################################################### -$(sim_files): $(call lookup_srcs,$(base_dir)/generators/utilities/src/main/scala,scala) $(SCALA_BUILDTOOL_DEPS) - $(call run_scala_main,utilities,utilities.GenerateSimFiles,-td $(build_dir) -sim $(sim_name)) +$(BOOTROM_TARGETS): $(build_dir)/bootrom.%.img: $(TESTCHIP_RSRCS_DIR)/testchipip/bootrom/bootrom.%.img | $(build_dir) + cp -f $< $@ ######################################################################################### # create firrtl file rule and variables diff --git a/generators/utilities/src/main/resources/csrc/emulator.cc b/generators/chipyard/src/main/resources/csrc/emulator.cc similarity index 100% rename from generators/utilities/src/main/resources/csrc/emulator.cc rename to generators/chipyard/src/main/resources/csrc/emulator.cc diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index a36285ebeb..a887bc1c6d 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -15,6 +15,7 @@ import freechips.rocketchip.rocket.{RocketCoreParams, MulDivParams, DCacheParams import freechips.rocketchip.tilelink.{HasTLBusParams} import freechips.rocketchip.util.{AsyncResetReg, Symmetric} import freechips.rocketchip.prci._ +import freechips.rocketchip.stage.phases.TargetDirKey import testchipip._ import tracegen.{TraceGenSystem} @@ -36,7 +37,7 @@ import chipyard._ // ----------------------- class WithBootROM extends Config((site, here, up) => { - case BootROMLocated(x) => up(BootROMLocated(x), site).map(_.copy(contentFileName = s"./bootrom/bootrom.rv${site(XLen)}.img")) + case BootROMLocated(x) => up(BootROMLocated(x), site).map(_.copy(contentFileName = s"${site(TargetDirKey)}/bootrom.rv${site(XLen)}.img")) }) // DOC include start: gpio config fragment diff --git a/generators/utilities/src/main/resources/bootrom b/generators/utilities/src/main/resources/bootrom deleted file mode 120000 index fb0b1375ae..0000000000 --- a/generators/utilities/src/main/resources/bootrom +++ /dev/null @@ -1 +0,0 @@ -../../../../rocket-chip/bootrom \ No newline at end of file diff --git a/generators/utilities/src/main/scala/Simulator.scala b/generators/utilities/src/main/scala/Simulator.scala deleted file mode 100644 index fa157a3652..0000000000 --- a/generators/utilities/src/main/scala/Simulator.scala +++ /dev/null @@ -1,143 +0,0 @@ -package utilities - -import java.io.File - -case class GenerateSimConfig( - targetDir: String = ".", - dotFName: String = "sim_files.f", - simulator: Option[Simulator] = Some(VerilatorSimulator) -) - -sealed trait Simulator -object VerilatorSimulator extends Simulator -object VCSSimulator extends Simulator - -trait HasGenerateSimConfig { - val parser = new scopt.OptionParser[GenerateSimConfig]("GenerateSimFiles") { - head("GenerateSimFiles", "0.1") - - opt[String]("simulator") - .abbr("sim") - .valueName("") - .action((x, c) => x match { - case "verilator" => c.copy(simulator = Some(VerilatorSimulator)) - case "vcs" => c.copy(simulator = Some(VCSSimulator)) - case "none" => c.copy(simulator = None) - case _ => throw new Exception(s"Unrecognized simulator $x") - }) - .text("Name of simulator to generate files for (verilator, vcs, none)") - - opt[String]("target-dir") - .abbr("td") - .valueName("") - .action((x, c) => c.copy(targetDir = x)) - .text("Target directory to put files") - - opt[String]("dotFName") - .abbr("df") - .valueName("") - .action((x, c) => c.copy(dotFName = x)) - .text("Name of generated dot-f file") - } -} - -object GenerateSimFiles extends App with HasGenerateSimConfig { - def addOption(file: File, cfg: GenerateSimConfig): String = { - val fname = file.getCanonicalPath - // deal with header files - if (fname.takeRight(2) == ".h") { - cfg.simulator match { - // verilator needs to explicitly include verilator.h, so use the -FI option - case Some(VerilatorSimulator) => s"-FI ${fname}" - // vcs pulls headers in with +incdir, doesn't have anything like verilator.h - case Some(VCSSimulator) => "" - case None => "" - } - } else { // do nothing otherwise - fname - } - } - def writeDotF(lines: Seq[String], cfg: GenerateSimConfig): Unit = { - writeTextToFile(lines.mkString("\n"), new File(cfg.targetDir, cfg.dotFName)) - } - // From FIRRTL - def safeFile[A](fileName: String)(code: => A) = try { code } catch { - case e@ (_: java.io.FileNotFoundException | _: NullPointerException) => throw new Exception(fileName, e) - case t: Throwable => throw t - } - // From FIRRTL - def writeResource(name: String, targetDir: String): File = { - val in = getClass.getResourceAsStream(name) - val p = java.nio.file.Paths.get(name) - val fname = p.getFileName().toString(); - - val f = new File(targetDir, fname) - val out = new java.io.FileOutputStream(f) - safeFile(name)(Iterator.continually(in.read).takeWhile(-1 != _).foreach(out.write)) - out.close() - f - } - // From FIRRTL - def writeTextToFile(text: String, file: File) { - val out = new java.io.PrintWriter(file) - out.write(text) - out.close() - } - def resources(sim: Option[Simulator]): Seq[String] = Seq( - "/testchipip/csrc/SimSerial.cc", - "/testchipip/csrc/testchip_tsi.cc", - "/testchipip/csrc/testchip_tsi.h", - "/testchipip/csrc/SimDRAM.cc", - "/testchipip/csrc/mm.h", - "/testchipip/csrc/mm.cc", - "/testchipip/csrc/mm_dramsim2.h", - "/testchipip/csrc/mm_dramsim2.cc", - "/csrc/SimDTM.cc", - "/csrc/SimJTAG.cc", - "/csrc/remote_bitbang.h", - "/csrc/remote_bitbang.cc", - "/vsrc/EICG_wrapper.v", - ) ++ (sim match { - case None => Seq() - case _ => Seq( - "/testchipip/csrc/SimSerial.cc", - "/testchipip/csrc/SimDRAM.cc", - "/testchipip/csrc/mm.h", - "/testchipip/csrc/mm.cc", - "/testchipip/csrc/mm_dramsim2.h", - "/testchipip/csrc/mm_dramsim2.cc", - "/csrc/SimDTM.cc", - "/csrc/SimJTAG.cc", - "/csrc/remote_bitbang.h", - "/csrc/remote_bitbang.cc", - ) - }) ++ (sim match { // simulator specific files to include - case Some(VerilatorSimulator) => Seq( - "/csrc/emulator.cc", - "/csrc/verilator.h", - ) - case Some(VCSSimulator) => Seq( - "/vsrc/TestDriver.v", - ) - case None => Seq() - }) - - def writeBootrom(): Unit = { - firrtl.FileUtils.makeDirectory("./bootrom/") - writeResource("/testchipip/bootrom/bootrom.rv64.img", "./bootrom/") - writeResource("/testchipip/bootrom/bootrom.rv32.img", "./bootrom/") - writeResource("/bootrom/bootrom.img", "./bootrom/") - } - - def writeFiles(cfg: GenerateSimConfig): Unit = { - writeBootrom() - firrtl.FileUtils.makeDirectory(cfg.targetDir) - val files = resources(cfg.simulator).map { writeResource(_, cfg.targetDir) } - writeDotF(files.map(addOption(_, cfg)), cfg) - } - - parser.parse(args, GenerateSimConfig()) match { - case Some(cfg) => writeFiles(cfg) - case _ => // error message already shown - } -} diff --git a/sims/common-sim-flags.mk b/sims/common-sim-flags.mk index 766dd0d31e..25af4dafee 100644 --- a/sims/common-sim-flags.mk +++ b/sims/common-sim-flags.mk @@ -21,3 +21,19 @@ SIM_LDFLAGS = \ -lfesvr \ -ldramsim \ $(EXTRA_SIM_LDFLAGS) + +SIM_FILE_REQS += \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/SimSerial.cc \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/SimDRAM.cc \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/testchip_tsi.cc \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/testchip_tsi.h \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm.h \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm.cc \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm_dramsim2.h \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm_dramsim2.cc \ + $(ROCKETCHIP_RSRCS_DIR)/vsrc/EICG_wrapper.v \ + $(ROCKETCHIP_RSRCS_DIR)/csrc/SimDTM.cc \ + $(ROCKETCHIP_RSRCS_DIR)/csrc/SimJTAG.cc \ + $(ROCKETCHIP_RSRCS_DIR)/csrc/remote_bitbang.h \ + $(ROCKETCHIP_RSRCS_DIR)/csrc/remote_bitbang.cc + diff --git a/sims/vcs/Makefile b/sims/vcs/Makefile index 405cda5441..a268c3ce8b 100644 --- a/sims/vcs/Makefile +++ b/sims/vcs/Makefile @@ -31,6 +31,22 @@ include $(base_dir)/vcs.mk default: $(sim) debug: $(sim_debug) +######################################################################################### +# simulaton requirements +######################################################################################### +SIM_FILE_REQS += \ + $(ROCKETCHIP_RSRCS_DIR)/vsrc/TestDriver.v + +# ignore *.h files since vcs has +incdir +$(sim_files): $(SIM_FILE_REQS) + mkdir -p $(dir $(sim_files)) + cp -f $^ $(build_dir) + $(foreach file,\ + $^,\ + $(if $(filter %.h,$(file)),\ + ,\ + echo "$(addprefix $(build_dir)/, $(notdir $(file)))" >> $@;)) + ######################################################################################### # import other necessary rules and variables ######################################################################################### diff --git a/sims/verilator/Makefile b/sims/verilator/Makefile index 2b250ee964..1cb3cf86b5 100644 --- a/sims/verilator/Makefile +++ b/sims/verilator/Makefile @@ -30,6 +30,8 @@ sim_debug = $(sim_dir)/$(sim_prefix)-$(MODEL_PACKAGE)-$(CONFIG)-debug WAVEFORM_FLAG=-v$(sim_out_name).vcd +include $(base_dir)/sims/common-sim-flags.mk + # If verilator seed unspecified, verilator uses srand as random seed ifdef RANDOM_SEED SEED_FLAG=+verilator+seed+I$(RANDOM_SEED) @@ -41,6 +43,23 @@ endif default: $(sim) debug: $(sim_debug) +######################################################################################### +# simulaton requirements +######################################################################################### +SIM_FILE_REQS += \ + $(CHIPYARD_RSRCS_DIR)/csrc/emulator.cc \ + $(ROCKETCHIP_RSRCS_DIR)/csrc/verilator.h + +# add -FI for *.h files +$(sim_files): $(SIM_FILE_REQS) + mkdir -p $(dir $(sim_files)) + cp -f $^ $(build_dir) + $(foreach file,\ + $^,\ + $(if $(filter %.h,$(file)),\ + echo "-FI $(addprefix $(build_dir)/, $(notdir $(file)))" >> $@;,\ + echo "$(addprefix $(build_dir)/, $(notdir $(file)))" >> $@;)) + ######################################################################################### # import other necessary rules and variables ######################################################################################### @@ -141,8 +160,6 @@ VERILATOR_NONCC_OPTS = \ #---------------------------------------------------------------------------------------- # gcc configuration/optimization #---------------------------------------------------------------------------------------- -include $(base_dir)/sims/common-sim-flags.mk - VERILATOR_CXXFLAGS = \ $(SIM_CXXFLAGS) \ $(RUNTIME_PROFILING_CFLAGS) \ diff --git a/variables.mk b/variables.mk index 2843e53e54..5d1e7d7fc0 100644 --- a/variables.mk +++ b/variables.mk @@ -106,9 +106,12 @@ endif ######################################################################################### # path to rocket-chip and testchipip ######################################################################################### -ROCKETCHIP_DIR = $(base_dir)/generators/rocket-chip -TESTCHIP_DIR = $(base_dir)/generators/testchipip -CHIPYARD_FIRRTL_DIR = $(base_dir)/tools/firrtl +ROCKETCHIP_DIR = $(base_dir)/generators/rocket-chip +ROCKETCHIP_RSRCS_DIR = $(ROCKETCHIP_DIR)/src/main/resources +TESTCHIP_DIR = $(base_dir)/generators/testchipip +TESTCHIP_RSRCS_DIR = $(TESTCHIP_DIR)/src/main/resources +CHIPYARD_FIRRTL_DIR = $(base_dir)/tools/firrtl +CHIPYARD_RSRCS_DIR = $(base_dir)/generators/chipyard/src/main/resources ######################################################################################### # names of various files needed to compile and run things @@ -135,7 +138,11 @@ HARNESS_SMEMS_FILE ?= $(build_dir)/$(long_name).harness.mems.v HARNESS_SMEMS_CONF ?= $(build_dir)/$(long_name).harness.mems.conf HARNESS_SMEMS_FIR ?= $(build_dir)/$(long_name).harness.mems.fir +BOOTROM_FILES ?= bootrom.rv64.img bootrom.rv32.img +BOOTROM_TARGETS ?= $(addprefix $(build_dir)/, $(BOOTROM_FILES)) + # files that contain lists of files needed for VCS or Verilator simulation +SIM_FILE_REQS = sim_files ?= $(build_dir)/sim_files.f sim_top_blackboxes ?= $(build_dir)/firrtl_black_box_resource_files.top.f sim_harness_blackboxes ?= $(build_dir)/firrtl_black_box_resource_files.harness.f From 9cdd0e1ff21c4a831c3d9bb4f0cc8b5ac27e4150 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 6 May 2021 00:30:46 -0700 Subject: [PATCH 164/225] Replace spaces with tabs --- sims/common-sim-flags.mk | 9 ++++----- 1 file changed, 4 insertions(+), 5 deletions(-) diff --git a/sims/common-sim-flags.mk b/sims/common-sim-flags.mk index 25af4dafee..d305d392bc 100644 --- a/sims/common-sim-flags.mk +++ b/sims/common-sim-flags.mk @@ -32,8 +32,7 @@ SIM_FILE_REQS += \ $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm_dramsim2.h \ $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm_dramsim2.cc \ $(ROCKETCHIP_RSRCS_DIR)/vsrc/EICG_wrapper.v \ - $(ROCKETCHIP_RSRCS_DIR)/csrc/SimDTM.cc \ - $(ROCKETCHIP_RSRCS_DIR)/csrc/SimJTAG.cc \ - $(ROCKETCHIP_RSRCS_DIR)/csrc/remote_bitbang.h \ - $(ROCKETCHIP_RSRCS_DIR)/csrc/remote_bitbang.cc - + $(ROCKETCHIP_RSRCS_DIR)/csrc/SimDTM.cc \ + $(ROCKETCHIP_RSRCS_DIR)/csrc/SimJTAG.cc \ + $(ROCKETCHIP_RSRCS_DIR)/csrc/remote_bitbang.h \ + $(ROCKETCHIP_RSRCS_DIR)/csrc/remote_bitbang.cc From 309ab68e79b9212963ee8e72e7966af043946ea2 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 6 May 2021 00:55:57 -0700 Subject: [PATCH 165/225] Use .sbtopts file --- .sbtopts | 2 ++ variables.mk | 5 ++++- 2 files changed, 6 insertions(+), 1 deletion(-) create mode 100644 .sbtopts diff --git a/.sbtopts b/.sbtopts new file mode 100644 index 0000000000..2358d78748 --- /dev/null +++ b/.sbtopts @@ -0,0 +1,2 @@ +-Dsbt.sourcemode=true +-Dsbt.workspace=$PWD/tools diff --git a/variables.mk b/variables.mk index 2843e53e54..b83693e62d 100644 --- a/variables.mk +++ b/variables.mk @@ -152,7 +152,10 @@ JAVA_OPTS ?= -Xmx$(JAVA_HEAP_SIZE) -Xss8M -XX:MaxPermSize=256M -Djava.io.tmpdir= # default sbt launch command ######################################################################################### # by default build chisel3/firrtl and other subprojects from source -override SBT_OPTS += -Dsbt.sourcemode=true -Dsbt.workspace=$(base_dir)/tools +SBT_OPTS_FILE := $(base_dir)/.sbtopts +ifneq (,$(wildcard $(SBT_OPTS_FILE))) +override SBT_OPTS += $(subst $$PWD,$(base_dir),$(shell cat $(SBT_OPTS_FILE))) +endif SCALA_BUILDTOOL_DEPS = $(SBT_SOURCES) From f9957b2ce34d1774a9fbdcf4b165d87c55f8ac07 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 6 May 2021 00:56:14 -0700 Subject: [PATCH 166/225] Check for BINARY flag when doing run-* --- common.mk | 14 +++++++++++--- 1 file changed, 11 insertions(+), 3 deletions(-) diff --git a/common.mk b/common.mk index 89e998bdaf..3535261283 100644 --- a/common.mk +++ b/common.mk @@ -162,16 +162,21 @@ verilog: $(sim_vsrcs) ######################################################################################### .PHONY: run-binary run-binary-fast run-binary-debug run-fast +check-binary: +ifndef BINARY + $(error BINARY variable is not set. Set it to the simulation binary) +endif + # run normal binary with hardware-logged insn dissassembly -run-binary: $(output_dir) $(sim) +run-binary: $(output_dir) $(sim) $(check-binary) (set -o pipefail && $(NUMA_PREFIX) $(sim) $(PERMISSIVE_ON) $(SIM_FLAGS) $(EXTRA_SIM_FLAGS) $(SEED_FLAG) $(VERBOSE_FLAGS) $(PERMISSIVE_OFF) $(BINARY) >(spike-dasm > $(sim_out_name).out) | tee $(sim_out_name).log) # run simulator as fast as possible (no insn disassembly) -run-binary-fast: $(output_dir) $(sim) +run-binary-fast: $(output_dir) $(sim) $(check-binary) (set -o pipefail && $(NUMA_PREFIX) $(sim) $(PERMISSIVE_ON) $(SIM_FLAGS) $(EXTRA_SIM_FLAGS) $(SEED_FLAG) $(PERMISSIVE_OFF) $(BINARY) >(spike-dasm > $(sim_out_name).out) | tee $(sim_out_name).log) run-fast: run-asm-tests-fast run-bmark-tests-fast @@ -182,16 +187,19 @@ run-fast: run-asm-tests-fast run-bmark-tests-fast $(binary_hex): $(output_dir) $(BINARY) $(base_dir)/scripts/smartelf2hex.sh $(BINARY) > $(binary_hex) +run-binary-hex: $(check-binary) run-binary-hex: $(output_dir) $(sim) $(binary_hex) run-binary-hex: run-binary run-binary-hex: override LOADMEM_ADDR = 80000000 run-binary-hex: override LOADMEM = $(binary_hex) run-binary-hex: override SIM_FLAGS += +loadmem=$(LOADMEM) +loadmem_addr=$(LOADMEM_ADDR) +run-binary-debug-hex: $(check-binary) run-binary-debug-hex: $(output_dir) $(sim) $(binary_hex) run-binary-debug-hex: run-binary-debug run-binary-debug-hex: override LOADMEM_ADDR = 80000000 run-binary-debug-hex: override LOADMEM = $(binary_hex) run-binary-debug-hex: override SIM_FLAGS += +loadmem=$(LOADMEM) +loadmem_addr=$(LOADMEM_ADDR) +run-binary-fast-hex: $(check-binary) run-binary-fast-hex: $(output_dir) $(sim) $(binary_hex) run-binary-fast-hex: run-binary-fast run-binary-fast-hex: override LOADMEM_ADDR = 80000000 From 365e2ea81e3a24ffbf3ef32780fcdf726617fbd6 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 6 May 2021 01:11:30 -0700 Subject: [PATCH 167/225] Fix BINARY check --- common.mk | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/common.mk b/common.mk index 3535261283..ac80af91a7 100644 --- a/common.mk +++ b/common.mk @@ -163,20 +163,20 @@ verilog: $(sim_vsrcs) .PHONY: run-binary run-binary-fast run-binary-debug run-fast check-binary: -ifndef BINARY +ifeq (,$(BINARY)) $(error BINARY variable is not set. Set it to the simulation binary) endif # run normal binary with hardware-logged insn dissassembly -run-binary: $(output_dir) $(sim) $(check-binary) +run-binary: $(output_dir) $(sim) check-binary (set -o pipefail && $(NUMA_PREFIX) $(sim) $(PERMISSIVE_ON) $(SIM_FLAGS) $(EXTRA_SIM_FLAGS) $(SEED_FLAG) $(VERBOSE_FLAGS) $(PERMISSIVE_OFF) $(BINARY) >(spike-dasm > $(sim_out_name).out) | tee $(sim_out_name).log) # run simulator as fast as possible (no insn disassembly) -run-binary-fast: $(output_dir) $(sim) $(check-binary) +run-binary-fast: $(output_dir) $(sim) check-binary (set -o pipefail && $(NUMA_PREFIX) $(sim) $(PERMISSIVE_ON) $(SIM_FLAGS) $(EXTRA_SIM_FLAGS) $(SEED_FLAG) $(PERMISSIVE_OFF) $(BINARY) >(spike-dasm > $(sim_out_name).out) | tee $(sim_out_name).log) run-fast: run-asm-tests-fast run-bmark-tests-fast @@ -187,19 +187,19 @@ run-fast: run-asm-tests-fast run-bmark-tests-fast $(binary_hex): $(output_dir) $(BINARY) $(base_dir)/scripts/smartelf2hex.sh $(BINARY) > $(binary_hex) -run-binary-hex: $(check-binary) +run-binary-hex: check-binary run-binary-hex: $(output_dir) $(sim) $(binary_hex) run-binary-hex: run-binary run-binary-hex: override LOADMEM_ADDR = 80000000 run-binary-hex: override LOADMEM = $(binary_hex) run-binary-hex: override SIM_FLAGS += +loadmem=$(LOADMEM) +loadmem_addr=$(LOADMEM_ADDR) -run-binary-debug-hex: $(check-binary) +run-binary-debug-hex: check-binary run-binary-debug-hex: $(output_dir) $(sim) $(binary_hex) run-binary-debug-hex: run-binary-debug run-binary-debug-hex: override LOADMEM_ADDR = 80000000 run-binary-debug-hex: override LOADMEM = $(binary_hex) run-binary-debug-hex: override SIM_FLAGS += +loadmem=$(LOADMEM) +loadmem_addr=$(LOADMEM_ADDR) -run-binary-fast-hex: $(check-binary) +run-binary-fast-hex: check-binary run-binary-fast-hex: $(output_dir) $(sim) $(binary_hex) run-binary-fast-hex: run-binary-fast run-binary-fast-hex: override LOADMEM_ADDR = 80000000 From 7195c0cbd1041338021c0813cd96a74940dca43b Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 6 May 2021 14:05:59 -0700 Subject: [PATCH 168/225] Check for thin client flag | Bump SBT to 1.4.9 --- common.mk | 9 +++++++-- project/build.properties | 2 +- 2 files changed, 8 insertions(+), 3 deletions(-) diff --git a/common.mk b/common.mk index ac80af91a7..a4cb26f298 100644 --- a/common.mk +++ b/common.mk @@ -247,12 +247,17 @@ SBT_COMMAND ?= shell launch-sbt: cd $(base_dir) && $(SBT_NON_THIN) "$(SBT_COMMAND)" +check-thin-client: +ifeq (,$(ENABLE_SBT_THIN_CLIENT)) + $(error ENABLE_SBT_THIN_CLIENT not set.) +endif + .PHONY: shutdown-sbt-server -shutdown-sbt-server: +shutdown-sbt-server: check-thin-client cd $(base_dir) && $(SBT) "shutdown" .PHONY: start-sbt-server -start-sbt-server: +start-sbt-server: check-thin-client cd $(base_dir) && $(SBT) "exit" ######################################################################################### diff --git a/project/build.properties b/project/build.properties index 7de0a9382f..dbae93bcfd 100644 --- a/project/build.properties +++ b/project/build.properties @@ -1 +1 @@ -sbt.version=1.4.4 +sbt.version=1.4.9 From aa4eff3407e117deae5035d091386ba6c4273a90 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 6 May 2021 14:08:47 -0700 Subject: [PATCH 169/225] Add small comments about thin client --- common.mk | 2 +- variables.mk | 1 + 2 files changed, 2 insertions(+), 1 deletion(-) diff --git a/common.mk b/common.mk index a4cb26f298..1278e03132 100644 --- a/common.mk +++ b/common.mk @@ -18,7 +18,7 @@ HELP_COMPILATION_VARIABLES += \ " EXTRA_SIM_LDFLAGS = additional LDFLAGS for building simulators" \ " EXTRA_SIM_SOURCES = additional simulation sources needed for simulator" \ " EXTRA_SIM_REQS = additional make requirements to build the simulator" \ -" ENABLE_SBT_THIN_CLIENT = if set, use sbt's experimental thin client" +" ENABLE_SBT_THIN_CLIENT = if set, use sbt's experimental thin client (works best with sbtn or sbt script)" EXTRA_GENERATOR_REQS ?= EXTRA_SIM_CXXFLAGS ?= diff --git a/variables.mk b/variables.mk index b83693e62d..d892c8e4c3 100644 --- a/variables.mk +++ b/variables.mk @@ -164,6 +164,7 @@ SBT_THIN_CLIENT_TIMESTAMP = $(base_dir)/project/target/active.json ifdef ENABLE_SBT_THIN_CLIENT override SCALA_BUILDTOOL_DEPS += $(SBT_THIN_CLIENT_TIMESTAMP) # enabling speeds up sbt loading +# use with sbt script or sbtn to bypass error code issues SBT_CLIENT_FLAG = --client endif From 95f55a667f0b8f49c6dd63bc8f9b1011e01a774c Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 6 May 2021 14:45:45 -0700 Subject: [PATCH 170/225] Elaborate comments a bit more | Remove BB'ed files that are auto-copied/added --- sims/common-sim-flags.mk | 14 +------------- sims/vcs/Makefile | 2 +- sims/verilator/Makefile | 2 +- 3 files changed, 3 insertions(+), 15 deletions(-) diff --git a/sims/common-sim-flags.mk b/sims/common-sim-flags.mk index d305d392bc..7c6c580d6e 100644 --- a/sims/common-sim-flags.mk +++ b/sims/common-sim-flags.mk @@ -23,16 +23,4 @@ SIM_LDFLAGS = \ $(EXTRA_SIM_LDFLAGS) SIM_FILE_REQS += \ - $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/SimSerial.cc \ - $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/SimDRAM.cc \ - $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/testchip_tsi.cc \ - $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/testchip_tsi.h \ - $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm.h \ - $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm.cc \ - $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm_dramsim2.h \ - $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm_dramsim2.cc \ - $(ROCKETCHIP_RSRCS_DIR)/vsrc/EICG_wrapper.v \ - $(ROCKETCHIP_RSRCS_DIR)/csrc/SimDTM.cc \ - $(ROCKETCHIP_RSRCS_DIR)/csrc/SimJTAG.cc \ - $(ROCKETCHIP_RSRCS_DIR)/csrc/remote_bitbang.h \ - $(ROCKETCHIP_RSRCS_DIR)/csrc/remote_bitbang.cc + $(ROCKETCHIP_RSRCS_DIR)/vsrc/EICG_wrapper.v diff --git a/sims/vcs/Makefile b/sims/vcs/Makefile index a268c3ce8b..f0fc90e546 100644 --- a/sims/vcs/Makefile +++ b/sims/vcs/Makefile @@ -37,7 +37,7 @@ debug: $(sim_debug) SIM_FILE_REQS += \ $(ROCKETCHIP_RSRCS_DIR)/vsrc/TestDriver.v -# ignore *.h files since vcs has +incdir +# copy files but ignore *.h files in *.f since vcs has +incdir+$(build_dir) $(sim_files): $(SIM_FILE_REQS) mkdir -p $(dir $(sim_files)) cp -f $^ $(build_dir) diff --git a/sims/verilator/Makefile b/sims/verilator/Makefile index 1cb3cf86b5..9c8f3fa6f8 100644 --- a/sims/verilator/Makefile +++ b/sims/verilator/Makefile @@ -50,7 +50,7 @@ SIM_FILE_REQS += \ $(CHIPYARD_RSRCS_DIR)/csrc/emulator.cc \ $(ROCKETCHIP_RSRCS_DIR)/csrc/verilator.h -# add -FI for *.h files +# copy files and add -FI for *.h files in *.f $(sim_files): $(SIM_FILE_REQS) mkdir -p $(dir $(sim_files)) cp -f $^ $(build_dir) From eb85415783f25f0cf51b624b4cf1f6f8ba6a20c4 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 6 May 2021 15:09:40 -0700 Subject: [PATCH 171/225] Have Verilator build with unused simulation files --- sims/verilator/Makefile | 14 +++++++++++++- 1 file changed, 13 insertions(+), 1 deletion(-) diff --git a/sims/verilator/Makefile b/sims/verilator/Makefile index 9c8f3fa6f8..00c0f1bb37 100644 --- a/sims/verilator/Makefile +++ b/sims/verilator/Makefile @@ -46,9 +46,21 @@ debug: $(sim_debug) ######################################################################################### # simulaton requirements ######################################################################################### +# past emulator.cc and verilator.h, the other files may not be used in the simulation but +# are needed for emulator.cc to compile SIM_FILE_REQS += \ $(CHIPYARD_RSRCS_DIR)/csrc/emulator.cc \ - $(ROCKETCHIP_RSRCS_DIR)/csrc/verilator.h + $(ROCKETCHIP_RSRCS_DIR)/csrc/verilator.h \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/SimSerial.cc \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/SimDRAM.cc \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm.h \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm.cc \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm_dramsim2.h \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm_dramsim2.cc \ + $(ROCKETCHIP_RSRCS_DIR)/csrc/SimDTM.cc \ + $(ROCKETCHIP_RSRCS_DIR)/csrc/SimJTAG.cc \ + $(ROCKETCHIP_RSRCS_DIR)/csrc/remote_bitbang.h \ + $(ROCKETCHIP_RSRCS_DIR)/csrc/remote_bitbang.cc # copy files and add -FI for *.h files in *.f $(sim_files): $(SIM_FILE_REQS) From a0de9a0cfbbdc22aed9217111a7ca7b4b116067c Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 6 May 2021 20:36:28 -0700 Subject: [PATCH 172/225] Depend on build_dir --- common.mk | 3 +++ sims/vcs/Makefile | 2 +- sims/verilator/Makefile | 2 +- 3 files changed, 5 insertions(+), 2 deletions(-) diff --git a/common.mk b/common.mk index b876340edd..6ab88d7ecb 100644 --- a/common.mk +++ b/common.mk @@ -87,6 +87,9 @@ endif ######################################################################################### # copy over bootrom files ######################################################################################### +$(build_dir): + mkdir -p $@ + $(BOOTROM_TARGETS): $(build_dir)/bootrom.%.img: $(TESTCHIP_RSRCS_DIR)/testchipip/bootrom/bootrom.%.img | $(build_dir) cp -f $< $@ diff --git a/sims/vcs/Makefile b/sims/vcs/Makefile index f0fc90e546..cc4d231722 100644 --- a/sims/vcs/Makefile +++ b/sims/vcs/Makefile @@ -38,7 +38,7 @@ SIM_FILE_REQS += \ $(ROCKETCHIP_RSRCS_DIR)/vsrc/TestDriver.v # copy files but ignore *.h files in *.f since vcs has +incdir+$(build_dir) -$(sim_files): $(SIM_FILE_REQS) +$(sim_files): $(SIM_FILE_REQS) | $(build_dir) mkdir -p $(dir $(sim_files)) cp -f $^ $(build_dir) $(foreach file,\ diff --git a/sims/verilator/Makefile b/sims/verilator/Makefile index 00c0f1bb37..6510090efc 100644 --- a/sims/verilator/Makefile +++ b/sims/verilator/Makefile @@ -63,7 +63,7 @@ SIM_FILE_REQS += \ $(ROCKETCHIP_RSRCS_DIR)/csrc/remote_bitbang.cc # copy files and add -FI for *.h files in *.f -$(sim_files): $(SIM_FILE_REQS) +$(sim_files): $(SIM_FILE_REQS) | $(build_dir) mkdir -p $(dir $(sim_files)) cp -f $^ $(build_dir) $(foreach file,\ From 453b1b5d9506e4dc6eac73735ccb3fa2840d90a7 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 6 May 2021 22:11:02 -0700 Subject: [PATCH 173/225] Fix tutorial patch --- scripts/tutorial-patches/build.sbt.patch | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/scripts/tutorial-patches/build.sbt.patch b/scripts/tutorial-patches/build.sbt.patch index 62cecb8df4..325bdfaee1 100644 --- a/scripts/tutorial-patches/build.sbt.patch +++ b/scripts/tutorial-patches/build.sbt.patch @@ -1,17 +1,17 @@ diff --git a/build.sbt b/build.sbt -index e80b2a5..b1989d9 100644 +index 3123c4b8..487fc428 100644 --- a/build.sbt +++ b/build.sbt @@ -184,7 +184,7 @@ lazy val testchipipLib = "edu.berkeley.cs" %% "testchipip" % "1.0-020719-SNAPSHO lazy val chipyard = (project in file("generators/chipyard")) .sourceDependency(testchipip, testchipipLib) - .dependsOn(rocketchip, boom, hwacha, sifive_blocks, sifive_cache, utilities, iocell, + .dependsOn(rocketchip, boom, hwacha, sifive_blocks, sifive_cache, iocell, - sha3, // On separate line to allow for cleaner tutorial-setup patches +// sha3, // On separate line to allow for cleaner tutorial-setup patches dsptools, `rocket-dsptools`, gemmini, icenet, tracegen, cva6, nvdla, sodor) .settings(libraryDependencies ++= rocketLibDeps.value) -@@ -227,11 +227,11 @@ lazy val sodor = (project in file("generators/riscv-sodor")) +@@ -223,11 +223,11 @@ lazy val sodor = (project in file("generators/riscv-sodor")) .settings(libraryDependencies ++= rocketLibDeps.value) .settings(commonSettings) From 2874c988024335f6325f51652809c020d2996e3f Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 6 May 2021 22:11:58 -0700 Subject: [PATCH 174/225] Add sim_files.f to fpga --- fpga/Makefile | 16 ++++++++++++++++ 1 file changed, 16 insertions(+) diff --git a/fpga/Makefile b/fpga/Makefile index 1437d8bc76..a7166347bf 100644 --- a/fpga/Makefile +++ b/fpga/Makefile @@ -73,6 +73,22 @@ default: $(mcs) fpga_dir := $(base_dir)/fpga/fpga-shells/$(FPGA_BRAND) fpga_common_script_dir := $(fpga_dir)/common/tcl +######################################################################################### +# setup misc. sim files +######################################################################################### +SIM_FILE_REQS += \ + $(ROCKETCHIP_RSRCS_DIR)/vsrc/EICG_wrapper.v + +# copy files but ignore *.h files in *.f (match vcs) +$(sim_files): $(SIM_FILE_REQS) | $(build_dir) + mkdir -p $(dir $(sim_files)) + cp -f $^ $(build_dir) + $(foreach file,\ + $^,\ + $(if $(filter %.h,$(file)),\ + ,\ + echo "$(addprefix $(build_dir)/, $(notdir $(file)))" >> $@;)) + ######################################################################################### # import other necessary rules and variables ######################################################################################### From 383d11c2ad1825f11f446593ba039809c70a3ccd Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Thu, 6 May 2021 22:32:40 -0700 Subject: [PATCH 175/225] Forgot to add testchip_tsi.* to verilator --- sims/verilator/Makefile | 2 ++ 1 file changed, 2 insertions(+) diff --git a/sims/verilator/Makefile b/sims/verilator/Makefile index 6510090efc..26cae3e431 100644 --- a/sims/verilator/Makefile +++ b/sims/verilator/Makefile @@ -52,6 +52,8 @@ SIM_FILE_REQS += \ $(CHIPYARD_RSRCS_DIR)/csrc/emulator.cc \ $(ROCKETCHIP_RSRCS_DIR)/csrc/verilator.h \ $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/SimSerial.cc \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/testchip_tsi.cc \ + $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/testchip_tsi.h \ $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/SimDRAM.cc \ $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm.h \ $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/mm.cc \ From 15a30a73e98bb1eb08eda92405dc3797a0bd030f Mon Sep 17 00:00:00 2001 From: David Biancolin Date: Fri, 7 May 2021 06:39:45 +0000 Subject: [PATCH 176/225] [firechip] Memomize Clock RecordMap creation to fix supernode --- .../firechip/src/main/scala/FireSim.scala | 74 +++++++++++-------- 1 file changed, 45 insertions(+), 29 deletions(-) diff --git a/generators/firechip/src/main/scala/FireSim.scala b/generators/firechip/src/main/scala/FireSim.scala index 9559480763..79242d2e6d 100644 --- a/generators/firechip/src/main/scala/FireSim.scala +++ b/generators/firechip/src/main/scala/FireSim.scala @@ -37,6 +37,17 @@ object NodeIdx { } +/** + * Specifies DUT clocks for the rational clock bridge + * + * @param allClocks Seq. of RationalClocks that want a clock + * + * @param baseClockName Name of domain that the allClocks is rational to + * + * @param baseFreqRequested Freq. for the reference domain in Hz + */ +case class BuildTopClockParameters(allClocks: Seq[RationalClock], baseClockName: String, baseFreqRequested: Double) + /** * Under FireSim's current multiclock implementation there can be only a * single clock bridge. This requires, therefore, that it be instantiated in @@ -48,8 +59,9 @@ class ClockBridgeInstantiator { // Assumes that the supernode implementation results in duplicated clocks // (i.e. only 1 set of clocks is generated for all BuildTop designs) - private val _buildtopClockMap: LinkedHashMap[String, (RationalClock, Clock)] = LinkedHashMap.empty - private var _buildtopRefTuple: Option[(String, Double)] = None + private var _buildTopClockParams: Option[BuildTopClockParameters] = None + private val _buildTopClockMap: LinkedHashMap[String, (RationalClock, Clock)] = LinkedHashMap.empty + private var _buildTopClockRecord: Option[RecordMap[Clock]] = None /** * Request a clock at a particular frequency @@ -65,41 +77,43 @@ class ClockBridgeInstantiator { } /** - * Get a RecordMap of clocks for a set of input RationalClocks - * - * @param allClocks Seq. of RationalClocks that want a clock + * Get a RecordMap of clocks for a set of input RationalClocks. Used to drive + * the design elaborated by buildtop * - * @param baseClockName Name of domain that the allClocks is rational to - * - * @param baseFreqRequested Freq. for the reference domain in Hz + * @param clockMapParameters Defines the set of required clocks */ - def requestClockRecordMap(allClocks: Seq[RationalClock], baseClockName: String, baseFreqRequested: Double): RecordMap[Clock] = { - require(!_buildtopRefTuple.isDefined, "Can only request one RecordMap of Clocks") - - val ratClockRecordMapWire = Wire(RecordMap(allClocks.map { c => (c.name, Clock()) }:_*)) - - _buildtopRefTuple = Some((baseClockName, baseFreqRequested)) - for (clock <- allClocks) { - val clkWire = Wire(new Clock) - _buildtopClockMap(clock.name) = (clock, clkWire) - ratClockRecordMapWire(clock.name).get := clkWire + def requestClockRecordMap(clockMapParameters: BuildTopClockParameters): RecordMap[Clock] = { + if (_buildTopClockParams.isDefined) { + require(_buildTopClockParams.get == clockMapParameters, "Must request same set of clocks on repeated invocations.") + } else { + val clockRecord = Wire(RecordMap(clockMapParameters.allClocks.map { c => (c.name, Clock()) }:_*)) + // Build up the mutable structures describing the clocks for the dut + _buildTopClockParams = Some(clockMapParameters) + _buildTopClockRecord = Some(clockRecord) + + for (clock <- clockMapParameters.allClocks) { + val clockWire = Wire(new Clock) + _buildTopClockMap(clock.name) = (clock, clockWire) + clockRecord(clock.name).get := clockWire + } } - ratClockRecordMapWire + _buildTopClockRecord.get } /** * Connect all clocks requested to ClockBridge */ def instantiateFireSimClockBridge: Unit = { - require(_buildtopRefTuple.isDefined, "Must have rational clocks to assign to") - require(_buildtopClockMap.exists(_._1 == _buildtopRefTuple.get._1), - s"Provided base-clock name for rational clocks, ${_buildtopRefTuple.get._1}, doesn't match a name within specified rational clocks." + - "Available clocks:\n " + _buildtopClockMap.map(_._1).mkString("\n ")) + require(_buildTopClockParams.isDefined, "Must have rational clocks to assign to") + val BuildTopClockParameters(allClocks, refRatClockName, refRatClockFreq) = _buildTopClockParams.get + require(_buildTopClockMap.exists(_._1 == refRatClockName), + s"Provided base-clock name for rational clocks, ${refRatClockName}, doesn't match a name within specified rational clocks." + + "Available clocks:\n " + _buildTopClockMap.map(_._1).mkString("\n ")) // Simplify the RationalClocks ratio's - val refRatClock = _buildtopClockMap.find(_._1 == _buildtopRefTuple.get._1).get._2._1 - val simpleRatClocks = _buildtopClockMap.map { t => + val refRatClock = _buildTopClockMap.find(_._1 == refRatClockName).get._2._1 + val simpleRatClocks = _buildTopClockMap.map { t => val ratClock = t._2._1 ratClock.copy( multiplier = ratClock.multiplier * refRatClock.divisor, @@ -108,8 +122,7 @@ class ClockBridgeInstantiator { // Determine all the clock dividers (harness + rational clocks) // Note: Requires that the BuildTop reference frequency is requested with proper freq. - val refRatClockFreq = _buildtopRefTuple.get._2 - val refRatSinkParams = ClockSinkParameters(take=Some(ClockParameters(freqMHz=refRatClockFreq / (1000 * 1000))),name=Some(_buildtopRefTuple.get._1)) + val refRatSinkParams = ClockSinkParameters(take=Some(ClockParameters(freqMHz=refRatClockFreq / (1000 * 1000))),name=Some(refRatClockName)) val harSinkParams = _harnessClockMap.map { case (name, (freq, bundle)) => ClockSinkParameters(take=Some(ClockParameters(freqMHz=freq / (1000 * 1000))),name=Some(name)) }.toSeq @@ -144,7 +157,7 @@ class ClockBridgeInstantiator { // Connect all clocks (harness + BuildTop clocks) for (clock <- allAdjRatClks) { val (_, cbClockField) = cbVecTuples.find(_._1.equalFrequency(clock)).get - _buildtopClockMap.get(clock.name).map { case (_, clk) => clk := cbClockField } + _buildTopClockMap.get(clock.name).map { case (_, clk) => clk := cbClockField } _harnessClockMap.get(clock.name).map { case (_, clk) => clk := cbClockField } } } @@ -197,7 +210,10 @@ class WithFireSimSimpleClocks extends Config((site, here, up) => { chiptop.harnessFunctions += ((th: HasHarnessSignalReferences) => { reset := th.buildtopReset input_clocks := p(ClockBridgeInstantiatorKey) - .requestClockRecordMap(rationalClockSpecs.toSeq, p(FireSimBaseClockNameKey), pllConfig.referenceFreqMHz * (1000 * 1000)) + .requestClockRecordMap(BuildTopClockParameters( + rationalClockSpecs.toSeq, + p(FireSimBaseClockNameKey), + pllConfig.referenceFreqMHz * (1000 * 1000))) Nil }) // return the reference frequency From 03650a20281b0c68d593a8198af4d052b76cc34e Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Tue, 11 May 2021 17:27:06 -0500 Subject: [PATCH 177/225] Use root within Dockerfile --- dockerfiles/Dockerfile | 15 +-------------- 1 file changed, 1 insertion(+), 14 deletions(-) diff --git a/dockerfiles/Dockerfile b/dockerfiles/Dockerfile index d9fcfa0f47..61e2ea81e7 100644 --- a/dockerfiles/Dockerfile +++ b/dockerfiles/Dockerfile @@ -17,19 +17,7 @@ RUN apt-get update && \ keyboard-configuration \ console-setup -# Adds a new user called riscvuser -RUN groupadd --gid 3434 riscvuser \ - && useradd --uid 3434 --gid riscvuser --shell /bin/bash --create-home riscvuser \ - && echo 'riscvuser ALL=NOPASSWD: ALL' >> /etc/sudoers.d/50-riscvuser \ - && echo 'Defaults env_keep += "DEBIAN_FRONTEND"' >> /etc/sudoers.d/env_keep - -WORKDIR /home/riscvuser -USER riscvuser - -# Update PATH for RISCV toolchain (note: hardcoded for CircleCI) -ENV RISCV="/home/riscvuser/riscv-tools-install" -ENV LD_LIBRARY_PATH="$RISCV/lib" -ENV PATH="$RISCV/bin:$PATH" +WORKDIR /root # Install Chipyard and run ubuntu-req.sh to install necessary dependencies RUN git clone https://github.com/ucb-bar/chipyard.git && \ @@ -38,7 +26,6 @@ RUN git clone https://github.com/ucb-bar/chipyard.git && \ ./scripts/ubuntu-req.sh 1>/dev/null && \ sudo rm -rf /var/lib/apt/lists/* - # BUILD IMAGE WITH TOOLCHAINS # Use above build as base From 86593e294898c4b9e911e20e8ad7afe8926ac030 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Tue, 11 May 2021 17:29:07 -0500 Subject: [PATCH 178/225] Bump CI | Update entrypoint.sh for toolchain image --- .circleci/config.yml | 2 +- scripts/entrypoint.sh | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index f3dbf371fd..96bddd7700 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: ucbbar/chipyard-ci-image:c29770 + - image: ucbbar/chipyard-ci-image:03650a environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit diff --git a/scripts/entrypoint.sh b/scripts/entrypoint.sh index 41e5bda580..457656e81e 100755 --- a/scripts/entrypoint.sh +++ b/scripts/entrypoint.sh @@ -3,6 +3,6 @@ # used with the dockerfile to set up enviroment variables by running env.sh # adapted from https://stackoverflow.com/questions/55921914/how-to-source-a-script-with-environment-variables-in-a-docker-build-process -. /home/riscvuser/chipyard/env.sh +. /root/chipyard/env.sh exec "$@" From 419a1df3e8deb8b4231a296e104e72c4930070f1 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Tue, 11 May 2021 18:05:35 -0500 Subject: [PATCH 179/225] Re-bump CI image --- .circleci/config.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index 96bddd7700..d4415ee8ec 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -12,7 +12,7 @@ parameters: executors: main-env: docker: - - image: ucbbar/chipyard-ci-image:03650a + - image: ucbbar/chipyard-ci-image:03650a2 environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit From 1d51bb90db1cca25ba84b0f56256516c45814130 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Wed, 12 May 2021 18:26:01 -0500 Subject: [PATCH 180/225] Bump Dockerfile [ci skip] --- dockerfiles/Dockerfile | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/dockerfiles/Dockerfile b/dockerfiles/Dockerfile index 61e2ea81e7..1f8ece33e4 100644 --- a/dockerfiles/Dockerfile +++ b/dockerfiles/Dockerfile @@ -26,6 +26,11 @@ RUN git clone https://github.com/ucb-bar/chipyard.git && \ ./scripts/ubuntu-req.sh 1>/dev/null && \ sudo rm -rf /var/lib/apt/lists/* +# Update PATH for RISCV toolchain (note: hardcoded for CircleCI) +ENV RISCV="/root/riscv-tools-install" +ENV LD_LIBRARY_PATH="$RISCV/lib" +ENV PATH="$RISCV/bin:$PATH" + # BUILD IMAGE WITH TOOLCHAINS # Use above build as base From 16cdc88c520b491035f24f8e56fef5dba07a3105 Mon Sep 17 00:00:00 2001 From: abejgonzalez Date: Wed, 12 May 2021 16:42:05 -0700 Subject: [PATCH 181/225] Small comment + org. fix | Remove extra mkdirs --- fpga/Makefile | 1 - sims/vcs/Makefile | 1 - sims/verilator/Makefile | 6 +++--- 3 files changed, 3 insertions(+), 5 deletions(-) diff --git a/fpga/Makefile b/fpga/Makefile index a7166347bf..8b2ed28bcd 100644 --- a/fpga/Makefile +++ b/fpga/Makefile @@ -81,7 +81,6 @@ SIM_FILE_REQS += \ # copy files but ignore *.h files in *.f (match vcs) $(sim_files): $(SIM_FILE_REQS) | $(build_dir) - mkdir -p $(dir $(sim_files)) cp -f $^ $(build_dir) $(foreach file,\ $^,\ diff --git a/sims/vcs/Makefile b/sims/vcs/Makefile index cc4d231722..e364830be0 100644 --- a/sims/vcs/Makefile +++ b/sims/vcs/Makefile @@ -39,7 +39,6 @@ SIM_FILE_REQS += \ # copy files but ignore *.h files in *.f since vcs has +incdir+$(build_dir) $(sim_files): $(SIM_FILE_REQS) | $(build_dir) - mkdir -p $(dir $(sim_files)) cp -f $^ $(build_dir) $(foreach file,\ $^,\ diff --git a/sims/verilator/Makefile b/sims/verilator/Makefile index 26cae3e431..a1186acc74 100644 --- a/sims/verilator/Makefile +++ b/sims/verilator/Makefile @@ -46,11 +46,12 @@ debug: $(sim_debug) ######################################################################################### # simulaton requirements ######################################################################################### -# past emulator.cc and verilator.h, the other files may not be used in the simulation but -# are needed for emulator.cc to compile SIM_FILE_REQS += \ $(CHIPYARD_RSRCS_DIR)/csrc/emulator.cc \ $(ROCKETCHIP_RSRCS_DIR)/csrc/verilator.h \ + +# the following files are needed for emulator.cc to compile +SIM_FILE_REQS += \ $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/SimSerial.cc \ $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/testchip_tsi.cc \ $(TESTCHIP_RSRCS_DIR)/testchipip/csrc/testchip_tsi.h \ @@ -66,7 +67,6 @@ SIM_FILE_REQS += \ # copy files and add -FI for *.h files in *.f $(sim_files): $(SIM_FILE_REQS) | $(build_dir) - mkdir -p $(dir $(sim_files)) cp -f $^ $(build_dir) $(foreach file,\ $^,\ From 31fd69a3be6879318fd2415a785ccb4bfaac45d6 Mon Sep 17 00:00:00 2001 From: Abraham Gonzalez Date: Wed, 12 May 2021 23:35:08 -0500 Subject: [PATCH 182/225] Bump CI image --- .circleci/config.yml | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index d4415ee8ec..fe932ecc57 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -6,13 +6,13 @@ version: 2.1 parameters: tools-cache-version: type: string - default: "v8" + default: "v9" # default execution env.s executors: main-env: docker: - - image: ucbbar/chipyard-ci-image:03650a2 + - image: ucbbar/chipyard-ci-image:1d51bb90 environment: JVM_OPTS: -Xmx3200m # Customize the JVM maximum heap limit @@ -41,7 +41,7 @@ commands: - save_cache: key: << parameters.tools-version >>-installed-<< pipeline.parameters.tools-cache-version >>-{{ checksum "../<< parameters.tools-version >>.hash" }} paths: - - "/home/riscvuser/<< parameters.tools-version >>-install" + - "/root/<< parameters.tools-version >>-install" ssh-checkout: description: "Add SSH key and checkout code" @@ -95,7 +95,7 @@ commands: - save_cache: key: << parameters.group-key >>-{{ .Branch }}-{{ .Revision }} paths: - - "/home/riscvuser/project" + - "/root/project" run-tests: description: "Run a set of tests" @@ -190,7 +190,7 @@ jobs: - save_cache: key: extra-tests-{{ .Branch }}-{{ .Revision }} paths: - - "/home/riscvuser/project/tests" + - "/root/project/tests" prepare-chipyard-cores: executor: main-env From 81b57f96bde8b1cef5d5cce3a76bd36a82746a32 Mon Sep 17 00:00:00 2001 From: Harrison Liew Date: Mon, 17 May 2021 14:56:09 -0700 Subject: [PATCH 183/225] hier helper make targets --- vlsi/Makefile | 6 +++--- vlsi/power.mk | 6 +++++- vlsi/sim.mk | 43 ++++++++++++++++++++++++++++++++++++++++--- 3 files changed, 48 insertions(+), 7 deletions(-) diff --git a/vlsi/Makefile b/vlsi/Makefile index 182f7a950d..413b5405ab 100644 --- a/vlsi/Makefile +++ b/vlsi/Makefile @@ -41,11 +41,11 @@ INPUT_CONFS ?= $(if $(filter $(tech_name),nangate45),\ HAMMER_EXEC ?= ./example-vlsi VLSI_TOP ?= $(TOP) VLSI_HARNESS_DUT_NAME ?= chiptop -VLSI_OBJ_DIR ?= $(vlsi_dir)/build +VLSI_OBJ_DIR ?= build ifneq ($(CUSTOM_VLOG),) - OBJ_DIR ?= $(VLSI_OBJ_DIR)/custom-$(VLSI_TOP) + OBJ_DIR ?= $(vlsi_dir)/$(VLSI_OBJ_DIR)/custom-$(VLSI_TOP) else - OBJ_DIR ?= $(VLSI_OBJ_DIR)/$(long_name)-$(VLSI_TOP) + OBJ_DIR ?= $(vlsi_dir)/$(VLSI_OBJ_DIR)/$(long_name)-$(VLSI_TOP) endif ######################################################################################### diff --git a/vlsi/power.mk b/vlsi/power.mk index 383da5f0dd..ce66606f0c 100644 --- a/vlsi/power.mk +++ b/vlsi/power.mk @@ -1,6 +1,10 @@ .PHONY: $(POWER_CONF) power-par: $(POWER_CONF) sim-par +power-par-$(VLSI_TOP): $(POWER_CONF) sim-par-$(VLSI_TOP) power-par: override HAMMER_POWER_EXTRA_ARGS += -p $(POWER_CONF) +power-par-$(VLSI_TOP): override HAMMER_POWER_EXTRA_ARGS += -p $(POWER_CONF) redo-power-par: $(POWER_CONF) +redo-power-par-$(VLSI_TOP): $(POWER_CONF) redo-power-par: override HAMMER_EXTRA_ARGS += -p $(POWER_CONF) -$(OBJ_DIR)/power-par-rundir/power-output-full.json: private override HAMMER_EXTRA_ARGS += $(HAMMER_POWER_EXTRA_ARGS) +redo-power-par-$(VLSI_TOP): override HAMMER_EXTRA_ARGS += -p $(POWER_CONF) +$(OBJ_DIR)/power-par-%/power-output-full.json: private override HAMMER_EXTRA_ARGS += $(HAMMER_POWER_EXTRA_ARGS) diff --git a/vlsi/sim.mk b/vlsi/sim.mk index 92225737c4..9f3f74ec37 100644 --- a/vlsi/sim.mk +++ b/vlsi/sim.mk @@ -1,48 +1,85 @@ .PHONY: $(SIM_CONF) $(SIM_DEBUG_CONF) $(SIM_TIMING_CONF) # Update hammer top-level sim targets to include our generated sim configs redo-sim-rtl: $(SIM_CONF) +redo-sim-rtl-$(VLSI_TOP): $(SIM_CONF) redo-sim-rtl: override HAMMER_EXTRA_ARGS += -p $(SIM_CONF) +redo-sim-rtl-$(VLSI_TOP): override HAMMER_EXTRA_ARGS += -p $(SIM_CONF) redo-sim-rtl: override HAMMER_SIM_RUN_DIR = sim-rtl-rundir +redo-sim-rtl-$(VLSI_TOP): override HAMMER_SIM_RUN_DIR = sim-rtl-$(VLSI_TOP) redo-sim-rtl-debug: $(SIM_DEBUG_CONF) redo-sim-rtl +redo-sim-rtl-debug-$(VLSI_TOP): $(SIM_DEBUG_CONF) redo-sim-rtl-$(VLSI_TOP) redo-sim-rtl-debug: override HAMMER_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) +redo-sim-rtl-debug-$(VLSI_TOP): override HAMMER_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) redo-sim-syn: $(SIM_CONF) +redo-sim-syn-$(VLSI_TOP): $(SIM_CONF) redo-sim-syn: override HAMMER_EXTRA_ARGS += -p $(SIM_CONF) +redo-sim-syn-$(VLSI_TOP): override HAMMER_EXTRA_ARGS += -p $(SIM_CONF) redo-sim-syn: override HAMMER_SIM_RUN_DIR = sim-syn-rundir +redo-sim-syn-$(VLSI_TOP): override HAMMER_SIM_RUN_DIR = sim-syn-$(VLSI_TOP) redo-sim-syn-debug: $(SIM_DEBUG_CONF) redo-sim-syn +redo-sim-syn-debug-$(VLSI_TOP): $(SIM_DEBUG_CONF) redo-sim-syn-$(VLSI_TOP) redo-sim-syn-debug: override HAMMER_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) +redo-sim-syn-debug-$(VLSI_TOP): override HAMMER_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) redo-sim-syn-timing-debug: $(SIM_TIMING_CONF) redo-sim-syn-debug +redo-sim-syn-timing-debug-$(VLSI_TOP): $(SIM_TIMING_CONF) redo-sim-syn-debug-$(VLSI_TOP) redo-sim-syn-timing-debug: override HAMMER_EXTRA_ARGS += -p $(SIM_TIMING_CONF) +redo-sim-syn-timing-debug-$(VLSI_TOP): override HAMMER_EXTRA_ARGS += -p $(SIM_TIMING_CONF) redo-sim-par: $(SIM_CONF) +redo-sim-par-$(VLSI_TOP): $(SIM_CONF) redo-sim-par: override HAMMER_EXTRA_ARGS += -p $(SIM_CONF) +redo-sim-par-$(VLSI_TOP): override HAMMER_EXTRA_ARGS += -p $(SIM_CONF) redo-sim-par: override HAMMER_SIM_RUN_DIR = sim-par-rundir +redo-sim-par-$(VLSI_TOP: override HAMMER_SIM_RUN_DIR = sim-par-$(VLSI_TOP) redo-sim-par-debug: $(SIM_DEBUG_CONF) redo-sim-par +redo-sim-par-debug-$(VLSI_TOP): $(SIM_DEBUG_CONF) redo-sim-par-$(VLSI_TOP) redo-sim-par-debug: override HAMMER_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) +redo-sim-par-debug-$(VLSI_TOP): override HAMMER_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) redo-sim-par-timing-debug: $(SIM_TIMING_CONF) redo-sim-par-debug +redo-sim-par-timing-debug-$(VLSI_TOP): $(SIM_TIMING_CONF) redo-sim-par-debug-$(VLSI_TOP) redo-sim-par-timing-debug: override HAMMER_EXTRA_ARGS += -p $(SIM_TIMING_CONF) +redo-sim-par-timing-debug-$(VLSI_TOP): override HAMMER_EXTRA_ARGS += -p $(SIM_TIMING_CONF) sim-rtl: $(SIM_CONF) +sim-rtl-$(VLSI_TOP): $(SIM_CONF) sim-rtl: override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_CONF) +sim-rtl-$(VLSI_TOP): override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_CONF) sim-rtl: override HAMMER_SIM_RUN_DIR = sim-rtl-rundir +sim-rtl-$(VLSI_TOP): override HAMMER_SIM_RUN_DIR = sim-rtl-$(VLSI_TOP) sim-rtl-debug: $(SIM_DEBUG_CONF) sim-rtl +sim-rtl-debug-$(VLSI_TOP): $(SIM_DEBUG_CONF) sim-rtl-$(VLSI_TOP) sim-rtl-debug: override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) -$(OBJ_DIR)/sim-rtl-rundir/sim-output-full.json: private override HAMMER_EXTRA_ARGS += $(HAMMER_SIM_EXTRA_ARGS) +sim-rtl-debug-$(VLSI_TOP): override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) sim-syn: $(SIM_CONF) +sim-syn-$(VLSI_TOP): $(SIM_CONF) sim-syn: override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_CONF) +sim-syn-$(VLSI_TOP): override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_CONF) sim-syn: override HAMMER_SIM_RUN_DIR = sim-syn-rundir +sim-syn-$(VLSI_TOP): override HAMMER_SIM_RUN_DIR = sim-syn-$(VLSI_TOP) sim-syn-debug: $(SIM_DEBUG_CONF) sim-syn +sim-syn-debug-$(VLSI_TOP): $(SIM_DEBUG_CONF) sim-syn-$(VLSI_TOP) sim-syn-debug: override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) +sim-syn-debug-$(VLSI_TOP): override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) sim-syn-timing-debug: $(SIM_TIMING_CONF) sim-syn-debug +sim-syn-timing-debug-$(VLSI_TOP): $(SIM_TIMING_CONF) sim-syn-debug-$(VLSI_TOP) sim-syn-timing-debug: override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_TIMING_CONF) -$(OBJ_DIR)/sim-syn-rundir/sim-output-full.json: private override HAMMER_EXTRA_ARGS += $(HAMMER_SIM_EXTRA_ARGS) +sim-syn-timing-debug-$(VLSI_TOP): override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_TIMING_CONF) sim-par: $(SIM_CONF) +sim-par-$(VLSI_TOP): $(SIM_CONF) sim-par: override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_CONF) +sim-par-$(VLSI_TOP): override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_CONF) sim-par: override HAMMER_SIM_RUN_DIR = sim-par-rundir +sim-par-$(VLSI_TOP): override HAMMER_SIM_RUN_DIR = sim-par-$(VLSI_TOP) sim-par-debug: $(SIM_DEBUG_CONF) sim-par +sim-par-debug-$(VLSI_TOP): $(SIM_DEBUG_CONF) sim-par-$(VLSI_TOP) sim-par-debug: override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) +sim-par-debug-$(VLSI_TOP): override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) sim-par-timing-debug: $(SIM_TIMING_CONF) sim-par-debug +sim-par-timing-debug-$(VLSI_TOP): $(SIM_TIMING_CONF) sim-par-debug-$(VLSI_TOP) sim-par-timing-debug: override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_TIMING_CONF) -$(OBJ_DIR)/sim-par-rundir/sim-output-full.json: private override HAMMER_EXTRA_ARGS += $(HAMMER_SIM_EXTRA_ARGS) +sim-par-timing-debug-$(VLSI_TOP): override HAMMER_SIM_EXTRA_ARGS += -p $(SIM_TIMING_CONF) + +$(OBJ_DIR)/sim-%/sim-output-full.json: private override HAMMER_EXTRA_ARGS += $(HAMMER_SIM_EXTRA_ARGS) From f76601c260c131304d2acd01261f543eccfcedb4 Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Sun, 23 May 2021 11:31:00 -0700 Subject: [PATCH 184/225] Fixed unmatched version for custom extension --- toolchains/esp-tools/riscv-gnu-toolchain | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/toolchains/esp-tools/riscv-gnu-toolchain b/toolchains/esp-tools/riscv-gnu-toolchain index 286b5bcfed..598390b1a8 160000 --- a/toolchains/esp-tools/riscv-gnu-toolchain +++ b/toolchains/esp-tools/riscv-gnu-toolchain @@ -1 +1 @@ -Subproject commit 286b5bcfed988a7636b1cbdb22d3ab09bf5def4d +Subproject commit 598390b1a8b3e7d00cdb635100bad21bfde85351 From a5cf4ad42b22a63dbf3dc8427ee484bd2eddc0b7 Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Mon, 24 May 2021 01:16:38 -0700 Subject: [PATCH 185/225] Pass local build --- toolchains/esp-tools/riscv-gnu-toolchain | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/toolchains/esp-tools/riscv-gnu-toolchain b/toolchains/esp-tools/riscv-gnu-toolchain index 598390b1a8..0142457f4f 160000 --- a/toolchains/esp-tools/riscv-gnu-toolchain +++ b/toolchains/esp-tools/riscv-gnu-toolchain @@ -1 +1 @@ -Subproject commit 598390b1a8b3e7d00cdb635100bad21bfde85351 +Subproject commit 0142457f4f4ccd33cfc0258d643e8d0acfdba706 From cbefcd5ccbfa0b2c9754b4e7b85197ec606d28f7 Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Mon, 24 May 2021 18:15:59 -0700 Subject: [PATCH 186/225] Finished bump --- toolchains/esp-tools/riscv-gnu-toolchain | 2 +- toolchains/esp-tools/riscv-tests | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/toolchains/esp-tools/riscv-gnu-toolchain b/toolchains/esp-tools/riscv-gnu-toolchain index 0142457f4f..5d45e7748f 160000 --- a/toolchains/esp-tools/riscv-gnu-toolchain +++ b/toolchains/esp-tools/riscv-gnu-toolchain @@ -1 +1 @@ -Subproject commit 0142457f4f4ccd33cfc0258d643e8d0acfdba706 +Subproject commit 5d45e7748f49c3687d1857c9399b28ba96325c05 diff --git a/toolchains/esp-tools/riscv-tests b/toolchains/esp-tools/riscv-tests index 0ee4ae34bf..8b4192c95b 160000 --- a/toolchains/esp-tools/riscv-tests +++ b/toolchains/esp-tools/riscv-tests @@ -1 +1 @@ -Subproject commit 0ee4ae34bf23475ca879cefb39b9f078d0362c12 +Subproject commit 8b4192c95bc72a47cdcd64a2bbc812fc69c2a7eb From 5890d74f871c45fc52b86d867d6c75cf9be0d716 Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Tue, 25 May 2021 12:00:11 -0700 Subject: [PATCH 187/225] Add multiple extension support to the bump --- toolchains/esp-tools/riscv-isa-sim | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/toolchains/esp-tools/riscv-isa-sim b/toolchains/esp-tools/riscv-isa-sim index 8feafa0208..1c52121694 160000 --- a/toolchains/esp-tools/riscv-isa-sim +++ b/toolchains/esp-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit 8feafa0208c959c60cc53bc8235e16251c96d3d4 +Subproject commit 1c52121694b4390cabcafe7562da32ead8e6ca06 From 225cf9d29aa2fd0625bcb7b0dd8f28718929005a Mon Sep 17 00:00:00 2001 From: alonamid Date: Tue, 1 Jun 2021 16:40:31 -0700 Subject: [PATCH 188/225] update frequency config fragements --- .../src/main/scala/ConfigFragments.scala | 14 +++++ .../src/main/scala/config/RocketConfigs.scala | 5 ++ .../src/main/scala/TargetConfigs.scala | 59 ++++--------------- .../src/test/scala/ScalaTestSuite.scala | 8 +-- 4 files changed, 31 insertions(+), 55 deletions(-) diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index a36285ebeb..da4d9edfdb 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -319,3 +319,17 @@ class WithControlBusFrequency(freqMHz: Double) extends Config((site, here, up) = class WithRationalMemoryBusCrossing extends WithSbusToMbusCrossingType(RationalCrossing(Symmetric)) class WithAsynchrousMemoryBusCrossing extends WithSbusToMbusCrossingType(AsynchronousCrossing()) + +class WithTestChipBusFreqs extends Config( + // Frequency specifications + new chipyard.config.WithTileFrequency(1600.0) ++ // Matches the maximum frequency of U540 + new chipyard.config.WithSystemBusFrequency(800.0) ++ // Put the system bus at a lower freq, representative of ncore working at a lower frequency than the tiles. Same freq as U540 + new chipyard.config.WithMemoryBusFrequency(1000.0) ++ // 2x the U540 freq (appropriate for a 128b Mbus) + new chipyard.config.WithPeripheryBusFrequency(100) ++ // Retains the default pbus frequency + new chipyard.config.WithSystemBusFrequencyAsDefault ++ // All unspecified clock frequencies, notably the implicit clock, will use the sbus freq (800 MHz) + // Crossing specifications + new chipyard.config.WithCbusToPbusCrossingType(AsynchronousCrossing()) ++ // Add Async crossing between PBUS and CBUS + new chipyard.config.WithSbusToMbusCrossingType(AsynchronousCrossing()) ++ // Add Async crossings between backside of L2 and MBUS + new freechips.rocketchip.subsystem.WithRationalRocketTiles ++ // Add rational crossings between RocketTile and uncore + new testchipip.WithAsynchronousSerialSlaveCrossing // Add Async crossing between serial and MBUS. Its master-side is tied to the FBUS +) diff --git a/generators/chipyard/src/main/scala/config/RocketConfigs.scala b/generators/chipyard/src/main/scala/config/RocketConfigs.scala index a81c144940..962578387c 100644 --- a/generators/chipyard/src/main/scala/config/RocketConfigs.scala +++ b/generators/chipyard/src/main/scala/config/RocketConfigs.scala @@ -207,6 +207,11 @@ class MulticlockRocketConfig extends Config( new testchipip.WithAsynchronousSerialSlaveCrossing ++ // Add Async crossing between serial and MBUS. Its master-side is tied to the FBUS new chipyard.config.AbstractConfig) +class TestChipMulticlockRocketConfig extends Config( + new freechips.rocketchip.subsystem.WithNBigCores(1) ++ + new chipyard.config.WithTestChipBusFreqs ++ + new chipyard.config.AbstractConfig) + class LBWIFRocketConfig extends Config( new testchipip.WithSerialTLMem(isMainMemory=true) ++ // set lbwif memory base to DRAM_BASE, use as main memory new freechips.rocketchip.subsystem.WithNoMemPort ++ // remove AXI4 backing memory diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index 3da8ba9449..be7845f5a4 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -50,6 +50,7 @@ class WithScalaTestFeatures extends Config((site, here, up) => { // FASED Config Aliases. This to enable config generation via "_" concatenation // which requires that all config classes be defined in the same package +class DDR3FCFS extends FCFS16GBQuadRank class DDR3FRFCFS extends FRFCFS16GBQuadRank class DDR3FRFCFSLLC4MB extends FRFCFS16GBQuadRankLLC4MB @@ -83,50 +84,14 @@ class WithFireSimDesignTweaks extends Config( new chipyard.config.WithNoDebug ) -// Tweaks to modify target clock frequencies / crossings to firesim defaults -class WithFireSimDefaultFrequencyTweaks extends Config( - // Optional: This sets the default frequency for all buses in the system to 2 GHz - // (since unspecified bus frequencies will use the pbus frequency) - new chipyard.config.WithPeripheryBusFrequency(2000.0) ++ - // Optional: These three configs will put the system bus at a frequency of 1 GHz - // Which is more representative of on uncore working at a lower frequency than the tiles - new chipyard.config.WithSystemBusFrequency(1000.0) ++ - new chipyard.config.WithSbusToCbusCrossingType(AsynchronousCrossing()) ++ // Add Async crossing between SBUS and CBUS - new chipyard.config.WithFbusToSbusCrossingType(AsynchronousCrossing()) ++ // Add Async crossing between FBUS and SBUS - // Optional: These three configs put the DRAM memory system in it's own clock domian. - // Removing the first config will result in the FASED timing model running - // at the pbus freq (above, 3.2 GHz), which is outside the range of valid DDR3 speedgrades. - // 1 GHz matches the FASED default, using some other frequency will require - // runnings the FASED runtime configuration generator to generate faithful DDR3 timing values. - new chipyard.config.WithMemoryBusFrequency(1000.0) ++ - new chipyard.config.WithAsynchrousMemoryBusCrossing ++ - new testchipip.WithAsynchronousSerialSlaveCrossing -) - -// Tweaks to modify target clock frequencies / crossings to testchip defaults -class WithFireSimTestchipFrequencyTweaks extends Config( - // Optional: This sets the default frequency for all buses in the system to 1 GHz - // (since unspecified bus frequencies will use the pbus frequency). - // This frequency is representative of Rocket/BOOM-based test chips - new chipyard.config.WithPeripheryBusFrequency(1000.0) ++ - // Optional: These three configs put the DRAM memory system in it's own clock domian. - // Removing the first config will result in the FASED timing model running - // at the pbus freq (above, 3.2 GHz), which is outside the range of valid DDR3 speedgrades. - // 1 GHz matches the FASED default, using some other frequency will require - // runnings the FASED runtime configuration generator to generate faithful DDR3 timing values. - new chipyard.config.WithMemoryBusFrequency(1000.0) ++ - new chipyard.config.WithAsynchrousMemoryBusCrossing ++ - new testchipip.WithAsynchronousSerialSlaveCrossing -) - // Tweaks to modify target clock frequencies / crossings to legacy firesim defaults -class WithFireSimHighPerfFrequencyTweaks extends Config( +class WithFireSimHighPerfClocking extends Config( // Optional: This sets the default frequency for all buses in the system to 3.2 GHz // (since unspecified bus frequencies will use the pbus frequency) // This frequency selection matches FireSim's legacy selection and is required // to support 200Gb NIC performance. You may select a smaller value. new chipyard.config.WithPeripheryBusFrequency(3200.0) ++ - // Optional: These three configs put the DRAM memory system in it's own clock domian. + // Optional: These three configs put the DRAM memory system in it's own clock domain. // Removing the first config will result in the FASED timing model running // at the pbus freq (above, 3.2 GHz), which is outside the range of valid DDR3 speedgrades. // 1 GHz matches the FASED default, using some other frequency will require @@ -136,21 +101,24 @@ class WithFireSimHighPerfFrequencyTweaks extends Config( new testchipip.WithAsynchronousSerialSlaveCrossing ) -// Tweaks that are generally applied to all firesim configs +// Tweaks that are generally applied to all firesim configs setting a single clock domain at 1000 MHz class WithFireSimConfigTweaks extends Config( - new WithFireSimDefaultFrequencyTweaks ++ + // 1 GHz matches the FASED default, using some other frequency will require + // runnings the FASED runtime configuration generator to generate faithful DDR3 timing values. + new chipyard.config.WithSystemBusFrequency(1000.0) ++ + new chipyard.config.WithSystemBusFrequencyAsDefault ++ // All unspecified clock frequencies, notably the implicit clock, will use the sbus freq (1000 MHz) new WithFireSimDesignTweaks ) // Tweak more representative of testchip configs -class WithFireSimTestchipConfigTweaks extends Config( - new WithFireSimTestchipFrequencyTweaks ++ +class WithFireSimTestChipConfigTweaks extends Config( + new chipyard.config.WithTestChipBusFreqs ++ new WithFireSimDesignTweaks ) // Tweaks for legacy FireSim configs. class WithFireSimHighPerfConfigTweaks extends Config( - new WithFireSimHighPerfFrequencyTweaks ++ + new WithFireSimHighPerfClocking ++ new WithFireSimDesignTweaks ) @@ -254,11 +222,6 @@ class FireSimCVA6Config extends Config( //********************************************************************************** //* Multiclock Configurations //*********************************************************************************/ -class FireSimMulticlockRocketConfig extends Config( - new chipyard.config.WithTileFrequency(6000.0) ++ //lol - new freechips.rocketchip.subsystem.WithRationalRocketTiles ++ // Add rational crossings between RocketTile and uncore - new FireSimRocketConfig) - class FireSimMulticlockAXIOverSerialConfig extends Config( new WithAXIOverSerialTLCombinedBridges ++ // use combined bridge to connect to axi mem over serial new WithDefaultFireSimBridges ++ diff --git a/generators/firechip/src/test/scala/ScalaTestSuite.scala b/generators/firechip/src/test/scala/ScalaTestSuite.scala index 64b217b8f3..397f23d463 100644 --- a/generators/firechip/src/test/scala/ScalaTestSuite.scala +++ b/generators/firechip/src/test/scala/ScalaTestSuite.scala @@ -107,11 +107,6 @@ abstract class FireSimTestSuite( class RocketF1Tests extends FireSimTestSuite("FireSim", "DDR3FRFCFSLLC4MB_FireSimQuadRocketConfig", "WithSynthAsserts_BaseF1Config") class BoomF1Tests extends FireSimTestSuite("FireSim", "DDR3FRFCFSLLC4MB_FireSimLargeBoomConfig", "BaseF1Config") class RocketNICF1Tests extends FireSimTestSuite("FireSim", "WithNIC_DDR3FRFCFSLLC4MB_FireSimRocketConfig", "BaseF1Config") -// Multiclock tests -class RocketMulticlockF1Tests extends FireSimTestSuite( - "FireSim", - "FireSimMulticlockRocketConfig", - "WithSynthAsserts_BaseF1Config") class CVA6F1Tests extends FireSimTestSuite("FireSim", "WithNIC_DDR3FRFCFSLLC4MB_FireSimCVA6Config", "BaseF1Config") @@ -119,5 +114,4 @@ class CVA6F1Tests extends FireSimTestSuite("FireSim", "WithNIC_DDR3FRFCFSLLC4MB_ class CITests extends Suites( new RocketF1Tests, new BoomF1Tests, - new RocketNICF1Tests, - new RocketMulticlockF1Tests) + new RocketNICF1Tests) From 06b8cf84f9c1c2f591c3acf2cb37e93d16d920aa Mon Sep 17 00:00:00 2001 From: alonamid Date: Wed, 2 Jun 2021 20:00:02 -0700 Subject: [PATCH 189/225] update default firesim config freqs --- generators/firechip/src/main/scala/TargetConfigs.scala | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index be7845f5a4..ac036d64e3 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -105,8 +105,12 @@ class WithFireSimHighPerfClocking extends Config( class WithFireSimConfigTweaks extends Config( // 1 GHz matches the FASED default, using some other frequency will require // runnings the FASED runtime configuration generator to generate faithful DDR3 timing values. + new chipyard.config.WithPeripheryBusFrequency(1000.0) ++ + new chipyard.config.WithMemoryBusFrequency(1000.0) ++ new chipyard.config.WithSystemBusFrequency(1000.0) ++ new chipyard.config.WithSystemBusFrequencyAsDefault ++ // All unspecified clock frequencies, notably the implicit clock, will use the sbus freq (1000 MHz) + new chipyard.config.WithAsynchrousMemoryBusCrossing ++ + new testchipip.WithAsynchronousSerialSlaveCrossing ++ new WithFireSimDesignTweaks ) From abd7bfa6075cf882620bddf50206876ce3c36479 Mon Sep 17 00:00:00 2001 From: alonamid Date: Wed, 2 Jun 2021 21:25:02 -0700 Subject: [PATCH 190/225] safe pbus freq to match sbus --- generators/chipyard/src/main/scala/ConfigFragments.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index da4d9edfdb..418d394bbb 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -325,7 +325,7 @@ class WithTestChipBusFreqs extends Config( new chipyard.config.WithTileFrequency(1600.0) ++ // Matches the maximum frequency of U540 new chipyard.config.WithSystemBusFrequency(800.0) ++ // Put the system bus at a lower freq, representative of ncore working at a lower frequency than the tiles. Same freq as U540 new chipyard.config.WithMemoryBusFrequency(1000.0) ++ // 2x the U540 freq (appropriate for a 128b Mbus) - new chipyard.config.WithPeripheryBusFrequency(100) ++ // Retains the default pbus frequency + new chipyard.config.WithPeripheryBusFrequency(800.0) ++ // Match the sbus and pbus frequency new chipyard.config.WithSystemBusFrequencyAsDefault ++ // All unspecified clock frequencies, notably the implicit clock, will use the sbus freq (800 MHz) // Crossing specifications new chipyard.config.WithCbusToPbusCrossingType(AsynchronousCrossing()) ++ // Add Async crossing between PBUS and CBUS From f2b56072a102aa653e390dbd4406109f2cc90ae3 Mon Sep 17 00:00:00 2001 From: alonamid Date: Wed, 2 Jun 2021 21:26:29 -0700 Subject: [PATCH 191/225] remove crossings in single clock domain --- generators/firechip/src/main/scala/TargetConfigs.scala | 2 -- 1 file changed, 2 deletions(-) diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index ac036d64e3..d9e25a142c 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -109,8 +109,6 @@ class WithFireSimConfigTweaks extends Config( new chipyard.config.WithMemoryBusFrequency(1000.0) ++ new chipyard.config.WithSystemBusFrequency(1000.0) ++ new chipyard.config.WithSystemBusFrequencyAsDefault ++ // All unspecified clock frequencies, notably the implicit clock, will use the sbus freq (1000 MHz) - new chipyard.config.WithAsynchrousMemoryBusCrossing ++ - new testchipip.WithAsynchronousSerialSlaveCrossing ++ new WithFireSimDesignTweaks ) From c5a0e26e01bc05f85dff8f97dfd41b1df4781d1b Mon Sep 17 00:00:00 2001 From: alonamid Date: Thu, 3 Jun 2021 11:41:57 -0700 Subject: [PATCH 192/225] revert pbus freq change --- generators/chipyard/src/main/scala/ConfigFragments.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index 418d394bbb..8bb455b4b7 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -325,7 +325,7 @@ class WithTestChipBusFreqs extends Config( new chipyard.config.WithTileFrequency(1600.0) ++ // Matches the maximum frequency of U540 new chipyard.config.WithSystemBusFrequency(800.0) ++ // Put the system bus at a lower freq, representative of ncore working at a lower frequency than the tiles. Same freq as U540 new chipyard.config.WithMemoryBusFrequency(1000.0) ++ // 2x the U540 freq (appropriate for a 128b Mbus) - new chipyard.config.WithPeripheryBusFrequency(800.0) ++ // Match the sbus and pbus frequency + new chipyard.config.WithPeripheryBusFrequency(100) ++ // Match the sbus and pbus frequency new chipyard.config.WithSystemBusFrequencyAsDefault ++ // All unspecified clock frequencies, notably the implicit clock, will use the sbus freq (800 MHz) // Crossing specifications new chipyard.config.WithCbusToPbusCrossingType(AsynchronousCrossing()) ++ // Add Async crossing between PBUS and CBUS From a18f74053f6f0a90ad97e262cddfc112f172c784 Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Thu, 3 Jun 2021 18:45:28 -0700 Subject: [PATCH 193/225] Remove hvsetvl --- toolchains/esp-tools/riscv-gnu-toolchain | 2 +- toolchains/esp-tools/riscv-isa-sim | 2 +- toolchains/esp-tools/riscv-tests | 2 +- toolchains/riscv-tools/riscv-gnu-toolchain | 2 +- toolchains/riscv-tools/riscv-isa-sim | 2 +- toolchains/riscv-tools/riscv-tests | 2 +- 6 files changed, 6 insertions(+), 6 deletions(-) diff --git a/toolchains/esp-tools/riscv-gnu-toolchain b/toolchains/esp-tools/riscv-gnu-toolchain index 5d45e7748f..e0ffff1b48 160000 --- a/toolchains/esp-tools/riscv-gnu-toolchain +++ b/toolchains/esp-tools/riscv-gnu-toolchain @@ -1 +1 @@ -Subproject commit 5d45e7748f49c3687d1857c9399b28ba96325c05 +Subproject commit e0ffff1b48859eeddfb44c5739e03075186ca723 diff --git a/toolchains/esp-tools/riscv-isa-sim b/toolchains/esp-tools/riscv-isa-sim index 1c52121694..2f8211022e 160000 --- a/toolchains/esp-tools/riscv-isa-sim +++ b/toolchains/esp-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit 1c52121694b4390cabcafe7562da32ead8e6ca06 +Subproject commit 2f8211022e7f69aee2b41454a37a67395aa9b3e9 diff --git a/toolchains/esp-tools/riscv-tests b/toolchains/esp-tools/riscv-tests index 8b4192c95b..7c3dfd3205 160000 --- a/toolchains/esp-tools/riscv-tests +++ b/toolchains/esp-tools/riscv-tests @@ -1 +1 @@ -Subproject commit 8b4192c95bc72a47cdcd64a2bbc812fc69c2a7eb +Subproject commit 7c3dfd3205fa90104db06346e797a8eeea149207 diff --git a/toolchains/riscv-tools/riscv-gnu-toolchain b/toolchains/riscv-tools/riscv-gnu-toolchain index 2855d823a6..88b004d4c2 160000 --- a/toolchains/riscv-tools/riscv-gnu-toolchain +++ b/toolchains/riscv-tools/riscv-gnu-toolchain @@ -1 +1 @@ -Subproject commit 2855d823a6e93d50af604264b02ced951e80de67 +Subproject commit 88b004d4c2a7d4e4f08b17ee32d2d4b9c194114e diff --git a/toolchains/riscv-tools/riscv-isa-sim b/toolchains/riscv-tools/riscv-isa-sim index acd953afd2..06f630cb30 160000 --- a/toolchains/riscv-tools/riscv-isa-sim +++ b/toolchains/riscv-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit acd953afd2f52d64e2264c2c7c713dc0ad614406 +Subproject commit 06f630cb30c60c32452058710e98c7b05106b7f5 diff --git a/toolchains/riscv-tools/riscv-tests b/toolchains/riscv-tools/riscv-tests index 19bfdab48c..1ce128fa78 160000 --- a/toolchains/riscv-tools/riscv-tests +++ b/toolchains/riscv-tools/riscv-tests @@ -1 +1 @@ -Subproject commit 19bfdab48c2a6da4a2c67d5779757da7b073811d +Subproject commit 1ce128fa78c24bb0ed399c647e7139322b5353a7 From 610adfc3f79fa01e28ab4899c6442228dbb3e397 Mon Sep 17 00:00:00 2001 From: alonamid Date: Thu, 3 Jun 2021 22:23:17 -0700 Subject: [PATCH 194/225] address PR review comments --- .../chipyard/src/main/scala/ConfigFragments.scala | 5 +++-- generators/firechip/src/main/scala/TargetConfigs.scala | 10 ++++++---- 2 files changed, 9 insertions(+), 6 deletions(-) diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index 8bb455b4b7..0e07b03811 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -325,11 +325,12 @@ class WithTestChipBusFreqs extends Config( new chipyard.config.WithTileFrequency(1600.0) ++ // Matches the maximum frequency of U540 new chipyard.config.WithSystemBusFrequency(800.0) ++ // Put the system bus at a lower freq, representative of ncore working at a lower frequency than the tiles. Same freq as U540 new chipyard.config.WithMemoryBusFrequency(1000.0) ++ // 2x the U540 freq (appropriate for a 128b Mbus) - new chipyard.config.WithPeripheryBusFrequency(100) ++ // Match the sbus and pbus frequency + new chipyard.config.WithPeripheryBusFrequency(800.0) ++ // Match the sbus and pbus frequency new chipyard.config.WithSystemBusFrequencyAsDefault ++ // All unspecified clock frequencies, notably the implicit clock, will use the sbus freq (800 MHz) // Crossing specifications new chipyard.config.WithCbusToPbusCrossingType(AsynchronousCrossing()) ++ // Add Async crossing between PBUS and CBUS new chipyard.config.WithSbusToMbusCrossingType(AsynchronousCrossing()) ++ // Add Async crossings between backside of L2 and MBUS - new freechips.rocketchip.subsystem.WithRationalRocketTiles ++ // Add rational crossings between RocketTile and uncore + new freechips.rocketchip.subsystem.WithAsynchronousRocketTiles(4,4) ++ // Add Async crossings between RocketTile and uncore + new boom.common.WithAsynchronousBoomTiles ++ // Add Async crossings between BoomTile and uncore new testchipip.WithAsynchronousSerialSlaveCrossing // Add Async crossing between serial and MBUS. Its master-side is tied to the FBUS ) diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index d9e25a142c..691ef15dda 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -103,12 +103,14 @@ class WithFireSimHighPerfClocking extends Config( // Tweaks that are generally applied to all firesim configs setting a single clock domain at 1000 MHz class WithFireSimConfigTweaks extends Config( - // 1 GHz matches the FASED default, using some other frequency will require - // runnings the FASED runtime configuration generator to generate faithful DDR3 timing values. - new chipyard.config.WithPeripheryBusFrequency(1000.0) ++ - new chipyard.config.WithMemoryBusFrequency(1000.0) ++ + // 1 GHz matches the FASED default (DRAM modeli realistically configured for that frequency) + // Using some other frequency will require runnings the FASED runtime configuration generator + // to generate faithful DDR3 timing values. new chipyard.config.WithSystemBusFrequency(1000.0) ++ new chipyard.config.WithSystemBusFrequencyAsDefault ++ // All unspecified clock frequencies, notably the implicit clock, will use the sbus freq (1000 MHz) + // Explicitly set PBUS + MBUS to 1000 MHz, since they will be driven to 100 MHz by default because of assignments in the Chisel + new chipyard.config.WithPeripheryBusFrequency(1000.0) ++ + new chipyard.config.WithMemoryBusFrequency(1000.0) ++ new WithFireSimDesignTweaks ) From f8f7d36294b57b5089e77b67a77964e3c2e4795a Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Fri, 4 Jun 2021 00:51:09 -0700 Subject: [PATCH 195/225] Fix conflict --- toolchains/esp-tools/riscv-isa-sim | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/toolchains/esp-tools/riscv-isa-sim b/toolchains/esp-tools/riscv-isa-sim index 2f8211022e..88c223fe7a 160000 --- a/toolchains/esp-tools/riscv-isa-sim +++ b/toolchains/esp-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit 2f8211022e7f69aee2b41454a37a67395aa9b3e9 +Subproject commit 88c223fe7a83c11bd8a4583d24845821e86fb3d0 From 23236e8a0fee54cab5461481c1bb7386acf4a2ef Mon Sep 17 00:00:00 2001 From: Jerry Zhao Date: Sat, 5 Jun 2021 16:00:32 -0700 Subject: [PATCH 196/225] Add default support for I2C and PWM IOs. (#885) Default generator now supports I2C and PWM periphery blocks. --- generators/chipyard/src/main/scala/DigitalTop.scala | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/generators/chipyard/src/main/scala/DigitalTop.scala b/generators/chipyard/src/main/scala/DigitalTop.scala index 3407b4da2d..a91fa47953 100644 --- a/generators/chipyard/src/main/scala/DigitalTop.scala +++ b/generators/chipyard/src/main/scala/DigitalTop.scala @@ -19,6 +19,8 @@ class DigitalTop(implicit p: Parameters) extends ChipyardSystem with testchipip.CanHaveBackingScratchpad // Enables optionally adding a backing scratchpad with testchipip.CanHavePeripheryBlockDevice // Enables optionally adding the block device with testchipip.CanHavePeripheryTLSerial // Enables optionally adding the backing memory and serial adapter + with sifive.blocks.devices.i2c.HasPeripheryI2C // Enables optionally adding the sifive I2C + with sifive.blocks.devices.pwm.HasPeripheryPWM // Enables optionally adding the sifive PWM with sifive.blocks.devices.uart.HasPeripheryUART // Enables optionally adding the sifive UART with sifive.blocks.devices.gpio.HasPeripheryGPIO // Enables optionally adding the sifive GPIOs with sifive.blocks.devices.spi.HasPeripherySPIFlash // Enables optionally adding the sifive SPI flash controller @@ -35,6 +37,8 @@ class DigitalTop(implicit p: Parameters) extends ChipyardSystem class DigitalTopModule[+L <: DigitalTop](l: L) extends ChipyardSystemModule(l) with testchipip.CanHaveTraceIOModuleImp + with sifive.blocks.devices.i2c.HasPeripheryI2CModuleImp + with sifive.blocks.devices.pwm.HasPeripheryPWMModuleImp with sifive.blocks.devices.uart.HasPeripheryUARTModuleImp with sifive.blocks.devices.gpio.HasPeripheryGPIOModuleImp with sifive.blocks.devices.spi.HasPeripherySPIFlashModuleImp From 95e245aea50f1d27a0cd5b00384c6e8377105264 Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Sun, 6 Jun 2021 00:14:45 -0700 Subject: [PATCH 197/225] Change spike version --- toolchains/esp-tools/riscv-isa-sim | 2 +- toolchains/riscv-tools/riscv-isa-sim | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/toolchains/esp-tools/riscv-isa-sim b/toolchains/esp-tools/riscv-isa-sim index 88c223fe7a..6c758c8510 160000 --- a/toolchains/esp-tools/riscv-isa-sim +++ b/toolchains/esp-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit 88c223fe7a83c11bd8a4583d24845821e86fb3d0 +Subproject commit 6c758c8510183b4ea6c2927ad8e19aa86f228adf diff --git a/toolchains/riscv-tools/riscv-isa-sim b/toolchains/riscv-tools/riscv-isa-sim index 06f630cb30..bf4b1e09ed 160000 --- a/toolchains/riscv-tools/riscv-isa-sim +++ b/toolchains/riscv-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit 06f630cb30c60c32452058710e98c7b05106b7f5 +Subproject commit bf4b1e09ed8e7a11ecff9891b12ce5d7f3375722 From 798d523c79fff365cdedc7107981d3b1900344d4 Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Sun, 6 Jun 2021 01:03:41 -0700 Subject: [PATCH 198/225] Update sha3 repo --- .circleci/run-tests.sh | 3 +++ generators/sha3 | 2 +- 2 files changed, 4 insertions(+), 1 deletion(-) diff --git a/.circleci/run-tests.sh b/.circleci/run-tests.sh index 5ea53c7826..10fd58fb4c 100755 --- a/.circleci/run-tests.sh +++ b/.circleci/run-tests.sh @@ -65,6 +65,9 @@ case $1 in $LOCAL_SIM_DIR/simulator-chipyard-GemminiRocketConfig $GEMMINI_SOFTWARE_DIR/build/bareMetalC/mvin_mvout-baremetal ;; chipyard-sha3) + export RISCV=$LOCAL_ESP_DIR + export LD_LIBRARY_PATH=$LOCAL_ESP_DIR/lib + export PATH=$RISCV/bin:$PATH (cd $LOCAL_CHIPYARD_DIR/generators/sha3/software && ./build.sh) $LOCAL_SIM_DIR/simulator-chipyard-Sha3RocketConfig $LOCAL_CHIPYARD_DIR/generators/sha3/software/benchmarks/bare/sha3-rocc.riscv ;; diff --git a/generators/sha3 b/generators/sha3 index 74e41f5792..21035e4985 160000 --- a/generators/sha3 +++ b/generators/sha3 @@ -1 +1 @@ -Subproject commit 74e41f579213549501ccf292d101f9db73ee2347 +Subproject commit 21035e498573b0e541cb87fe330e9d8dcfc88238 From 56f595b3c0a13bf4a732a46d5ed424e56e4f1eb7 Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Sun, 6 Jun 2021 13:29:04 -0700 Subject: [PATCH 199/225] Fix toolchain used for sha3 --- .circleci/config.yml | 1 + 1 file changed, 1 insertion(+) diff --git a/.circleci/config.yml b/.circleci/config.yml index fe932ecc57..3d4ef14e5d 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -287,6 +287,7 @@ jobs: executor: main-env steps: - run-tests: + tools-version: "esp-tools" group-key: "group-accels" project-key: "chipyard-sha3" chipyard-streaming-fir-run-tests: From a7214e671c0731d9d00effda648210cd0da08219 Mon Sep 17 00:00:00 2001 From: Harrison Liew Date: Sun, 6 Jun 2021 20:19:42 -0700 Subject: [PATCH 200/225] TinyRocketConfig thru par. sim runs, but gl-sim times out. --- vlsi/Makefile | 26 ++++-- vlsi/example-asap7.yml | 153 ++++++++++++++++++----------------- vlsi/example-tools.yml | 2 +- vlsi/hammer | 2 +- vlsi/hammer-cadence-plugins | 2 +- vlsi/hammer-synopsys-plugins | 2 +- vlsi/sim.mk | 2 +- 7 files changed, 106 insertions(+), 83 deletions(-) diff --git a/vlsi/Makefile b/vlsi/Makefile index 413b5405ab..8d8f3fb339 100644 --- a/vlsi/Makefile +++ b/vlsi/Makefile @@ -26,21 +26,21 @@ SMEMS_COMP ?= $(tech_dir)/sram-compiler.json SMEMS_CACHE ?= $(tech_dir)/sram-cache.json SMEMS_HAMMER ?= $(build_dir)/$(long_name).mems.hammer.json -ifeq ($(tech_name),asap7) - MACROCOMPILER_MODE ?= --mode synflops -else ifdef USE_SRAM_COMPILER +ifdef USE_SRAM_COMPILER MACROCOMPILER_MODE ?= -l $(SMEMS_COMP) --use-compiler -hir $(SMEMS_HAMMER) --mode strict else MACROCOMPILER_MODE ?= -l $(SMEMS_CACHE) -hir $(SMEMS_HAMMER) --mode strict endif ENV_YML ?= $(vlsi_dir)/env.yml -INPUT_CONFS ?= $(if $(filter $(tech_name),nangate45),\ +INPUT_CONFS ?= example-tools.yml \ + $(if $(filter $(tech_name),nangate45),\ example-nangate45.yml,\ example-asap7.yml) HAMMER_EXEC ?= ./example-vlsi VLSI_TOP ?= $(TOP) VLSI_HARNESS_DUT_NAME ?= chiptop +# If overriding, this should be relative to $(vlsi_dir) VLSI_OBJ_DIR ?= build ifneq ($(CUSTOM_VLOG),) OBJ_DIR ?= $(vlsi_dir)/$(VLSI_OBJ_DIR)/custom-$(VLSI_TOP) @@ -100,6 +100,19 @@ $(SRAM_CONF): $(SRAM_GENERATOR_CONF) # simulation input configuration ######################################################################################### include $(base_dir)/vcs.mk + +SIM_FILE_REQS += \ + $(ROCKETCHIP_RSRCS_DIR)/vsrc/TestDriver.v + +# copy files but ignore *.h files in *.f since vcs has +incdir+$(build_dir) +$(sim_files): $(SIM_FILE_REQS) | $(build_dir) + cp -f $^ $(build_dir) + $(foreach file,\ + $^,\ + $(if $(filter %.h,$(file)),\ + ,\ + echo "$(addprefix $(build_dir)/, $(notdir $(file)))" >> $@;)) + SIM_CONF = $(OBJ_DIR)/sim-inputs.yml SIM_DEBUG_CONF = $(OBJ_DIR)/sim-debug-inputs.yml SIM_TIMING_CONF = $(OBJ_DIR)/sim-timing-inputs.yml @@ -157,6 +170,9 @@ $(SIM_DEBUG_CONF): $(VLSI_RTL) $(HARNESS_FILE) $(HARNESS_SMEMS_FILE) $(sim_commo echo ' - "'$$x'"' >> $@; \ done echo " execution_flags_meta: 'append'" >> $@ + echo " saif.mode: 'time'" >> $@ + echo " saif.start_time: '0ns'" >> $@ + echo " saif.end_time: '`bc <<< $(timeout_cycles)*$(CLOCK_PERIOD)`ns'" >> $@ echo "sim.outputs.waveforms: ['$(sim_out_name).vpd']" >> $@ $(SIM_TIMING_CONF): $(VLSI_RTL) $(HARNESS_FILE) $(HARNESS_SMEMS_FILE) $(sim_common_files) @@ -192,7 +208,7 @@ endif SYN_CONF = $(OBJ_DIR)/inputs.yml GENERATED_CONFS = $(SYN_CONF) ifeq ($(CUSTOM_VLOG), ) - GENERATED_CONFS += $(if $(filter $(tech_name), asap7), , $(SRAM_CONF)) + GENERATED_CONFS += $(SRAM_CONF) endif $(SYN_CONF): $(VLSI_RTL) $(VLSI_BB) diff --git a/vlsi/example-asap7.yml b/vlsi/example-asap7.yml index c8e4a72b85..a914e1a6fa 100644 --- a/vlsi/example-asap7.yml +++ b/vlsi/example-asap7.yml @@ -2,7 +2,8 @@ # Technology used is ASAP7 vlsi.core.technology: asap7 # Specify dir with ASAP7 tarball -technology.asap7.tarball_dir: "" +#technology.asap7.tarball_dir: "" +technology.asap7.tarball_dir: "/tools/B/asap7" vlsi.core.max_threads: 12 @@ -40,39 +41,72 @@ par.generate_power_straps_options: track_spacing: 0 track_spacing_M3: 1 # to avoid M2 shorts at higher density track_start: 10 - power_utilization: 0.05 + power_utilization: 0.2 power_utilization_M8: 1.0 power_utilization_M9: 1.0 # Placement Constraints # For ASAP7, all numbers must be 4x larger than final GDS vlsi.inputs.placement_constraints: - - path: "Sha3AccelwBB" + - path: "ChipTop" type: toplevel x: 0 y: 0 - width: 300 - height: 300 + width: 800 + height: 500 margins: left: 0 right: 0 top: 0 bottom: 0 - - path: "Sha3AccelwBB/dco" + - path: "ChipTop/system/tile_prci_domain/tile_reset_domain/tile/dcache/data/data_arrays_0/data_arrays_0_ext/mem_0_0" + type: hardmacro + x: 550 + y: 25 + orientation: "r0" + top_layer: "M4" + master: "SRAM1RW4096x8" + - path: "ChipTop/system/tile_prci_domain/tile_reset_domain/tile/dcache/data/data_arrays_0/data_arrays_0_ext/mem_0_1" + type: hardmacro + x: 550 + y: 270 + orientation: "r0" + top_layer: "M4" + - path: "ChipTop/system/tile_prci_domain/tile_reset_domain/tile/dcache/data/data_arrays_0/data_arrays_0_ext/mem_0_2" + type: hardmacro + x: 675 + y: 25 + orientation: "r0" + top_layer: "M4" + master: "SRAM1RW4096x8" + - path: "ChipTop/system/tile_prci_domain/tile_reset_domain/tile/dcache/data/data_arrays_0/data_arrays_0_ext/mem_0_3" + type: hardmacro + x: 675 + y: 270 + orientation: "r0" + top_layer: "M4" + master: "SRAM1RW4096x8" + - path: "ChipTop/system/tile_prci_domain/tile_reset_domain/tile/frontend/icache/tag_array/tag_array_ext/mem_0_0" + type: hardmacro + x: 125 + y: 150 + orientation: "my" + top_layer: "M4" + master: "SRAM1RW64x21" + - path: "ChipTop/system/tile_prci_domain/tile_reset_domain/tile/frontend/icache/data_arrays_0/data_arrays_0_0_ext/mem_0_0" type: hardmacro - x: 108 - y: 108 - width: 128 - height: 128 - orientation: r0 - top_layer: M9 - - path: "Sha3AccelwBB/place_obs_bottom" - type: obstruction - obs_types: ["place"] x: 0 - y: 0 - width: 300 - height: 1.08 # 1 core site tall, necessary to avoid shorts + y: 25 + orientation: "my" + top_layer: "M4" + master: "SRAM1RW1024x32" + - path: "ChipTop/system/tile_prci_domain/tile_reset_domain/tile/ptw/l2_tlb_ram/l2_tlb_ram_ext/mem_0_0" + type: hardmacro + x: 0 + y: 260 + orientation: "my" + top_layer: "M4" + master: "SRAM1RW1024x37" # Pin placement constraints vlsi.inputs.pin_mode: generated @@ -81,64 +115,37 @@ vlsi.inputs.pin.assignments: [ {pins: "*", layers: ["M5", "M7"], side: "bottom"} ] -# Paths to extra libraries -vlsi.technology.extra_libraries_meta: ["append", "deepsubst"] -vlsi.technology.extra_libraries: - - library: - nldm liberty file_deepsubst_meta: "local" - nldm liberty file: "extra_libraries/example/ExampleDCO_PVT_0P63V_100C.lib" - lef file_deepsubst_meta: "local" - lef file: "extra_libraries/example/ExampleDCO.lef" - gds file_deepsubst_meta: "local" - gds file: "extra_libraries/example/ExampleDCO.gds" - corner: - nmos: "slow" - pmos: "slow" - temperature: "100 C" - supplies: - VDD: "0.63 V" - GND: "0 V" - - library: - nldm liberty file_deepsubst_meta: "local" - nldm liberty file: "extra_libraries/example/ExampleDCO_PVT_0P77V_0C.lib" - lef file_deepsubst_meta: "local" - lef file: "extra_libraries/example/ExampleDCO.lef" - gds file_deepsubst_meta: "local" - gds file: "extra_libraries/example/ExampleDCO.gds" - corner: - nmos: "fast" - pmos: "fast" - temperature: "0 C" - supplies: - VDD: "0.77 V" - GND: "0 V" - -# Because the DCO is a dummy layout, we treat it as a physical-only cell -par.inputs.physical_only_cells_mode: append -par.inputs.physical_only_cells_list: - - ExampleDCO - # SRAM Compiler compiler options vlsi.core.sram_generator_tool: "sram_compiler" # You should specify a location for the SRAM generator in the tech plugin -vlsi.core.sram_generator_tool_path: [] +vlsi.core.sram_generator_tool_path: ["hammer/src/hammer-vlsi/technology/asap7"] vlsi.core.sram_generator_tool_path_meta: "append" # Tool options. Replace with your tool plugin of choice. -# Genus options -vlsi.core.synthesis_tool: "genus" -vlsi.core.synthesis_tool_path: ["hammer-cadence-plugins/synthesis"] -vlsi.core.synthesis_tool_path_meta: "append" -synthesis.genus.version: "1813" -# Innovus options -vlsi.core.par_tool: "innovus" -vlsi.core.par_tool_path: ["hammer-cadence-plugins/par"] -vlsi.core.par_tool_path_meta: "append" -par.innovus.version: "181" -par.innovus.design_flow_effort: "standard" -par.inputs.gds_merge: true -# Calibre options -vlsi.core.drc_tool: "calibre" -vlsi.core.drc_tool_path: ["hammer-mentor-plugins/drc"] -vlsi.core.lvs_tool: "calibre" -vlsi.core.lvs_tool_path: ["hammer-mentor-plugins/lvs"] +# VCS options +#vlsi.core.sim_tool: "vcs" +#vlsi.core.sim_tool_path: ["hammer-synopsys-plugins/sim"] +#vlsi.core.sim_tool_path_meta: "append" +#sim.vcs.version: "P-2019.06" +## Genus options +#vlsi.core.synthesis_tool: "genus" +#vlsi.core.synthesis_tool_path: ["hammer-cadence-plugins/synthesis"] +#vlsi.core.synthesis_tool_path_meta: "append" +#synthesis.genus.version: "1813" +## Innovus options +#vlsi.core.par_tool: "innovus" +#vlsi.core.par_tool_path: ["hammer-cadence-plugins/par"] +#vlsi.core.par_tool_path_meta: "append" +#par.innovus.version: "191" +#par.innovus.design_flow_effort: "standard" +#par.inputs.gds_merge: true +## Voltus options +#vlsi.core.power_tool: "voltus" +#vlsi.core.power_tool_path: ["hammer-cadence-plugins/power"] +#vlsi.core.power_tool_path_meta: "append" +#power.voltus.version: "191" +## Calibre options +#vlsi.core.drc_tool: "calibre" +#vlsi.core.drc_tool_path: ["hammer-mentor-plugins/drc"] +#vlsi.core.lvs_tool: "calibre" +#vlsi.core.lvs_tool_path: ["hammer-mentor-plugins/lvs"] diff --git a/vlsi/example-tools.yml b/vlsi/example-tools.yml index 338481ec5e..aad8afe52d 100644 --- a/vlsi/example-tools.yml +++ b/vlsi/example-tools.yml @@ -26,7 +26,7 @@ vlsi.core.lvs_tool_path: ["hammer-mentor-plugins/lvs"] vlsi.core.sim_tool: "vcs" vlsi.core.sim_tool_path: ["hammer-synopsys-plugins/sim"] sim.vcs.version: "P-2019.06-SP2-5" -# # Voltus options +# Voltus options vlsi.core.power_tool: "voltus" vlsi.core.power_tool_path: ["hammer-cadence-plugins/power"] vlsi.core.power_tool_path_meta: "append" diff --git a/vlsi/hammer b/vlsi/hammer index 8fd1486499..353af21da3 160000 --- a/vlsi/hammer +++ b/vlsi/hammer @@ -1 +1 @@ -Subproject commit 8fd1486499b875d56f09b060f03a62775f0a6aa7 +Subproject commit 353af21da3fe6f0c2e054ac513b5db583031b962 diff --git a/vlsi/hammer-cadence-plugins b/vlsi/hammer-cadence-plugins index 3e5b046be1..81e9a97f84 160000 --- a/vlsi/hammer-cadence-plugins +++ b/vlsi/hammer-cadence-plugins @@ -1 +1 @@ -Subproject commit 3e5b046be13fb3fd4e00402acfbfd295a5da0a68 +Subproject commit 81e9a97f84e0ecb0a1f998dd57ea8120ae0d76ee diff --git a/vlsi/hammer-synopsys-plugins b/vlsi/hammer-synopsys-plugins index f8a7922220..5825e6dc4b 160000 --- a/vlsi/hammer-synopsys-plugins +++ b/vlsi/hammer-synopsys-plugins @@ -1 +1 @@ -Subproject commit f8a7922220c70b6905b37ab30bda6c791b594792 +Subproject commit 5825e6dc4b935e0c1ce3030dc7a94bd8d90ee5eb diff --git a/vlsi/sim.mk b/vlsi/sim.mk index 9f3f74ec37..5bd02853a2 100644 --- a/vlsi/sim.mk +++ b/vlsi/sim.mk @@ -31,7 +31,7 @@ redo-sim-par-$(VLSI_TOP): $(SIM_CONF) redo-sim-par: override HAMMER_EXTRA_ARGS += -p $(SIM_CONF) redo-sim-par-$(VLSI_TOP): override HAMMER_EXTRA_ARGS += -p $(SIM_CONF) redo-sim-par: override HAMMER_SIM_RUN_DIR = sim-par-rundir -redo-sim-par-$(VLSI_TOP: override HAMMER_SIM_RUN_DIR = sim-par-$(VLSI_TOP) +redo-sim-par-$(VLSI_TOP): override HAMMER_SIM_RUN_DIR = sim-par-$(VLSI_TOP) redo-sim-par-debug: $(SIM_DEBUG_CONF) redo-sim-par redo-sim-par-debug-$(VLSI_TOP): $(SIM_DEBUG_CONF) redo-sim-par-$(VLSI_TOP) redo-sim-par-debug: override HAMMER_EXTRA_ARGS += -p $(SIM_DEBUG_CONF) From f08b22885a214381d4b3de49564ebee0eaf4f057 Mon Sep 17 00:00:00 2001 From: Harrison Liew Date: Sun, 6 Jun 2021 21:17:55 -0700 Subject: [PATCH 201/225] update docs to reflect new tutorial example, remove old dummy DCO stuff --- docs/VLSI/Basic-Flow.rst | 20 +- docs/VLSI/Hammer.rst | 2 + docs/VLSI/Tutorial.rst | 65 ++- vlsi/Makefile | 3 +- vlsi/example.v | 65 --- vlsi/extra_libraries/example/ExampleDCO.gds | Bin 8556 -> 0 bytes vlsi/extra_libraries/example/ExampleDCO.lef | 377 ------------------ .../example/ExampleDCO_PVT_0P63V_100C.lib | 142 ------- .../example/ExampleDCO_PVT_0P77V_0C.lib | 142 ------- 9 files changed, 60 insertions(+), 756 deletions(-) delete mode 100644 vlsi/example.v delete mode 100644 vlsi/extra_libraries/example/ExampleDCO.gds delete mode 100644 vlsi/extra_libraries/example/ExampleDCO.lef delete mode 100644 vlsi/extra_libraries/example/ExampleDCO_PVT_0P63V_100C.lib delete mode 100644 vlsi/extra_libraries/example/ExampleDCO_PVT_0P77V_0C.lib diff --git a/docs/VLSI/Basic-Flow.rst b/docs/VLSI/Basic-Flow.rst index 27178a5422..764e5615b0 100644 --- a/docs/VLSI/Basic-Flow.rst +++ b/docs/VLSI/Basic-Flow.rst @@ -63,17 +63,19 @@ We will do so by calling ``make buildfile`` with appropriate Chipyard configurat As in the rest of the Chipyard flows, we specify our SoC configuration using the ``CONFIG`` make variable. However, unlike the rest of the Chipyard flows, in the case of physical design we might be interested in working in a hierarchical fashion and therefore we would like to work on a single module. Therefore, we can also specify a ``VLSI_TOP`` make variable with the same of a specific Verilog module (which should also match the name of the equivalent Chisel module) which we would like to work on. -The makefile will automatically call tools such as Barstools and the MacroCopmiler (:ref:`Tools/Barstools:barstools`) in order to make the generated Verilog more VLSI friendly. -By default, the MacroCopmiler will attempt to map memories into the SRAM options within the Hammer technology plugin. However, if you are wokring with a new process technology are prefer to work with flipflop arrays, you can configure the MacroCompiler using the ``MACROCOMPILER_MODE`` make variable. For example, the ASAP7 process technology does not have associated SRAMs, and therefore the ASAP7 Hammer tutorial (:ref:`tutorial`) uses the ``MACROCOMPILER_MODE='--mode synflops'`` option (Note that synthesizing a design with only flipflops is very slow and will often may not meet constraints). +The makefile will automatically call tools such as Barstools and the MacroCompiler (:ref:`Tools/Barstools:barstools`) in order to make the generated Verilog more VLSI friendly. +By default, the MacroCompiler will attempt to map memories into the SRAM options within the Hammer technology plugin. However, if you are wokring with a new process technology are prefer to work with flipflop arrays, you can configure the MacroCompiler using the ``MACROCOMPILER_MODE`` make variable. For example, if your technology plugin does not have an SRAM compiler ready, you can use the ``MACROCOMPILER_MODE='--mode synflops'`` option (Note that synthesizing a design with only flipflops is very slow and will often may not meet constraints). We call the ``make buildfile`` command while also specifying the name of the process technology we are working with (same ``tech_name`` for the configuration files and plugin name) and the configuration files we created. Note, in the ASAP7 tutorial ((:ref:`tutorial`)) these configuration files are merged into a single file called ``example-asap7.yml``. Hence, if we want to monolithically place and route the entire SoC, the relevant command would be + .. code-block:: shell make buildfile CONFIG= tech_name= INPUT_CONFS="example-design.yml example-tools.yml example-tech.yml" -In a more typical scenario of working on a single module, for example the Gemmini accelerator within the GemminiRocketConfig Chipyard SoC configuration, the relevant command would be +In a more typical scenario of working on a single module, for example the Gemmini accelerator within the GemminiRocketConfig Chipyard SoC configuration, the relevant command would be: + .. code-block:: shell make buildfile CONFIG=GemminiRocketConfig VLSI_TOP=Gemmini tech_name=tsmintel3 INPUT_CONFS="example-design.yml example-tools.yml example-tech.yml" @@ -89,12 +91,14 @@ Synthesis In order to run synthesis, we run ``make syn`` with the matching Make variables. Post-synthesis logs and collateral will be saved in ``build//syn-rundir``. The raw QoR data (area, timing, gate counts, etc.) will be found in ``build//syn-rundir/reports``. -Hence, if we want to monolithically synthesize the entire SoC, the relevant command would be +Hence, if we want to monolithically synthesize the entire SoC, the relevant command would be: + .. code-block:: shell make syn CONFIG= tech_name= INPUT_CONFS="example-design.yml example-tools.yml example-tech.yml" -In a more typical scenario of working on a single module, for example the Gemmini accelerator within the GemminiRocketConfig Chipyard SoC configuration, the relevant command would be +In a more typical scenario of working on a single module, for example the Gemmini accelerator within the GemminiRocketConfig Chipyard SoC configuration, the relevant command would be: + .. code-block:: shell make syn CONFIG=GemminiRocketConfig VLSI_TOP=Gemmini tech_name=tsmintel3 INPUT_CONFS="example-design.yml example-tools.yml example-tech.yml" @@ -108,7 +112,8 @@ In order to run place-and-route, we run ``make par`` with the matching Make vari Post-PnR logs and collateral will be saved in ``build//par-rundir``. Specifically, the resulting GDSII file will be in that directory with the suffix ``*.gds``. and timing reports can be found in ``build//par-rundir/timingReports``. Place-and-route is requires more design details in contrast to synthesis. For example, place-and-route requires some basic floorplanning constraints. The default ``example-design.yml`` configuration file template allows the tool (specifically, the Cadence Innovus tool) to use it's automatic floorplanning capability within the top level of the design (``ChipTop``). However, if we choose to place-and-route a specific block which is not the SoC top level, we need to change the top-level path name to match the ``VLSI_TOP`` make parameter we are using. -Hence, if we want to monolitically place-and-route the entire SoC with the default tech plug-in parameters for power-straps and corners, the relevant command would be +Hence, if we want to monolitically place-and-route the entire SoC with the default tech plug-in parameters for power-straps and corners, the relevant command would be: + .. code-block:: shell make par CONFIG= tech_name= INPUT_CONFS="example-design.yml example-tools.yml example-tech.yml" @@ -130,7 +135,8 @@ In a more typical scenario of working on a single module, for example the Gemmin top: 0 bottom: 0 -The relevant ``make`` command would then be +The relevant ``make`` command would then be: + .. code-block:: shell make par CONFIG=GemminiRocketConfig VLSI_TOP=Gemmini tech_name=tsmintel3 INPUT_CONFS="example-design.yml example-tools.yml example-tech.yml" diff --git a/docs/VLSI/Hammer.rst b/docs/VLSI/Hammer.rst index ddfc071b48..df803b1db2 100644 --- a/docs/VLSI/Hammer.rst +++ b/docs/VLSI/Hammer.rst @@ -43,6 +43,8 @@ The types of tools (by Hammer names) supported currently include: * drc * lvs * sram_generator +* sim +* power * pcb Several configuration variables are needed to configure your tool plugin of choice. diff --git a/docs/VLSI/Tutorial.rst b/docs/VLSI/Tutorial.rst index 5a227d52f1..19e291a9cc 100644 --- a/docs/VLSI/Tutorial.rst +++ b/docs/VLSI/Tutorial.rst @@ -9,7 +9,7 @@ Project Structure This example gives a suggested file structure and build system. The ``vlsi/`` folder will eventually contain the following files and folders: -* Makefile +* Makefile, sim.mk, power.mk * Integration of Hammer's build system into Chipyard and abstracts away some Hammer commands. @@ -26,17 +26,13 @@ This example gives a suggested file structure and build system. The ``vlsi/`` fo * Entry point to Hammer. Contains example placeholders for hooks. -* example.v - - * Verilog wrapper around the accelerator and dummy hard macro. - -* example-asap7.yml +* example-asap7.yml, example-tools.yml * Hammer IR for this tutorial. -* extra_libraries +* example-design.yml, example-nangate45.yml, example-tech.yml - * Contains collateral for the dummy hard macro. + * Hammer IR not used for this tutorial but provided as templates. * generated-src @@ -46,6 +42,10 @@ This example gives a suggested file structure and build system. The ``vlsi/`` fo * Core, tool, tech repositories. +* view_gds.py + + * A convenience script to view a layout using gdspy. Note that this will be very slow for large layouts (e.g. a Rocket core)! + Prerequisites ------------- @@ -54,7 +54,7 @@ Prerequisites * Genus, Innovus, and Calibre licenses * For ASAP7 specifically: - * Download the `ASAP7 PDK v1p5 `__ tarball to a directory of choice but do not extract it. The tech plugin is configured to extract the PDK into a cache directory for you. + * Download the `ASAP7 PDK v1p5 `__ tarball to a directory of choice but do not extract it. The tech plugin is configured to extract the PDK into a cache directory for you. Note: v1p5 of the PDK is not publicly available, and you will need to contact the developers for it. The v1p7 version currently has too many bugs. * If you have additional ASAP7 hard macros, their LEF & GDS need to be 4x upscaled @ 4000 DBU precision. They may live outside ``extra_libraries`` at your discretion. * Innovus version must be >= 15.2 or <= 18.1 (ISRs excluded). @@ -78,17 +78,13 @@ Pull the Hammer environment into the shell: Building the Design -------------------- -To elaborate the ``Sha3RocketConfig`` (Rocket Chip w/ the accelerator) and set up all prerequisites for the build system to push just the accelerator + hard macro through the flow: +To elaborate the ``TinyRocketConfig`` and set up all prerequisites for the build system to push just the accelerator + hard macro through the flow: .. code-block:: shell - make buildfile MACROCOMPILER_MODE='--mode synflops' CONFIG=Sha3RocketConfig VLSI_TOP=Sha3AccelwBB - -The ``MACROCOMPILER_MODE='--mode synflops'`` is needed because the ASAP7 process does not yet have a memory compiler, so flip-flop arrays are used instead. This will dramatically increase the synthesis runtime if your design has a lot of memory state (e.g. large caches). This change is automatically inferred by the makefile but is included here for completeness. + make buildfile CONFIG=TinyRocketConfig -The ``CONFIG=Sha3RocketConfig`` selects the target generator config in the same manner as the rest of the Chipyard framework. This elaborates a Rocket Chip with the Sha3Accel module. - -The ``VLSI_TOP=Sha3AccelwBB`` indicates that we are only interested in physical design of the accelerator block. If this variable is not set, the entire SoC will be pushed through physical design. Note that you should not set the ``TOP`` variable because it is used during Chisel elaboration. +The ``CONFIG=TinyRocketConfig`` selects the target generator config in the same manner as the rest of the Chipyard framework. This elaborates a stripped-down Rocket Chip in the interest of minimizing tool runtime. For the curious, ``make buildfile`` generates a set of Make targets in ``build/hammer.d``. It needs to be re-run if environment variables are changed. It is recommended that you edit these variables directly in the Makefile rather than exporting them to your shell environment. @@ -105,13 +101,13 @@ example.yml ^^^^^^^^^^^ This contains the Hammer configuration for this example project. Example clock constraints, power straps definitions, placement constraints, and pin constraints are given. Additional configuration for the extra libraries and tools are at the bottom. -First, set ``technology.asap7.tarball_dir`` to the absolute path of where the downloaded the ASAP7 PDK tarball lives. +First, set ``technology.asap7.tarball_dir`` to the absolute path to the directory where the downloaded the ASAP7 PDK tarball lives. Synthesis ^^^^^^^^^ .. code-block:: shell - make syn + make syn CONFIG=TinyRocketConfig Post-synthesis logs and collateral are in ``build/syn-rundir``. The raw QoR data is available at ``build/syn-rundir/reports``, and methods to extract this information for design space exploration are a WIP. @@ -119,7 +115,7 @@ Place-and-Route ^^^^^^^^^^^^^^^ .. code-block:: shell - make par + make par CONFIG=TinyRocketConfig After completion, the final database can be opened in an interactive Innovus session via ``./build/par-rundir/generated-scripts/open_chip``. @@ -131,7 +127,7 @@ Timing reports are found in ``build/par-rundir/timingReports``. They are gzipped .. code-block:: shell - python3 view_gds.py build/par-rundir/Sha3AccelwBB.gds + python3 view_gds.py build/chipyard.TestHarness.TinyRocketConfig/par-rundir/ChipTop.gds By default, this script only shows the M2 thru M4 routing. Layers can be toggled in the layout viewer's side pane and ``view_gds.py`` has a mapping of layer numbers to layer names. @@ -141,9 +137,34 @@ To run DRC & LVS, and view the results in Calibre: .. code-block:: shell - make drc + make drc CONFIG=TinyRocketConfig ./build/drc-rundir/generated-scripts/view-drc - make lvs + make lvs CONFIG=TinyRocketConfig ./build/lvs-rundir/generated-scripts/view-lvs Some DRC errors are expected from this PDK, as explained in the `ASAP7 plugin readme `__. +Furthermore, the dummy SRAMs that are provided do not have any geometry inside, so will certainly cause DRC and LVS errors. + +Simulation +^^^^^^^^^^ +Simulation with VCS is supported, and can be run at the RTL- or gate-level (post-synthesis and P&R). The simulation infrastructure as included here is intended for running RISC-V binaries on a Chipyard config. For example, for an RTL-level simulation: + +.. code-block:: shell + make sim-rtl CONFIG=TinyRocketConfig BINARY=$RISCV/riscv64-unknown-elf/share/riscv-tests/isa/rv64ui-p-simple + +Post-synthesis and post-P&R simulations use the ``sim-syn`` and ``sim-par`` targets, respectively. + +There are also ``-debug`` and ``-debug-timing``, which will instruct VCS to write a SAIF + VPD and do timing-annotated simulations, respectively. See the ``sim.mk`` file for all available targets. + +Note that for the ASAP7 example, gate-level simulations will timeout (the cause is being investigated). + +Power/Rail Analysis +^^^^^^^^^^^^^^^^^^^ +Post-P&R power and rail (IR drop) analysis is supported with Voltus: + +.. code-block:: shell + make power-par CONFIG=TinyRocketConfig + +If you append the ``BINARY`` variable to the command, it will use the activity file generated from a ``sim--debug`` run and report dynamic power & IR drop from the toggles encoded in the waveform. + +Note that for ASAP7, to bypass gate-level simulation, you will need to run the power tool manually (see the generated commands in the generated ``hammer.d`` buildfile). Static and active (vectorless) power & IR drop will be reported. diff --git a/vlsi/Makefile b/vlsi/Makefile index 8d8f3fb339..6ff0e735ff 100644 --- a/vlsi/Makefile +++ b/vlsi/Makefile @@ -52,7 +52,7 @@ endif # general rules ######################################################################################### ALL_RTL = $(TOP_FILE) $(TOP_SMEMS_FILE) -extra_v_includes = $(build_dir)/EICG_wrapper.v $(vlsi_dir)/example.v +extra_v_includes = $(build_dir)/EICG_wrapper.v ifneq ($(CUSTOM_VLOG), ) VLSI_RTL = $(CUSTOM_VLOG) VLSI_BB = /dev/null @@ -162,6 +162,7 @@ endif $(SIM_DEBUG_CONF): $(VLSI_RTL) $(HARNESS_FILE) $(HARNESS_SMEMS_FILE) $(sim_common_files) mkdir -p $(dir $@) + mkdir -p $(output_dir) echo "sim.inputs:" > $@ echo " defines: ['DEBUG']" >> $@ echo " defines_meta: 'append'" >> $@ diff --git a/vlsi/example.v b/vlsi/example.v deleted file mode 100644 index dbc7bec50b..0000000000 --- a/vlsi/example.v +++ /dev/null @@ -1,65 +0,0 @@ -// Sha3Accel w/ a blackbox (a dummy DCO) included inside - -module Sha3AccelwBB( // @[:example.TestHarness.Sha3RocketConfig.fir@135905.2] - input clock, // @[:example.TestHarness.Sha3RocketConfig.fir@135906.4] - input reset, // @[:example.TestHarness.Sha3RocketConfig.fir@135907.4] - output io_cmd_ready, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - input io_cmd_valid, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - input [6:0] io_cmd_bits_inst_funct, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - input [63:0] io_cmd_bits_rs1, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - input [63:0] io_cmd_bits_rs2, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - input io_mem_req_ready, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - output io_mem_req_valid, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - output [39:0] io_mem_req_bits_addr, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - output [7:0] io_mem_req_bits_tag, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - output [4:0] io_mem_req_bits_cmd, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - output [63:0] io_mem_req_bits_data, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - input io_mem_resp_valid, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - input [7:0] io_mem_resp_bits_tag, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - input [63:0] io_mem_resp_bits_data, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - output io_busy, // @[:example.TestHarness.Sha3RocketConfig.fir@135909.4] - input [13:0] col_sel_b, - input [15:0] row_sel_b, - input [7:0] code_regulator, - input dither, - input sleep_b, - output dco_clock -); - Sha3Accel sha3 ( - .clock(clock), - .reset(reset), - .io_cmd_ready(io_cmd_ready), - .io_cmd_valid(io_cmd_valid), - .io_cmd_bits_inst_funct(io_cmd_bits_inst_funct), - .io_cmd_bits_rs1(io_cmd_bits_rs1), - .io_cmd_bits_rs2(io_cmd_bits_rs2), - .io_mem_req_ready(io_mem_req_ready), - .io_mem_req_valid(io_mem_req_valid), - .io_mem_req_bits_addr(io_mem_req_bits_addr), - .io_mem_req_bits_tag(io_mem_req_bits_tag), - .io_mem_req_bits_cmd(io_mem_req_bits_cmd), - .io_mem_req_bits_data(io_mem_req_bits_data), - .io_mem_resp_valid(io_mem_resp_valid), - .io_mem_resp_bits_tag(io_mem_resp_bits_tag), - .io_mem_resp_bits_data(io_mem_resp_bits_data), - .io_busy(io_busy) - ); - ExampleDCO dco ( - .col_sel_b(col_sel_b), - .row_sel_b(row_sel_b), - .code_regulator(code_regulator), - .dither(dither), - .sleep_b(sleep_b), - .clock(dco_clock) - ); -endmodule - -module ExampleDCO ( - input [13:0] col_sel_b, - input [15:0] row_sel_b, - input [7:0] code_regulator, - input dither, - input sleep_b, - output clock -); -endmodule diff --git a/vlsi/extra_libraries/example/ExampleDCO.gds b/vlsi/extra_libraries/example/ExampleDCO.gds deleted file mode 100644 index 4864e115aa2875efd1cc3ceb0dbabe47dda18668..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8556 zcmbuEUuf1<7{{OY_4T(gr_MC<1(O-{2aBA}(!q*ZQz$_rB}J1>x3^lo_Ks;O81xGa zGBElB1{o++Xi$+|b@^UJmtA)g{kiI@`)+!kbN0#O&(ra=9XNjGch8s4&h|U=63=o8Vo;{74>4*nPVqr+pX~@_sNrUNven_rN`kA8#$)o+izs}i3nx*$ca%Ixb96d-L?Fat#>b12&x1Ar7E0cca=t1&m zKk#pKc9DBZ?}y~dq@Ou@kUZKC{P(KY*8RHe{E%Fk^fN~fl1KZ2f0MI|JXm@^Bv&T= z%+Z77(SG26SiQD}bldqMxiaZzjvgeB_5=U0vx{siy&sY*lYZvtLGoxn@NZYItr6XJ zen_rN`kA8#$)o+iKkDovkComJ$(2bzbMzp2v>*7#)NAVr-FALRu1xxwqX)^O{lLG= z*+q7j-Ve!@Nk4P+AbGSO_@7d*tv$N!{E%Fk^fN~fl1KZ2f3LHP>?^$=k}H#b=IBB4 zXg}~jt6p0NbldqMxiaZzjvgeB_5=SxXBRnCdOsvrCjHFOgXGbE;6I{XTSs-<`60P7 z>1U1}B#-t3|D?%v9V@*bPFkpI@;2w!&$~qrk}Gfh`UC%TDQ>->f7Qth0-}QF=clS0??;(SzjCe&Byo zy|&)cZRdyN%A}t;dXPNY5B%qxUF7Z3`ysh9>1U1}B#-t3|2yin^{#F^KO|Qs{mjvW z*6CP_M0zbldqMxiaZzjvgeB_5=S#XBYXn^nOUL zO!}Fl2g#%T!2gMQZGEcS&JW3zNk4P+AbGSO_%A!V$d%IjA-OW?XO12ukM;xqXX>@} zg>E}PBv&T=%+Z77(SG2+=IkP0mfjD^l}SHy^dNb(ANapgudQ!%+xa26GU;cI9wd+U z1OIhr7x}LAen_rN`kA8#$)kPmul+JB_Wqya68-RxLHGWjBf0Xw{0r%C4c~uU_LJ0p z?ur=yoD^9(bc5u|(C_>6ufG=Dpa1?BUGF!Vzi;pc&2M?iKmU0*m)T$K&foCwpW5?g zOKBeaenN8h=~X(?>)*d!v&jC2MfUys#|!`Z{m*aV{n15!{ks?0e`b;W!wc>A|NH*^ z+PXdz#a%U7D*g5@zWy(Q)W@W+SxnEIdZ{(%?@M~V=*mE|nCqOLIN54X96vI2G^e#} z?B>>%tk%kkYim|(O~o~w)mm3^ZOdxiU2#2<)!JBbJ(|_Juj1OC)!I~X?Z|3vuDC|B zTEktrBYZZt=);lobnF5W!>`hev0c2<4*h~)HkRek!9Fsqt zyQxn5f8DkH?q_$Sm3P+;R$O^^?fHr;@2*W&TzPk`RdMCrwV8@5@2^J74u>-n9!|S=%h8I@7I*xz>xXwU3?Z z%-KISIrrwnu}C-boEKx>%(GsKc{9&@Ip)p${qlLtoB2EDTFk4LB Date: Sun, 6 Jun 2021 21:30:39 -0700 Subject: [PATCH 202/225] code block fix --- docs/VLSI/Tutorial.rst | 2 ++ 1 file changed, 2 insertions(+) diff --git a/docs/VLSI/Tutorial.rst b/docs/VLSI/Tutorial.rst index 19e291a9cc..fcb3a9eaa8 100644 --- a/docs/VLSI/Tutorial.rst +++ b/docs/VLSI/Tutorial.rst @@ -150,6 +150,7 @@ Simulation Simulation with VCS is supported, and can be run at the RTL- or gate-level (post-synthesis and P&R). The simulation infrastructure as included here is intended for running RISC-V binaries on a Chipyard config. For example, for an RTL-level simulation: .. code-block:: shell + make sim-rtl CONFIG=TinyRocketConfig BINARY=$RISCV/riscv64-unknown-elf/share/riscv-tests/isa/rv64ui-p-simple Post-synthesis and post-P&R simulations use the ``sim-syn`` and ``sim-par`` targets, respectively. @@ -163,6 +164,7 @@ Power/Rail Analysis Post-P&R power and rail (IR drop) analysis is supported with Voltus: .. code-block:: shell + make power-par CONFIG=TinyRocketConfig If you append the ``BINARY`` variable to the command, it will use the activity file generated from a ``sim--debug`` run and report dynamic power & IR drop from the toggles encoded in the waveform. From 014c19768d63de0ee1977d0a9890d172af170024 Mon Sep 17 00:00:00 2001 From: alonamid Date: Mon, 7 Jun 2021 21:13:10 -0700 Subject: [PATCH 203/225] async to rational crossings --- generators/chipyard/src/main/scala/ConfigFragments.scala | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/generators/chipyard/src/main/scala/ConfigFragments.scala b/generators/chipyard/src/main/scala/ConfigFragments.scala index 0e07b03811..7e5042b811 100644 --- a/generators/chipyard/src/main/scala/ConfigFragments.scala +++ b/generators/chipyard/src/main/scala/ConfigFragments.scala @@ -330,7 +330,7 @@ class WithTestChipBusFreqs extends Config( // Crossing specifications new chipyard.config.WithCbusToPbusCrossingType(AsynchronousCrossing()) ++ // Add Async crossing between PBUS and CBUS new chipyard.config.WithSbusToMbusCrossingType(AsynchronousCrossing()) ++ // Add Async crossings between backside of L2 and MBUS - new freechips.rocketchip.subsystem.WithAsynchronousRocketTiles(4,4) ++ // Add Async crossings between RocketTile and uncore - new boom.common.WithAsynchronousBoomTiles ++ // Add Async crossings between BoomTile and uncore + new freechips.rocketchip.subsystem.WithRationalRocketTiles ++ // Add rational crossings between RocketTile and uncore + new boom.common.WithRationalBoomTiles ++ // Add rational crossings between BoomTile and uncore new testchipip.WithAsynchronousSerialSlaveCrossing // Add Async crossing between serial and MBUS. Its master-side is tied to the FBUS ) From 6677616e128c9d59f10692030422a29065a6ad8d Mon Sep 17 00:00:00 2001 From: chick Date: Wed, 2 Jun 2021 15:55:36 -0700 Subject: [PATCH 204/225] - Add submodules - api-config-chipsalliance - rocket-dsp-utils - update check-commit.sh to include rocket-dsp-utils - changes to build.sbt - change rocket-dsptools to rocket-dp-utils - add api-config-chipsalliance --- .circleci/check-commit.sh | 2 +- .gitmodules | 6 ++++++ build.sbt | 14 +++++++++++--- tools/api-config-chipsalliance | 1 + tools/rocket-dsp-utils | 1 + 5 files changed, 20 insertions(+), 4 deletions(-) create mode 160000 tools/api-config-chipsalliance create mode 160000 tools/rocket-dsp-utils diff --git a/.circleci/check-commit.sh b/.circleci/check-commit.sh index 42b4a093ca..1b59191e71 100755 --- a/.circleci/check-commit.sh +++ b/.circleci/check-commit.sh @@ -90,7 +90,7 @@ else fi search -submodules=("DRAMSim2" "axe" "barstools" "chisel-testers" "dsptools" "firrtl-interpreter" "torture" "treadle") +submodules=("DRAMSim2" "axe" "barstools" "chisel-testers" "dsptools" "rocket-dsp-utils" "firrtl-interpreter" "torture" "treadle") dir="tools" if [ "$CIRCLE_BRANCH" == "master" ] || [ "$CIRCLE_BRANCH" == "dev" ] then diff --git a/.gitmodules b/.gitmodules index 6f99f693bd..6e5288e6cd 100644 --- a/.gitmodules +++ b/.gitmodules @@ -134,3 +134,9 @@ [submodule "fpga/fpga-shells"] path = fpga/fpga-shells url = https://github.com/sifive/fpga-shells.git +[submodule "tools/api-config-chipsalliance"] + path = tools/api-config-chipsalliance + url = https://github.com/chipsalliance/api-config-chipsalliance.git +[submodule "tools/rocket-dsp-utils"] + path = tools/rocket-dsp-utils + url = https://github.com/ucb-bar/rocket-dsp-utils diff --git a/build.sbt b/build.sbt index 3123c4b803..d3e99cc981 100644 --- a/build.sbt +++ b/build.sbt @@ -185,7 +185,7 @@ lazy val chipyard = (project in file("generators/chipyard")) .sourceDependency(testchipip, testchipipLib) .dependsOn(rocketchip, boom, hwacha, sifive_blocks, sifive_cache, iocell, sha3, // On separate line to allow for cleaner tutorial-setup patches - dsptools, `rocket-dsptools`, + dsptools, `rocket-dsp-utils`, gemmini, icenet, tracegen, cva6, nvdla, sodor) .settings(libraryDependencies ++= rocketLibDeps.value) .settings(commonSettings) @@ -278,8 +278,16 @@ lazy val dsptools = freshProject("dsptools", file("./tools/dsptools")) "org.scalacheck" %% "scalacheck" % "1.14.3" % "test", )) -lazy val `rocket-dsptools` = freshProject("rocket-dsptools", file("./tools/dsptools/rocket")) - .dependsOn(rocketchip, dsptools) +lazy val `api-config-chipsalliance` = freshProject("api-config-chipsalliance", file("./tools/api-config-chipsalliance")) + .settings( + commonSettings, + libraryDependencies ++= Seq( + "org.scalatest" %% "scalatest" % "3.0.+" % "test", + "org.scalacheck" %% "scalacheck" % "1.14.3" % "test", + )) + +lazy val `rocket-dsp-utils` = freshProject("rocket-dsp-utils", file("./tools/rocket-dsp-utils")) + .dependsOn(rocketchip, `api-config-chipsalliance`, dsptools) .settings(libraryDependencies ++= rocketLibDeps.value) .settings(commonSettings) diff --git a/tools/api-config-chipsalliance b/tools/api-config-chipsalliance new file mode 160000 index 0000000000..fd8df1105a --- /dev/null +++ b/tools/api-config-chipsalliance @@ -0,0 +1 @@ +Subproject commit fd8df1105a92065425cd353b6855777e35bd79b4 diff --git a/tools/rocket-dsp-utils b/tools/rocket-dsp-utils new file mode 160000 index 0000000000..355bf9f203 --- /dev/null +++ b/tools/rocket-dsp-utils @@ -0,0 +1 @@ +Subproject commit 355bf9f2038c68f4d44650f66d1516d171bfb224 From 9a46539fe135e0fedfe53d775d3db417037fc169 Mon Sep 17 00:00:00 2001 From: Harrison Liew Date: Tue, 8 Jun 2021 21:39:19 -0700 Subject: [PATCH 205/225] address comments --- docs/VLSI/Basic-Flow.rst | 2 +- docs/VLSI/Tutorial.rst | 2 +- vlsi/example-asap7.yml | 3 +-- vlsi/hammer-cadence-plugins | 2 +- 4 files changed, 4 insertions(+), 5 deletions(-) diff --git a/docs/VLSI/Basic-Flow.rst b/docs/VLSI/Basic-Flow.rst index 764e5615b0..20d36de1fd 100644 --- a/docs/VLSI/Basic-Flow.rst +++ b/docs/VLSI/Basic-Flow.rst @@ -64,7 +64,7 @@ As in the rest of the Chipyard flows, we specify our SoC configuration using the However, unlike the rest of the Chipyard flows, in the case of physical design we might be interested in working in a hierarchical fashion and therefore we would like to work on a single module. Therefore, we can also specify a ``VLSI_TOP`` make variable with the same of a specific Verilog module (which should also match the name of the equivalent Chisel module) which we would like to work on. The makefile will automatically call tools such as Barstools and the MacroCompiler (:ref:`Tools/Barstools:barstools`) in order to make the generated Verilog more VLSI friendly. -By default, the MacroCompiler will attempt to map memories into the SRAM options within the Hammer technology plugin. However, if you are wokring with a new process technology are prefer to work with flipflop arrays, you can configure the MacroCompiler using the ``MACROCOMPILER_MODE`` make variable. For example, if your technology plugin does not have an SRAM compiler ready, you can use the ``MACROCOMPILER_MODE='--mode synflops'`` option (Note that synthesizing a design with only flipflops is very slow and will often may not meet constraints). +By default, the MacroCompiler will attempt to map memories into the SRAM options within the Hammer technology plugin. However, if you are working with a new process technology and prefer to work with flip-flop arrays, you can configure the MacroCompiler using the ``MACROCOMPILER_MODE`` make variable. For example, if your technology plugin does not have an SRAM compiler ready, you can use the ``MACROCOMPILER_MODE='--mode synflops'`` option (Note that synthesizing a design with only flipflops is very slow and will often may not meet constraints). We call the ``make buildfile`` command while also specifying the name of the process technology we are working with (same ``tech_name`` for the configuration files and plugin name) and the configuration files we created. Note, in the ASAP7 tutorial ((:ref:`tutorial`)) these configuration files are merged into a single file called ``example-asap7.yml``. diff --git a/docs/VLSI/Tutorial.rst b/docs/VLSI/Tutorial.rst index fcb3a9eaa8..ba83bc369c 100644 --- a/docs/VLSI/Tutorial.rst +++ b/docs/VLSI/Tutorial.rst @@ -54,7 +54,7 @@ Prerequisites * Genus, Innovus, and Calibre licenses * For ASAP7 specifically: - * Download the `ASAP7 PDK v1p5 `__ tarball to a directory of choice but do not extract it. The tech plugin is configured to extract the PDK into a cache directory for you. Note: v1p5 of the PDK is not publicly available, and you will need to contact the developers for it. The v1p7 version currently has too many bugs. + * Download the `ASAP7 PDK v1p5 `__ tarball to a directory of choice but do not extract it. The tech plugin is configured to extract the PDK into a cache directory for you. Note: v1p5 of the PDK is not publicly available, and you will need to contact the developers for it. The v1p7 version that is `publicly released `__ currently has too many bugs to be integrated into our flow. * If you have additional ASAP7 hard macros, their LEF & GDS need to be 4x upscaled @ 4000 DBU precision. They may live outside ``extra_libraries`` at your discretion. * Innovus version must be >= 15.2 or <= 18.1 (ISRs excluded). diff --git a/vlsi/example-asap7.yml b/vlsi/example-asap7.yml index a914e1a6fa..446203f4ca 100644 --- a/vlsi/example-asap7.yml +++ b/vlsi/example-asap7.yml @@ -2,8 +2,7 @@ # Technology used is ASAP7 vlsi.core.technology: asap7 # Specify dir with ASAP7 tarball -#technology.asap7.tarball_dir: "" -technology.asap7.tarball_dir: "/tools/B/asap7" +technology.asap7.tarball_dir: "" vlsi.core.max_threads: 12 diff --git a/vlsi/hammer-cadence-plugins b/vlsi/hammer-cadence-plugins index 81e9a97f84..191026ed35 160000 --- a/vlsi/hammer-cadence-plugins +++ b/vlsi/hammer-cadence-plugins @@ -1 +1 @@ -Subproject commit 81e9a97f84e0ecb0a1f998dd57ea8120ae0d76ee +Subproject commit 191026ed35fd86ba471d81a130f898db2928c7f4 From b4e3c7408672e0de74c85459d64307b234738a67 Mon Sep 17 00:00:00 2001 From: Hasan Genc Date: Wed, 9 Jun 2021 04:25:55 -0700 Subject: [PATCH 206/225] Bump Gemmini and Esp-isa-sim --- generators/gemmini | 2 +- toolchains/esp-tools/riscv-isa-sim | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/generators/gemmini b/generators/gemmini index 9a92fa07e7..49f647f54b 160000 --- a/generators/gemmini +++ b/generators/gemmini @@ -1 +1 @@ -Subproject commit 9a92fa07e7432376331e5f013cc00695d6ac783a +Subproject commit 49f647f54b803818a42a8d8bc6e12304fde57352 diff --git a/toolchains/esp-tools/riscv-isa-sim b/toolchains/esp-tools/riscv-isa-sim index 86265d02e8..c557f6d8b0 160000 --- a/toolchains/esp-tools/riscv-isa-sim +++ b/toolchains/esp-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit 86265d02e8abea3b367114393d6b0661fd35b156 +Subproject commit c557f6d8b087d1e843074826b9d8b8c9d63bd02b From 00da2c10176e3cd67b24d8b1641aac0027851fd1 Mon Sep 17 00:00:00 2001 From: alonamid Date: Wed, 9 Jun 2021 11:10:58 -0700 Subject: [PATCH 207/225] Update config.yml --- .circleci/config.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index 3d4ef14e5d..1b9c6d863f 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -6,7 +6,7 @@ version: 2.1 parameters: tools-cache-version: type: string - default: "v9" + default: "v10" # default execution env.s executors: From 9e1bf57466c5bdb1c0bcee24fcb13927d8dd5a97 Mon Sep 17 00:00:00 2001 From: chick Date: Wed, 9 Jun 2021 11:15:46 -0700 Subject: [PATCH 208/225] Update reference in build.sbt.patch from `rocket-dsptools` to `rocket-dsp-utils` --- scripts/tutorial-patches/build.sbt.patch | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/scripts/tutorial-patches/build.sbt.patch b/scripts/tutorial-patches/build.sbt.patch index 325bdfaee1..0e55dde41c 100644 --- a/scripts/tutorial-patches/build.sbt.patch +++ b/scripts/tutorial-patches/build.sbt.patch @@ -8,7 +8,7 @@ index 3123c4b8..487fc428 100644 .dependsOn(rocketchip, boom, hwacha, sifive_blocks, sifive_cache, iocell, - sha3, // On separate line to allow for cleaner tutorial-setup patches +// sha3, // On separate line to allow for cleaner tutorial-setup patches - dsptools, `rocket-dsptools`, + dsptools, `rocket-dsp-utils`, gemmini, icenet, tracegen, cva6, nvdla, sodor) .settings(libraryDependencies ++= rocketLibDeps.value) @@ -223,11 +223,11 @@ lazy val sodor = (project in file("generators/riscv-sodor")) From 7c3f4a07cab8d7d3aaff9beea7e1b25653ebda31 Mon Sep 17 00:00:00 2001 From: Hasan Genc Date: Wed, 9 Jun 2021 13:01:40 -0700 Subject: [PATCH 209/225] Bump esp-isa-sim --- toolchains/esp-tools/riscv-isa-sim | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/toolchains/esp-tools/riscv-isa-sim b/toolchains/esp-tools/riscv-isa-sim index c557f6d8b0..832a0d5ce0 160000 --- a/toolchains/esp-tools/riscv-isa-sim +++ b/toolchains/esp-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit c557f6d8b087d1e843074826b9d8b8c9d63bd02b +Subproject commit 832a0d5ce0bfbba8b99e219e90ef47324b25ebd4 From 65784ab47265729f1867612883a5f0e121685fc3 Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Wed, 9 Jun 2021 16:58:59 -0700 Subject: [PATCH 210/225] Update riscv-pk --- toolchains/esp-tools/riscv-pk | 2 +- toolchains/riscv-tools/riscv-pk | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/toolchains/esp-tools/riscv-pk b/toolchains/esp-tools/riscv-pk index 2ebf3bacce..e8e6b3aaee 160000 --- a/toolchains/esp-tools/riscv-pk +++ b/toolchains/esp-tools/riscv-pk @@ -1 +1 @@ -Subproject commit 2ebf3bacce4631390361a72b99b86f3b2c8dc041 +Subproject commit e8e6b3aaee44d43b48164fbd377864c3a682dbd3 diff --git a/toolchains/riscv-tools/riscv-pk b/toolchains/riscv-tools/riscv-pk index a3e4ac61d2..e8e6b3aaee 160000 --- a/toolchains/riscv-tools/riscv-pk +++ b/toolchains/riscv-tools/riscv-pk @@ -1 +1 @@ -Subproject commit a3e4ac61d2b1ff37a22b9193b85d3b94273e80cb +Subproject commit e8e6b3aaee44d43b48164fbd377864c3a682dbd3 From 334d6a47d642fa7a69b171f69885eeb68abdf37c Mon Sep 17 00:00:00 2001 From: Hasan Genc Date: Thu, 10 Jun 2021 01:06:38 -0700 Subject: [PATCH 211/225] Bump Gemmini and Spike to resolve Spike reset error --- generators/gemmini | 2 +- toolchains/esp-tools/riscv-isa-sim | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/generators/gemmini b/generators/gemmini index 49f647f54b..7ac61db64f 160000 --- a/generators/gemmini +++ b/generators/gemmini @@ -1 +1 @@ -Subproject commit 49f647f54b803818a42a8d8bc6e12304fde57352 +Subproject commit 7ac61db64fecbc8918b3039d738513b4a03337ca diff --git a/toolchains/esp-tools/riscv-isa-sim b/toolchains/esp-tools/riscv-isa-sim index 832a0d5ce0..00328a71b7 160000 --- a/toolchains/esp-tools/riscv-isa-sim +++ b/toolchains/esp-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit 832a0d5ce0bfbba8b99e219e90ef47324b25ebd4 +Subproject commit 00328a71b773b298b8cd99fbf4b83fe3fa70606f From 9b75bd42e915a022a715adf9001b25d526a00235 Mon Sep 17 00:00:00 2001 From: alonamid Date: Thu, 10 Jun 2021 13:32:29 -0700 Subject: [PATCH 212/225] [skip CI] Update docs/VLSI/Tutorial.rst --- docs/VLSI/Tutorial.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/VLSI/Tutorial.rst b/docs/VLSI/Tutorial.rst index ba83bc369c..f08ecf455b 100644 --- a/docs/VLSI/Tutorial.rst +++ b/docs/VLSI/Tutorial.rst @@ -54,7 +54,7 @@ Prerequisites * Genus, Innovus, and Calibre licenses * For ASAP7 specifically: - * Download the `ASAP7 PDK v1p5 `__ tarball to a directory of choice but do not extract it. The tech plugin is configured to extract the PDK into a cache directory for you. Note: v1p5 of the PDK is not publicly available, and you will need to contact the developers for it. The v1p7 version that is `publicly released `__ currently has too many bugs to be integrated into our flow. + * Download the `ASAP7 PDK v1p5 `__ tarball to a directory of choice but do not extract it. The tech plugin is configured to extract the PDK into a cache directory for you. Note: v1p5 of the PDK is not publicly available, and you will need to contact the developers for it. The v1p7 version that is `publicly released `__ currently has several critical issues which prevent it from being fully integrated into the Hammer flow. * If you have additional ASAP7 hard macros, their LEF & GDS need to be 4x upscaled @ 4000 DBU precision. They may live outside ``extra_libraries`` at your discretion. * Innovus version must be >= 15.2 or <= 18.1 (ISRs excluded). From ca2a929b0c94c72a22a65b94e8c461a838e83b3d Mon Sep 17 00:00:00 2001 From: alonamid Date: Thu, 10 Jun 2021 13:32:39 -0700 Subject: [PATCH 213/225] [skip CI] Update docs/VLSI/Tutorial.rst --- docs/VLSI/Tutorial.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/VLSI/Tutorial.rst b/docs/VLSI/Tutorial.rst index f08ecf455b..b5ef718608 100644 --- a/docs/VLSI/Tutorial.rst +++ b/docs/VLSI/Tutorial.rst @@ -78,7 +78,7 @@ Pull the Hammer environment into the shell: Building the Design -------------------- -To elaborate the ``TinyRocketConfig`` and set up all prerequisites for the build system to push just the accelerator + hard macro through the flow: +To elaborate the ``TinyRocketConfig`` and set up all prerequisites for the build system to push the design and SRAM macros through the flow: .. code-block:: shell From 120c096962dce38d77ae3a00511407484278ebd7 Mon Sep 17 00:00:00 2001 From: alonamid Date: Thu, 10 Jun 2021 13:32:57 -0700 Subject: [PATCH 214/225] [skip CI] Update docs/VLSI/Tutorial.rst --- docs/VLSI/Tutorial.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/VLSI/Tutorial.rst b/docs/VLSI/Tutorial.rst index b5ef718608..f522da8a25 100644 --- a/docs/VLSI/Tutorial.rst +++ b/docs/VLSI/Tutorial.rst @@ -143,7 +143,7 @@ To run DRC & LVS, and view the results in Calibre: ./build/lvs-rundir/generated-scripts/view-lvs Some DRC errors are expected from this PDK, as explained in the `ASAP7 plugin readme `__. -Furthermore, the dummy SRAMs that are provided do not have any geometry inside, so will certainly cause DRC and LVS errors. +Furthermore, the dummy SRAMs that are provided in this tutorial and PDK do not have any geometry inside, so will certainly cause DRC and LVS errors. Simulation ^^^^^^^^^^ From 4e9c951ad27c342097a0ad4f76722a2aedbbbcdd Mon Sep 17 00:00:00 2001 From: alonamid Date: Thu, 10 Jun 2021 13:34:07 -0700 Subject: [PATCH 215/225] [skip CI] Update docs/VLSI/Tutorial.rst --- docs/VLSI/Tutorial.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/VLSI/Tutorial.rst b/docs/VLSI/Tutorial.rst index f522da8a25..38eee0b507 100644 --- a/docs/VLSI/Tutorial.rst +++ b/docs/VLSI/Tutorial.rst @@ -157,7 +157,7 @@ Post-synthesis and post-P&R simulations use the ``sim-syn`` and ``sim-par`` targ There are also ``-debug`` and ``-debug-timing``, which will instruct VCS to write a SAIF + VPD and do timing-annotated simulations, respectively. See the ``sim.mk`` file for all available targets. -Note that for the ASAP7 example, gate-level simulations will timeout (the cause is being investigated). +Note that for the ASAP7 example, gate-level simulations will currently timeout. Power/Rail Analysis ^^^^^^^^^^^^^^^^^^^ From e1965400f6d1cbd49df49791fb078c967713ba6a Mon Sep 17 00:00:00 2001 From: David Biancolin Date: Fri, 11 Jun 2021 04:58:33 +0000 Subject: [PATCH 216/225] Use a ZSH-compatible version of BASH_SOURCE[0] --- scripts/build-toolchains.sh | 4 +++- scripts/init-submodules-no-riscv-tools-nolog.sh | 4 +++- 2 files changed, 6 insertions(+), 2 deletions(-) diff --git a/scripts/build-toolchains.sh b/scripts/build-toolchains.sh index 611f50e01a..19fa524e44 100755 --- a/scripts/build-toolchains.sh +++ b/scripts/build-toolchains.sh @@ -6,7 +6,9 @@ set -e set -o pipefail -DIR="$(dirname "$(readlink -f "${BASH_SOURCE[0]}")")" +# If BASH_SOURCE is undefined, we may be running under zsh, in that case +# provide a zsh-compatible alternative +DIR="$(dirname "$(readlink -f "${BASH_SOURCE[0]:-${(%):-%x}}")")" CHIPYARD_DIR="$(dirname "$DIR")" usage() { diff --git a/scripts/init-submodules-no-riscv-tools-nolog.sh b/scripts/init-submodules-no-riscv-tools-nolog.sh index 0039d9df15..2d2e920cef 100755 --- a/scripts/init-submodules-no-riscv-tools-nolog.sh +++ b/scripts/init-submodules-no-riscv-tools-nolog.sh @@ -17,7 +17,9 @@ if [ "$MINGIT" != "$(echo -e "$MINGIT\n$MYGIT" | sort -V | head -n1)" ]; then false fi -DIR="$(dirname "$(readlink -f "${BASH_SOURCE[0]}")")" +# If BASH_SOURCE is undefined we may be running under zsh, in that case +# provide a zsh-compatible alternative +DIR="$(dirname "$(readlink -f "${BASH_SOURCE[0]:-${(%):-%x}}")")" CHIPYARD_DIR="$(dirname "$DIR")" cd "$CHIPYARD_DIR" From 6dd55b20d49ab9cc63d7f019c594000adcee22ac Mon Sep 17 00:00:00 2001 From: Zitao Fang Date: Fri, 11 Jun 2021 00:00:49 -0700 Subject: [PATCH 217/225] Fix reset --- toolchains/esp-tools/riscv-isa-sim | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/toolchains/esp-tools/riscv-isa-sim b/toolchains/esp-tools/riscv-isa-sim index 00328a71b7..467da4f613 160000 --- a/toolchains/esp-tools/riscv-isa-sim +++ b/toolchains/esp-tools/riscv-isa-sim @@ -1 +1 @@ -Subproject commit 00328a71b773b298b8cd99fbf4b83fe3fa70606f +Subproject commit 467da4f613e2a447af35e69ee4f14e5adc94664f From 948aed394d2d28d44303196482228c9a414e508b Mon Sep 17 00:00:00 2001 From: Nathan Pemberton Date: Fri, 11 Jun 2021 19:37:50 -0400 Subject: [PATCH 218/225] Bump firemarshal to release v1.12.0 --- software/firemarshal | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/software/firemarshal b/software/firemarshal index 22f9101d45..daf1040c0f 160000 --- a/software/firemarshal +++ b/software/firemarshal @@ -1 +1 @@ -Subproject commit 22f9101d456f36d9580bd3327a1613e39812d06d +Subproject commit daf1040c0f0ec449734cd183be876880357644fc From e6bdbbdcc879ac6b6b60ddab3a88689e9c1532a9 Mon Sep 17 00:00:00 2001 From: Nathan Pemberton Date: Fri, 11 Jun 2021 19:38:10 -0400 Subject: [PATCH 219/225] Bump the sha3 repo to get software updates for the tutorial --- generators/sha3 | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/generators/sha3 b/generators/sha3 index 21035e4985..63eda8268c 160000 --- a/generators/sha3 +++ b/generators/sha3 @@ -1 +1 @@ -Subproject commit 21035e498573b0e541cb87fe330e9d8dcfc88238 +Subproject commit 63eda8268c16c502cada9944ae41b584e6e32789 From 413141ff6120a8f6dca39c23aa50e2d9a995c088 Mon Sep 17 00:00:00 2001 From: alonamid Date: Fri, 11 Jun 2021 18:36:55 -0700 Subject: [PATCH 220/225] [skip ci] Update main README --- README.md | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/README.md b/README.md index 1263bf37f0..d364a7422e 100644 --- a/README.md +++ b/README.md @@ -50,6 +50,7 @@ If used for research, please cite Chipyard by the following publication: * **Chipyard** * A. Amid, et al. *IEEE Micro'20* [PDF](https://ieeexplore.ieee.org/document/9099108). * A. Amid, et al. *DAC'20* [PDF](https://ieeexplore.ieee.org/document/9218756). + * A. Amid, et al. *ISCAS'21* [PDF](https://ieeexplore.ieee.org/abstract/document/9401515). These additional publications cover many of the internal components used in Chipyard. However, for the most up-to-date details, users should refer to the Chipyard docs. @@ -57,6 +58,7 @@ These additional publications cover many of the internal components used in Chip * **Rocket Chip**: K. Asanovic, et al., *UCB EECS TR*. [PDF](http://www2.eecs.berkeley.edu/Pubs/TechRpts/2016/EECS-2016-17.pdf). * **BOOM**: C. Celio, et al., *Hot Chips 30*. [PDF](https://www.hotchips.org/hc30/1conf/1.03_Berkeley_BROOM_HC30.Berkeley.Celio.v02.pdf). * **SonicBOOM (BOOMv3)**: J. Zhao, et al., *CARRV'20*. [PDF](https://carrv.github.io/2020/papers/CARRV2020_paper_15_Zhao.pdf). + * **COBRA (BOOM Branch Prediction)**: J. Zhao, et al., *ISPASS'21*. [PDF](https://ieeexplore.ieee.org/document/9408173). * **Hwacha**: Y. Lee, et al., *ESSCIRC'14*. [PDF](http://hwacha.org/papers/riscv-esscirc2014.pdf). * **Gemmini**: H. Genc, et al., *arXiv*. [PDF](https://arxiv.org/pdf/1911.09925). * **Sims** @@ -69,12 +71,13 @@ These additional publications cover many of the internal components used in Chip * **Chisel**: J. Bachrach, et al., *DAC'12*. [PDF](https://people.eecs.berkeley.edu/~krste/papers/chisel-dac2012.pdf). * **FIRRTL**: A. Izraelevitz, et al., *ICCAD'17*. [PDF](https://ieeexplore.ieee.org/document/8203780). * **Chisel DSP**: A. Wang, et al., *DAC'18*. [PDF](https://ieeexplore.ieee.org/document/8465790). + * **FireMarshal**: N. Pemberton, et al., *ISPASS'21*. [PDF](https://ieeexplore.ieee.org/document/9408192). * **VLSI** * **Hammer**: E. Wang, et al., *ISQED'20*. [PDF](https://www.isqed.org/English/Archives/2020/Technical_Sessions/113.html). -[hwacha]:http://hwacha.org +[hwacha]:https://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-262.pdf [hammer]:https://github.com/ucb-bar/hammer [firesim]:https://fires.im [ucb-bar]: http://bar.eecs.berkeley.edu From 9c80b66f0ae2826ee23b705307c921e0f9d01e6f Mon Sep 17 00:00:00 2001 From: Nathan Pemberton Date: Sat, 12 Jun 2021 00:01:08 -0400 Subject: [PATCH 221/225] Fix path in CI test script for new sha3 directory structure --- .circleci/run-tests.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.circleci/run-tests.sh b/.circleci/run-tests.sh index 10fd58fb4c..ab3cf5ccf1 100755 --- a/.circleci/run-tests.sh +++ b/.circleci/run-tests.sh @@ -69,7 +69,7 @@ case $1 in export LD_LIBRARY_PATH=$LOCAL_ESP_DIR/lib export PATH=$RISCV/bin:$PATH (cd $LOCAL_CHIPYARD_DIR/generators/sha3/software && ./build.sh) - $LOCAL_SIM_DIR/simulator-chipyard-Sha3RocketConfig $LOCAL_CHIPYARD_DIR/generators/sha3/software/benchmarks/bare/sha3-rocc.riscv + $LOCAL_SIM_DIR/simulator-chipyard-Sha3RocketConfig $LOCAL_CHIPYARD_DIR/generators/sha3/software/tests/bare/sha3-rocc.riscv ;; chipyard-streaming-passthrough) make -C $LOCAL_CHIPYARD_DIR/tests From 2d586da52e209023dc6552dc2dd35f1c386118b6 Mon Sep 17 00:00:00 2001 From: alonamid Date: Sat, 12 Jun 2021 10:11:25 -0700 Subject: [PATCH 222/225] revert gnu-toolchains to master --- .circleci/config.yml | 2 +- toolchains/esp-tools/riscv-gnu-toolchain | 2 +- toolchains/riscv-tools/riscv-gnu-toolchain | 2 +- 3 files changed, 3 insertions(+), 3 deletions(-) diff --git a/.circleci/config.yml b/.circleci/config.yml index 1b9c6d863f..b6ac717824 100644 --- a/.circleci/config.yml +++ b/.circleci/config.yml @@ -6,7 +6,7 @@ version: 2.1 parameters: tools-cache-version: type: string - default: "v10" + default: "v11" # default execution env.s executors: diff --git a/toolchains/esp-tools/riscv-gnu-toolchain b/toolchains/esp-tools/riscv-gnu-toolchain index e0ffff1b48..9f53229398 160000 --- a/toolchains/esp-tools/riscv-gnu-toolchain +++ b/toolchains/esp-tools/riscv-gnu-toolchain @@ -1 +1 @@ -Subproject commit e0ffff1b48859eeddfb44c5739e03075186ca723 +Subproject commit 9f532293985d08b0c176d96c7b650e5f433780e1 diff --git a/toolchains/riscv-tools/riscv-gnu-toolchain b/toolchains/riscv-tools/riscv-gnu-toolchain index 88b004d4c2..2855d823a6 160000 --- a/toolchains/riscv-tools/riscv-gnu-toolchain +++ b/toolchains/riscv-tools/riscv-gnu-toolchain @@ -1 +1 @@ -Subproject commit 88b004d4c2a7d4e4f08b17ee32d2d4b9c194114e +Subproject commit 2855d823a6e93d50af604264b02ced951e80de67 From d7790ce05d891fa14a6829021565aef274330d20 Mon Sep 17 00:00:00 2001 From: Harrison Liew Date: Sat, 12 Jun 2021 20:33:54 -0700 Subject: [PATCH 223/225] Extraneous Hammer IR --- vlsi/{example-asap7.yml => example-asap7yml} | 29 -------------------- 1 file changed, 29 deletions(-) rename vlsi/{example-asap7.yml => example-asap7yml} (76%) diff --git a/vlsi/example-asap7.yml b/vlsi/example-asap7yml similarity index 76% rename from vlsi/example-asap7.yml rename to vlsi/example-asap7yml index 446203f4ca..d8011a80b5 100644 --- a/vlsi/example-asap7.yml +++ b/vlsi/example-asap7yml @@ -119,32 +119,3 @@ vlsi.core.sram_generator_tool: "sram_compiler" # You should specify a location for the SRAM generator in the tech plugin vlsi.core.sram_generator_tool_path: ["hammer/src/hammer-vlsi/technology/asap7"] vlsi.core.sram_generator_tool_path_meta: "append" - -# Tool options. Replace with your tool plugin of choice. -# VCS options -#vlsi.core.sim_tool: "vcs" -#vlsi.core.sim_tool_path: ["hammer-synopsys-plugins/sim"] -#vlsi.core.sim_tool_path_meta: "append" -#sim.vcs.version: "P-2019.06" -## Genus options -#vlsi.core.synthesis_tool: "genus" -#vlsi.core.synthesis_tool_path: ["hammer-cadence-plugins/synthesis"] -#vlsi.core.synthesis_tool_path_meta: "append" -#synthesis.genus.version: "1813" -## Innovus options -#vlsi.core.par_tool: "innovus" -#vlsi.core.par_tool_path: ["hammer-cadence-plugins/par"] -#vlsi.core.par_tool_path_meta: "append" -#par.innovus.version: "191" -#par.innovus.design_flow_effort: "standard" -#par.inputs.gds_merge: true -## Voltus options -#vlsi.core.power_tool: "voltus" -#vlsi.core.power_tool_path: ["hammer-cadence-plugins/power"] -#vlsi.core.power_tool_path_meta: "append" -#power.voltus.version: "191" -## Calibre options -#vlsi.core.drc_tool: "calibre" -#vlsi.core.drc_tool_path: ["hammer-mentor-plugins/drc"] -#vlsi.core.lvs_tool: "calibre" -#vlsi.core.lvs_tool_path: ["hammer-mentor-plugins/lvs"] From d4f6754070dd20b33d5b41811006e3c88b65cf3e Mon Sep 17 00:00:00 2001 From: alonamid Date: Sat, 12 Jun 2021 18:21:30 -0700 Subject: [PATCH 224/225] [skip ci] Update changelog for 1.5 release. --- CHANGELOG.md | 41 +++++++++++++++++++++++++++++++++++++++++ 1 file changed, 41 insertions(+) diff --git a/CHANGELOG.md b/CHANGELOG.md index 749c925b33..b28fe77db2 100644 --- a/CHANGELOG.md +++ b/CHANGELOG.md @@ -2,6 +2,47 @@ This changelog follows the format defined here: https://keepachangelog.com/en/1.0.0/ +## [1.5.0] - 2021-06-13 + +A more detailed account of everything included is included in the dev to master PR for this release: https://github.com/ucb-bar/chipyard/pull/773 + +### Added +* FireMarshal support for FPGA prototypes (#849) +* Hammer update to include power estimation flows, rail analysis, hierarchical sim support, and improved ASAP7 plugin with dummy SRAMs (#886) +* Docker image +* Support specifying architecture when building tools. (#802) +* Add Config fragments: WithMultiRoCCFromBuildRoCC, PMP (#809, #821) +* Add support for simulating an AXI memory interface over the default TL serial link (#812) +* Add option to add async queues between chip-serialIO and harness serdes (#828) +* Spike support for multiple extensions, and add sha3 spike model to esp-tools (#837, #897) +* Default generator support for I2C and PWM (#885) + +### Changed +* Gemmini bump to version 0.5 +* FireSim bump to version 1.12 +* FireMarshal bump to version 1.12 +* Changes default FireSim frequency from 3.2 GHz (dual clock domains) to 1 GHz (single clock domain) +* Bump pygments from 2.2.0 to 2.7.4 in docs +* Hammer tutorial example is now a TinyRocketConfig (#886) +* Sha3 Spike model moved from sha3 repo to esp-isa-sim + +### Fixed +* Avoid permissions conflict on shared protocjar.webcache (#774) +* Passing MBus clock frequency to SimDRAM (#790) +* Fix parsing of --ignore-qemu option (#791) +* FPGA Prototype - Support Adding Pullup R's to Bringup GPIOs (#806) +* Use "tile" instead of "core" to assign frequencies in WithTileFrequency config. fragment (#807) +* Fix IOCell generation for clock and reset to use IOCellKey (#824) +* Fix TileResetCtrl to be ahead of reset synchronizers (#826) +* Fix memory alignment in character count RoCC test (#853) +* Synchronize JTAG reset to JTAG.TCK. (#859) +* Updates to system requirements scripts (#874) +* Rocket-dsp-utils integration and cleanup for dsptools (#888) + +### Removed +* Dummy DCO collateral from Hammer tutorial example (#886) + + ## [1.4.0] - 2021-01-19 A more detailed account of everything included is included in the dev to master PR for this release: https://github.com/ucb-bar/chipyard/pull/599 From a1ac58080f2bd31a00b0de6af48e280cf6562e9e Mon Sep 17 00:00:00 2001 From: alonamid Date: Mon, 14 Jun 2021 10:45:12 -0700 Subject: [PATCH 225/225] firesim 1.12 bump --- sims/firesim | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/sims/firesim b/sims/firesim index 72a52523e1..b611551ca5 160000 --- a/sims/firesim +++ b/sims/firesim @@ -1 +1 @@ -Subproject commit 72a52523e18443d9ef9a1e2664cbc71a45fc0c57 +Subproject commit b611551ca5ea391513584dc2cde3d82717309125