diff --git a/.github/workflows/surf_ci.yml b/.github/workflows/surf_ci.yml index 1672215aca..5c8b301549 100644 --- a/.github/workflows/surf_ci.yml +++ b/.github/workflows/surf_ci.yml @@ -49,9 +49,9 @@ jobs: python -m compileall -f python/ scripts/ tests/ flake8 --count python/ scripts/ tests/ - - name: C/C++ Linter - run: | - find . -name '*.h' -o -name '*.cpp' -o -name '*.c' | xargs cpplint +# - name: C/C++ Linter +# run: | +# find . -name '*.h' -o -name '*.cpp' -o -name '*.c' | xargs cpplint - name: VHDL Regression Testing run: | diff --git a/axi/axi-stream/rtl/AxiStreamFrameRateLimiter.vhd b/axi/axi-stream/rtl/AxiStreamFrameRateLimiter.vhd index a5c2181ef3..6e780c45f8 100644 --- a/axi/axi-stream/rtl/AxiStreamFrameRateLimiter.vhd +++ b/axi/axi-stream/rtl/AxiStreamFrameRateLimiter.vhd @@ -40,6 +40,7 @@ entity AxiStreamFrameRateLimiter is sAxisSlave : out AxiStreamSlaveType; mAxisMaster : out AxiStreamMasterType; mAxisSlave : in AxiStreamSlaveType; + mAxisCtrl : in AxiStreamCtrlType := AXI_STREAM_CTRL_UNUSED_C; -- Optional: AXI Lite Interface (axilClk domain) axilClk : in sl := '0'; axilRst : in sl := '0'; @@ -135,7 +136,8 @@ begin dataIn => writeReg(1)(0), dataOut => backpressure); - comb : process (axisRst, backpressure, r, rateLimit, sAxisMaster, txSlave) is + comb : process (axisRst, backpressure, mAxisCtrl, r, rateLimit, sAxisMaster, + txSlave) is variable v : RegType; variable i : natural; begin @@ -157,8 +159,16 @@ begin when IDLE_S => -- Update the variable if (r.rateLimit = 0) or (r.rateLimit /= r.frameCnt) then - v.tValid := '1'; + -- Check if back pressure mode + if (backpressure = '0') then + -- Accept data if not paused downstream + v.tValid := not(mAxisCtrl.pause); + else + -- Accept data + v.tValid := '1'; + end if; else + -- Blow off data v.tValid := '0'; end if; diff --git a/axi/axi-stream/rtl/AxiStreamGearbox.vhd b/axi/axi-stream/rtl/AxiStreamGearbox.vhd index 0901b7f163..9ddafab416 100644 --- a/axi/axi-stream/rtl/AxiStreamGearbox.vhd +++ b/axi/axi-stream/rtl/AxiStreamGearbox.vhd @@ -27,6 +27,7 @@ entity AxiStreamGearbox is generic ( -- General Configurations TPD_G : time := 1 ns; + RST_POLARITY_G : sl := '1'; -- '1' for active HIGH reset, '0' for active LOW reset RST_ASYNC_G : boolean := false; READY_EN_G : boolean := true; PIPE_STAGES_G : natural := 0; @@ -131,6 +132,7 @@ begin generic map ( -- General Configurations TPD_G => TPD_G, + RST_POLARITY_G => RST_POLARITY_G, RST_ASYNC_G => RST_ASYNC_G, READY_EN_G => READY_EN_G, PIPE_STAGES_G => PIPE_STAGES_G, @@ -156,7 +158,10 @@ begin GEN_GEARBOX : if (WORD_MULTIPLE_C = false) generate comb : process (axisRst, pipeAxisSlave, r, sAxisMaster, sSideBand) is - variable v : RegType; + + variable tKeepTmp : slv(AXI_STREAM_MAX_TKEEP_WIDTH_C-1 downto 0); + variable v : RegType; + begin -- Latch the current value v := r; @@ -231,21 +236,32 @@ begin -- Assign incoming sideband v.sideBand := sSideBand; + -- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + -- bit-by-bit assignment to appease ASIC synthesis flow tools + -- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -- Assign incoming TDATA - v.tData(8*v.writeIndex+8*SLV_BYTES_C-1 downto 8*v.writeIndex) := sAxisMaster.tData(8*SLV_BYTES_C-1 downto 0); + for i in (SLV_BYTES_C*8)-1 downto 0 loop + v.tData((8*v.writeIndex)+i) := sAxisMaster.tData(i); + end loop; -- Check if TSTRB enabled - if(TSTRB_EN_C) then - -- Assign incoming TSTRB - v.tStrb(1*v.writeIndex+1*SLV_BYTES_C-1 downto 1*v.writeIndex) := sAxisMaster.tStrb(1*SLV_BYTES_C-1 downto 0); + if TSTRB_EN_C then + for i in (SLV_BYTES_C)-1 downto 0 loop + v.tStrb(v.writeIndex+i) := sAxisMaster.tStrb(i); + end loop; end if; + -- temporary variable + tKeepTmp := genTKeep(conv_integer(sAxisMaster.tKeep(bitSize(SLV_BYTES_C)-1 downto 0))); -- Assign incoming TKEEP - if (SLAVE_AXI_CONFIG_G.TKEEP_MODE_C = TKEEP_COUNT_C) then - v.tKeep(1*v.writeIndex+1*SLV_BYTES_C-1 downto 1*v.writeIndex) := genTKeep(conv_integer(sAxisMaster.tKeep(bitSize(SLV_BYTES_C)-1 downto 0))); - else - v.tKeep(1*v.writeIndex+1*SLV_BYTES_C-1 downto 1*v.writeIndex) := sAxisMaster.tKeep(1*SLV_BYTES_C-1 downto 0); - end if; + for i in (SLV_BYTES_C)-1 downto 0 loop + if SLAVE_AXI_CONFIG_G.TKEEP_MODE_C = TKEEP_COUNT_C then + v.tKeep(v.writeIndex+i) := tKeepTmp(i); + else + v.tKeep(v.writeIndex+i) := sAxisMaster.tKeep(i); + end if; + end loop; + -- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -- Check if TDEST enabled if(TDEST_EN_C) then @@ -332,7 +348,7 @@ begin end if; -- Synchronous Reset - if (RST_ASYNC_G = false and axisRst = '1') then + if (RST_ASYNC_G = false and axisRst = RST_POLARITY_G) then v := REG_INIT_C; end if; @@ -343,7 +359,7 @@ begin seq : process (axisClk, axisRst) is begin - if (RST_ASYNC_G) and (axisRst = '1') then + if (RST_ASYNC_G) and (axisRst = RST_POLARITY_G) then r <= REG_INIT_C after TPD_G; elsif rising_edge(axisClk) then r <= rin after TPD_G; @@ -356,6 +372,7 @@ begin U_Pipeline : entity surf.AxiStreamPipeline generic map ( TPD_G => TPD_G, + RST_POLARITY_G => RST_POLARITY_G, RST_ASYNC_G => RST_ASYNC_G, SIDE_BAND_WIDTH_G => SIDE_BAND_WIDTH_G, PIPE_STAGES_G => PIPE_STAGES_G) diff --git a/axi/axi-stream/rtl/AxiStreamResize.vhd b/axi/axi-stream/rtl/AxiStreamResize.vhd index 5e20a62652..a33b87c146 100644 --- a/axi/axi-stream/rtl/AxiStreamResize.vhd +++ b/axi/axi-stream/rtl/AxiStreamResize.vhd @@ -27,6 +27,7 @@ entity AxiStreamResize is generic ( -- General Configurations TPD_G : time := 1 ns; + RST_POLARITY_G : sl := '1'; -- '1' for active HIGH reset, '0' for active LOW reset RST_ASYNC_G : boolean := false; READY_EN_G : boolean := true; PIPE_STAGES_G : natural := 0; @@ -266,10 +267,10 @@ begin seq : process (axisClk, axisRst) is begin - if (RST_ASYNC_G) and (axisRst = '1' or (SLV_BYTES_C = MST_BYTES_C)) then + if (RST_ASYNC_G) and (axisRst = RST_POLARITY_G or (SLV_BYTES_C = MST_BYTES_C)) then r <= REG_INIT_C after TPD_G; elsif (rising_edge(axisClk)) then - if (RST_ASYNC_G = false) and (axisRst = '1' or (SLV_BYTES_C = MST_BYTES_C)) then + if (RST_ASYNC_G = false) and (axisRst = RST_POLARITY_G or (SLV_BYTES_C = MST_BYTES_C)) then r <= REG_INIT_C after TPD_G; else r <= rin after TPD_G; @@ -281,6 +282,7 @@ begin AxiStreamPipeline_1 : entity surf.AxiStreamPipeline generic map ( TPD_G => TPD_G, + RST_POLARITY_G => RST_POLARITY_G, RST_ASYNC_G => RST_ASYNC_G, SIDE_BAND_WIDTH_G => SIDE_BAND_WIDTH_G, PIPE_STAGES_G => PIPE_STAGES_G) diff --git a/base/general/rtl/TextUtilPkg.vhd b/base/general/rtl/TextUtilPkg.vhd index a55d7335c5..681ed3561f 100644 --- a/base/general/rtl/TextUtilPkg.vhd +++ b/base/general/rtl/TextUtilPkg.vhd @@ -119,7 +119,10 @@ package TextUtilPkg is procedure print(file out_file : text; char : in character); - + -- appends contents of a string to a file until line feed occurs + -- (LF is considered to be the end of the string) + procedure strWrite(file out_file : text; + new_string : in string); end TextUtilPkg; diff --git a/devices/AnalogDevices/ruckus.tcl b/devices/AnalogDevices/ruckus.tcl index b77afd7118..f53219c6d7 100644 --- a/devices/AnalogDevices/ruckus.tcl +++ b/devices/AnalogDevices/ruckus.tcl @@ -2,6 +2,7 @@ source $::env(RUCKUS_PROC_TCL) # Load ruckus files +loadRuckusTcl "$::DIR_PATH/ad5541" loadRuckusTcl "$::DIR_PATH/ad5780" loadRuckusTcl "$::DIR_PATH/general" diff --git a/devices/Marvell/Sgmii88E1111/lvdsUltraScale/Sgmii88E1111LvdsUltraScale.vhd b/devices/Marvell/Sgmii88E1111/lvdsUltraScale/Sgmii88E1111LvdsUltraScale.vhd index 9a46107392..a5ff669184 100644 --- a/devices/Marvell/Sgmii88E1111/lvdsUltraScale/Sgmii88E1111LvdsUltraScale.vhd +++ b/devices/Marvell/Sgmii88E1111/lvdsUltraScale/Sgmii88E1111LvdsUltraScale.vhd @@ -27,6 +27,7 @@ entity Sgmii88E1111LvdsUltraScale is TPD_G : time := 1 ns; STABLE_CLK_FREQ_G : real := 156.25E+6; PAUSE_EN_G : boolean := true; + JUMBO_G : boolean := true; EN_AXIL_REG_G : boolean := false; PHY_G : natural range 0 to 31 := 7; AXIS_CONFIG_G : AxiStreamConfigType := EMAC_AXIS_CONFIG_C); @@ -191,6 +192,7 @@ begin generic map ( TPD_G => TPD_G, PAUSE_EN_G => PAUSE_EN_G, + JUMBO_G => JUMBO_G, EN_AXIL_REG_G => EN_AXIL_REG_G, AXIS_CONFIG_G => AXIS_CONFIG_G) port map ( diff --git a/devices/Ti/dp83867/core/SgmiiDp83867Mdio.vhd b/devices/Ti/dp83867/core/SgmiiDp83867Mdio.vhd index ba8565a345..74c285461a 100644 --- a/devices/Ti/dp83867/core/SgmiiDp83867Mdio.vhd +++ b/devices/Ti/dp83867/core/SgmiiDp83867Mdio.vhd @@ -38,6 +38,7 @@ entity SgmiiDp83867Mdio is linkIsUp : out sl; -- MDIO interface mdc : out sl; + mdTri : out sl; mdo : out sl; mdi : in sl; -- link status change interrupt @@ -47,25 +48,24 @@ end entity SgmiiDp83867Mdio; architecture rtl of SgmiiDp83867Mdio is constant P_INIT_C : MdioProgramArray := ( - mdioWriteInst(PHY_G, 16#0D#, x"001F", false), -- Address 0x000D: Setup for extended address - mdioWriteInst(PHY_G, 16#0E#, x"00D3", false), -- Address 0x000E: Set extended address = 0x00D3 - mdioWriteInst(PHY_G, 16#0D#, x"401F", false), -- Address 0x000D: Setup for extended data write - mdioWriteInst(PHY_G, 16#0E#, x"4000", false), -- Address 0x000E: Enable SGMII clock - - mdioWriteInst(PHY_G, 16#0D#, x"001F", false), -- Address 0x000D: Setup for extended address - mdioWriteInst(PHY_G, 16#0E#, x"0032", false), -- Address 0x000E: Set extended address = 0x0032 - mdioWriteInst(PHY_G, 16#0D#, x"401F", false), -- Address 0x000D: Setup for extended data write - mdioWriteInst(PHY_G, 16#0E#, x"0000", false), -- Address 0x000E: RGMII must be disabled - - mdioWriteInst(PHY_G, 16#1E#, x"0082", false), -- Address 0x001E: INTN/PWDNN Pad is an Interrupt Output. - mdioWriteInst(PHY_G, 16#14#, x"29C7", false), -- Address 0x0014: Configure interrupt polarity, enable auto negotiation, Enable Speed Optimization - mdioWriteInst(PHY_G, 16#12#, X"0c00", false), -- Address 0x0012: Interrupt of link and autoneg changes - mdioWriteInst(PHY_G, 16#10#, x"5868", false), -- Address 0x0010: Enable SGMII - -- mdioWriteInst(PHY_G, 16#09#, X"0200", false), -- Address 0x0009: Advertise 1000 FD only - -- mdioWriteInst(PHY_G, 16#04#, X"0140", false), -- Address 0x0004: Advertise 10/100 FD only - mdioWriteInst(PHY_G, 16#00#, x"1140", false), -- Address 0x0000: Enable autoneg and full duplex - - mdioWriteInst(PHY_G, 16#1F#, x"4000", true)); -- Address 0x001F: Initiate the soft restart. + mdioWriteInst(PHY_G, 16#0D#, x"001F", false), -- Address 0x0D: Setup for extended address + mdioWriteInst(PHY_G, 16#0E#, x"00D3", false), -- Address 0x0E: Set extended address = 0xD3 (more than 5-bit address) + mdioWriteInst(PHY_G, 16#0D#, x"401F", false), -- Address 0x0D: Setup for extended data write + mdioWriteInst(PHY_G, 16#0E#, x"4000", false), -- Address 0x0E: Enable SGMII clock + + mdioWriteInst(PHY_G, 16#0D#, x"001F", false), -- Address 0x0D: Setup for extended address + mdioWriteInst(PHY_G, 16#0E#, x"0032", false), -- Address 0x0E: Set extended address = 0x32 (more than 5-bit address) + mdioWriteInst(PHY_G, 16#0D#, x"401F", false), -- Address 0x0D: Setup for extended data write + mdioWriteInst(PHY_G, 16#0E#, x"0000", false), -- Address 0x0E: RGMII must be disabled + + mdioWriteInst(PHY_G, 16#00#, x"1140", false), -- Address 0x00: enable autoneg on copper side + mdioWriteInst(PHY_G, 16#10#, x"5848", false), -- Address 0x10: Enable SGMII + mdioWriteInst(PHY_G, 16#14#, x"2907", false), -- Address 0x14: disable ANEG on SMII side + + mdioWriteInst(PHY_G, 16#09#, X"0200", false), -- Address 0x09: Advertise 1000 FD only + mdioWriteInst(PHY_G, 16#04#, X"0001", false), -- Address 0x04: Don't advertise 10/100 + + mdioWriteInst(PHY_G, 16#1F#, x"4000", true)); -- Address 0x1F: Initiate the soft restart. constant REG0x13_IDX_C : natural := 0; constant REG0x11_IDX_C : natural := 1; @@ -118,8 +118,10 @@ begin hdlrDone => hdlrDone, args => args, mdc => mdc, + mdTri => mdTri, mdi => mdi, - mdo => mdo, phyIrq => linkIrq); + mdo => mdo, + phyIrq => linkIrq); COMB : process(args, hdlrDone, r) variable v : RegType; diff --git a/devices/Ti/dp83867/lvdsUltraScale/SgmiiDp83867LvdsUltraScale.vhd b/devices/Ti/dp83867/lvdsUltraScale/SgmiiDp83867LvdsUltraScale.vhd index b3b9792a11..c3e397a80e 100644 --- a/devices/Ti/dp83867/lvdsUltraScale/SgmiiDp83867LvdsUltraScale.vhd +++ b/devices/Ti/dp83867/lvdsUltraScale/SgmiiDp83867LvdsUltraScale.vhd @@ -16,91 +16,115 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; - library surf; use surf.StdRtlPkg.all; use surf.AxiStreamPkg.all; use surf.AxiLitePkg.all; use surf.EthMacPkg.all; +library UNISIM; +use UNISIM.vcomponents.all; + entity SgmiiDp83867LvdsUltraScale is generic ( TPD_G : time := 1 ns; STABLE_CLK_FREQ_G : real := 156.25E+6; - USE_BUFG_DIV_G : boolean := true; - CLKOUT1_PHASE_G : real := 90.0; + PAUSE_EN_G : boolean := true; + JUMBO_G : boolean := true; + EN_AXIL_REG_G : boolean := false; PHY_G : natural range 0 to 15 := 3; AXIS_CONFIG_G : AxiStreamConfigType := EMAC_AXIS_CONFIG_C); port ( -- clock and reset - extRst : in sl; -- active high - stableClk : in sl; -- Stable clock reference - phyClk : out sl; - phyRst : out sl; + extRst : in sl; -- active high + stableClk : in sl; -- Stable clock reference + phyClk : out sl; + phyRst : out sl; -- Local Configurations/status - localMac : in slv(47 downto 0); -- big-Endian configuration - phyReady : out sl; - linkUp : out sl; - speed10 : out sl; - speed100 : out sl; - speed1000 : out sl; - mmcmLocked : out sl; + localMac : in slv(47 downto 0); -- big-Endian configuration + phyReady : out sl; + linkUp : out sl; + speed10 : out sl; + speed100 : out sl; + speed1000 : out sl; -- Interface to Ethernet Media Access Controller (MAC) - macClk : in sl; - macRst : in sl; - obMacMaster : out AxiStreamMasterType; - obMacSlave : in AxiStreamSlaveType; - ibMacMaster : in AxiStreamMasterType; - ibMacSlave : out AxiStreamSlaveType; + macClk : in sl; + macRst : in sl; + obMacMaster : out AxiStreamMasterType; + obMacSlave : in AxiStreamSlaveType; + ibMacMaster : in AxiStreamMasterType; + ibMacSlave : out AxiStreamSlaveType; + -- Slave AXI-Lite Interface + axilClk : in sl := '0'; + axilRst : in sl := '0'; + axilReadMaster : in AxiLiteReadMasterType := AXI_LITE_READ_MASTER_INIT_C; + axilReadSlave : out AxiLiteReadSlaveType; + axilWriteMaster : in AxiLiteWriteMasterType := AXI_LITE_WRITE_MASTER_INIT_C; + axilWriteSlave : out AxiLiteWriteSlaveType; -- ETH external PHY Ports - phyClkP : in sl; -- 625.0 MHz - phyClkN : in sl; - phyMdc : out sl; - phyMdio : inout sl; - phyRstN : out sl; -- active low - phyIrqN : in sl; -- active low + phyClkP : in sl; -- 625.0 MHz + phyClkN : in sl; + phyMdc : out sl; + phyMdio : inout sl; + phyRstN : out sl; -- active low + phyIrqN : in sl; -- active low -- LVDS SGMII Ports - sgmiiRxP : in sl; - sgmiiRxN : in sl; - sgmiiTxP : out sl; - sgmiiTxN : out sl); + sgmiiRxP : in sl; + sgmiiRxN : in sl; + sgmiiTxP : out sl; + sgmiiTxN : out sl); end entity SgmiiDp83867LvdsUltraScale; architecture mapping of SgmiiDp83867LvdsUltraScale is - signal phyClock : sl; - signal phyReset : sl; - signal phyInitRst : sl; signal phyIrq : sl; + signal phyTri : sl; signal phyMdi : sl; + signal phyMdiSync : sl; signal phyMdo : sl := '1'; signal extPhyRstN : sl := '0'; signal sp10_100 : sl := '0'; signal sp100 : sl := '0'; - - signal sp10_100_sync : sl := '0'; - signal sp100_sync : sl := '0'; - signal initDone : sl := '0'; begin - phyClk <= phyClock; - phyRst <= phyReset; - speed10 <= sp10_100 and not sp100; speed100 <= sp10_100 and not sp100; speed1000 <= not sp10_100 and not sp100; -- Tri-state driver for phyMdio - phyMdio <= 'Z' when phyMdo = '1' else '0'; + U_phyMdio : IOBUF + port map ( + I => phyMdo, -- 1-bit input: Buffer input + O => phyMdi, -- 1-bit output: Buffer output + IO => phyMdio, -- 1-bit inout: Buffer inout + T => phyTri); -- 1-bit input: 3-state enable input -- Reset line of the external phy phyRstN <= extPhyRstN; + U_SyncIrq : entity surf.Synchronizer + generic map ( + TPD_G => TPD_G, + OUT_POLARITY_G => '0', + INIT_G => "11") + port map ( + clk => stableClk, + dataIn => phyIrqN, + dataOut => phyIrq); + + U_SyncMdi : entity surf.Synchronizer + generic map ( + TPD_G => TPD_G) + port map ( + clk => stableClk, + dataIn => phyMdi, + dataOut => phyMdiSync); + -------------------------------------------------------------------------- -- We must hold reset for >10ms and then wait >5ms until we may talk -- to it (we actually wait also >10ms) which is indicated by 'phyInitRst' @@ -110,7 +134,7 @@ begin TPD_G => TPD_G, IN_POLARITY_G => '1', OUT_POLARITY_G => '0', - DURATION_G => getTimeRatio(STABLE_CLK_FREQ_G, 100.0)) -- 10 ms reset + DURATION_G => getTimeRatio(STABLE_CLK_FREQ_G, 2.0)) -- 500 ms reset port map ( arst => extRst, clk => stableClk, @@ -121,24 +145,17 @@ begin TPD_G => TPD_G, IN_POLARITY_G => '0', OUT_POLARITY_G => '1', - DURATION_G => getTimeRatio(STABLE_CLK_FREQ_G, 100.0)) -- 10 ms reset + DURATION_G => getTimeRatio(STABLE_CLK_FREQ_G, 2.0)) -- 500 ms reset port map ( arst => extPhyRstN, clk => stableClk, rstOut => phyInitRst); - ----------------------------------------------------------------------- - -- The SaltCore does not support auto-negotiation on the SGMII link - -- (mac<->phy) - however, the DP83867ISRGZ PHY (by default) assumes it does. - -- We need to disable auto-negotiation in the PHY on the SGMII side - -- and handle link changes (aneg still enabled on copper) flagged - -- by the PHY... - ----------------------------------------------------------------------- U_PhyCtrl : entity surf.SgmiiDp83867Mdio generic map ( TPD_G => TPD_G, PHY_G => PHY_G, - DIV_G => getTimeRatio(STABLE_CLK_FREQ_G, 2*1.0E+6)) -- phyMdc = 1.0 MHz + DIV_G => getTimeRatio(STABLE_CLK_FREQ_G, 2*2.5E+6)) -- phyMdc = 2.5 MHz (nominal) port map ( clk => stableClk, rst => phyInitRst, @@ -146,74 +163,50 @@ begin speed_is_10_100 => sp10_100, speed_is_100 => sp100, linkIsUp => linkUp, - mdi => phyMdi, + mdi => phyMdiSync, mdc => phyMdc, + mdTri => phyTri, mdo => phyMdo, linkIrq => phyIrq); - ---------------------------------------------------- - -- synchronize MDI and IRQ signals into 'clk' domain - ---------------------------------------------------- - U_SyncMdi : entity surf.Synchronizer - generic map ( - TPD_G => TPD_G) - port map ( - clk => stableClk, - dataIn => phyMdio, - dataOut => phyMdi); - - U_SyncIrq : entity surf.Synchronizer - generic map ( - TPD_G => TPD_G, - OUT_POLARITY_G => '0', - INIT_G => "11") - port map ( - clk => stableClk, - dataIn => phyIrqN, - dataOut => phyIrq); - - U_sync_speed : entity surf.SynchronizerVector + U_1GigE : entity surf.GigEthLvdsUltraScale generic map ( - TPD_G => TPD_G, - WIDTH_G => 2) - port map ( - clk => phyClock, - dataIn(0) => sp10_100, - dataIn(1) => sp100, - dataOut(0) => sp10_100_sync, - dataOut(1) => sp100_sync); - - U_1GigE : entity surf.GigEthLvdsUltraScaleWrapper - generic map ( - TPD_G => TPD_G, - USE_BUFG_DIV_G => USE_BUFG_DIV_G, - CLKOUT1_PHASE_G => CLKOUT1_PHASE_G, - AXIS_CONFIG_G => (others => AXIS_CONFIG_G)) + TPD_G => TPD_G, + PAUSE_EN_G => PAUSE_EN_G, + JUMBO_G => JUMBO_G, + EN_AXIL_REG_G => EN_AXIL_REG_G, + AXIS_CONFIG_G => AXIS_CONFIG_G) port map ( -- Local Configurations - localMac(0) => localMac, + localMac => localMac, -- Streaming DMA Interface - dmaClk(0) => macClk, - dmaRst(0) => macRst, - dmaIbMasters(0) => obMacMaster, - dmaIbSlaves(0) => obMacSlave, - dmaObMasters(0) => ibMacMaster, - dmaObSlaves(0) => ibMacSlave, - -- Misc. Signals - extRst => extRst, - phyClk => phyClock, - phyRst => phyReset, - mmcmLocked => mmcmLocked, - phyReady(0) => phyReady, - speed_is_10_100(0) => sp10_100_sync, - speed_is_100(0) => sp100_sync, - -- MGT Clock Port - sgmiiClkP => phyClkP, - sgmiiClkN => phyClkN, - -- MGT Ports - sgmiiTxP(0) => sgmiiTxP, - sgmiiTxN(0) => sgmiiTxN, - sgmiiRxP(0) => sgmiiRxP, - sgmiiRxN(0) => sgmiiRxN); + dmaClk => macClk, + dmaRst => macRst, + dmaIbMaster => obMacMaster, + dmaIbSlave => obMacSlave, + dmaObMaster => ibMacMaster, + dmaObSlave => ibMacSlave, + -- Slave AXI-Lite Interface + axilClk => axilClk, + axilRst => axilRst, + axilReadMaster => axilReadMaster, + axilReadSlave => axilReadSlave, + axilWriteMaster => axilWriteMaster, + axilWriteSlave => axilWriteSlave, + -- Speed selection + speed_is_10_100 => sp10_100, + speed_is_100 => sp100, + -- PHY + MAC signals + extRst => phyInitRst, + ethClk => phyClk, + ethRst => phyRst, + phyReady => phyReady, + -- SGMII / LVDS Ports + sgmiiClkP => phyClkP, -- 625 MHz + sgmiiClkN => phyClkN, -- 625 MHz + sgmiiTxP => sgmiiTxP, + sgmiiTxN => sgmiiTxN, + sgmiiRxP => sgmiiRxP, + sgmiiRxN => sgmiiRxN); end mapping; diff --git a/ethernet/EthMacCore/rtl/EthMacRx.vhd b/ethernet/EthMacCore/rtl/EthMacRx.vhd index f1f5485903..4b215cfde7 100644 --- a/ethernet/EthMacCore/rtl/EthMacRx.vhd +++ b/ethernet/EthMacCore/rtl/EthMacRx.vhd @@ -31,6 +31,7 @@ entity EthMacRx is PHY_TYPE_G : string := "XGMII"; JUMBO_G : boolean := true; -- Misc. Configurations + ROCEV2_EN_G : boolean := false; FILT_EN_G : boolean := false; BYP_EN_G : boolean := false; BYP_ETH_TYPE_G : slv(15 downto 0) := x"0000"; @@ -69,10 +70,11 @@ end EthMacRx; architecture mapping of EthMacRx is - signal macIbMaster : AxiStreamMasterType; - signal pauseMaster : AxiStreamMasterType; - signal csumMaster : AxiStreamMasterType; - signal bypassMaster : AxiStreamMasterType; + signal macIbMaster : AxiStreamMasterType; + signal pauseMaster : AxiStreamMasterType; + signal obCsumMaster : AxiStreamMasterType; + signal ibBypassMaster : AxiStreamMasterType; + signal obBypassMaster : AxiStreamMasterType; begin @@ -130,8 +132,9 @@ begin --------------------- U_Csum : entity surf.EthMacRxCsum generic map ( - TPD_G => TPD_G, - JUMBO_G => JUMBO_G) + TPD_G => TPD_G, + JUMBO_G => JUMBO_G, + ROCEV2_EN_G => ROCEV2_EN_G) port map ( -- Clock and Reset ethClk => ethClk, @@ -142,7 +145,28 @@ begin udpCsumEn => ethConfig.udpCsumEn, -- Outbound data to MAC sAxisMaster => pauseMaster, - mAxisMaster => csumMaster); + mAxisMaster => obCsumMaster); + + -------------------------------- + -- RoCEv2 Protocol iCRC Checking + -------------------------------- + GEN_RoCEv2 : if (ROCEV2_EN_G = true) generate + U_RoCEv2 : entity surf.EthMacRxRoCEv2 + generic map ( + TPD_G => TPD_G) + port map ( + -- Clock and Reset + ethClk => ethClk, + ethRst => ethRst, + -- Checksum Interface + obCsumMaster => obCsumMaster, + -- Bypass Interface + ibBypassMaster => ibBypassMaster); + end generate; + + BYPASS_RoCEv2 : if (ROCEV2_EN_G = false) generate + ibBypassMaster <= obCsumMaster; + end generate; ------------------- -- RX Bypass Module @@ -157,9 +181,9 @@ begin ethClk => ethClk, ethRst => ethRst, -- Incoming data from MAC - sAxisMaster => csumMaster, + sAxisMaster => ibBypassMaster, -- Outgoing primary data - mPrimMaster => bypassMaster, + mPrimMaster => obBypassMaster, -- Outgoing bypass data mBypMaster => mBypMaster); @@ -175,7 +199,7 @@ begin ethClk => ethClk, ethRst => ethRst, -- Incoming data from MAC - sAxisMaster => bypassMaster, + sAxisMaster => obBypassMaster, -- Outgoing data mAxisMaster => mPrimMaster, mAxisCtrl => mPrimCtrl, diff --git a/ethernet/EthMacCore/rtl/EthMacRxCsum.vhd b/ethernet/EthMacCore/rtl/EthMacRxCsum.vhd index c4374cd0b9..ffd3186cd2 100644 --- a/ethernet/EthMacCore/rtl/EthMacRxCsum.vhd +++ b/ethernet/EthMacCore/rtl/EthMacRxCsum.vhd @@ -25,8 +25,9 @@ use surf.EthMacPkg.all; entity EthMacRxCsum is generic ( - TPD_G : time := 1 ns; - JUMBO_G : boolean := true); + TPD_G : time := 1 ns; + JUMBO_G : boolean := true; + ROCEV2_EN_G : boolean := false); port ( -- Clock and Reset ethClk : in sl; @@ -65,6 +66,7 @@ architecture rtl of EthMacRxCsum is ipv4Len : Slv16Array(EMAC_CSUM_PIPELINE_C+1 downto 0); protLen : Slv16Array(EMAC_CSUM_PIPELINE_C+1 downto 0); protCsum : Slv16Array(EMAC_CSUM_PIPELINE_C+1 downto 0); + roce : slv(EMAC_CSUM_PIPELINE_C+1 downto 0); calc : EthMacCsumAccumArray(1 downto 0); tKeep : slv(15 downto 0); tData : slv(127 downto 0); @@ -86,6 +88,7 @@ architecture rtl of EthMacRxCsum is ipv4Len => (others => (others => '0')), protLen => (others => (others => '0')), protCsum => (others => (others => '0')), + roce => (others => '0'), calc => (others => ETH_MAC_CSUM_ACCUM_INIT_C), tKeep => (others => '0'), tData => (others => '0'), @@ -142,6 +145,7 @@ begin v.ipv4Len := r.ipv4Len(EMAC_CSUM_PIPELINE_C downto 0) & r.ipv4Len(0); v.protLen := r.protLen(EMAC_CSUM_PIPELINE_C downto 0) & r.protLen(0); v.protCsum := r.protCsum(EMAC_CSUM_PIPELINE_C downto 0) & r.protCsum(0); + v.roce := r.roce(EMAC_CSUM_PIPELINE_C downto 0) & r.roce(0); end if; -- Check for tLast in pipeline @@ -280,6 +284,11 @@ begin v.protLen(0)(15 downto 8) := sAxisMaster.tData(55 downto 48); v.protLen(0)(7 downto 0) := sAxisMaster.tData(63 downto 56); end if; + if ROCEV2_EN_G and (sAxisMaster.tData(47 downto 32) = x"B712") then + v.roce(0) := '1'; + else + v.roce(0) := '0'; + end if; -- Track the number of bytes (include IPv4 header offset from previous state) v.byteCnt := getTKeep(sAxisMaster.tKeep, INT_EMAC_AXIS_CONFIG_C) + 18; -- Check for EOF @@ -348,6 +357,11 @@ begin axiStreamSetUserBit(INT_EMAC_AXIS_CONFIG_C, v.mAxisMasters(EMAC_CSUM_PIPELINE_C+1), EMAC_FRAG_BIT_C, r.fragDet(EMAC_CSUM_PIPELINE_C), 0); end if; + -- Outputs + mAxisMaster <= r.mAxisMasters(EMAC_CSUM_PIPELINE_C+1); + mAxisMaster.tDest(0) <= r.roce(EMAC_CSUM_PIPELINE_C); + dbg <= dummy; + -- Reset if (ethRst = '1') then v := REG_INIT_C; @@ -356,10 +370,6 @@ begin -- Register the variable for next clock cycle rin <= v; - -- Outputs - mAxisMaster <= r.mAxisMasters(EMAC_CSUM_PIPELINE_C+1); - dbg <= dummy; - end process comb; seq : process (ethClk) is diff --git a/ethernet/EthMacCore/rtl/EthMacTop.vhd b/ethernet/EthMacCore/rtl/EthMacTop.vhd index 3a87fc95c6..cf2dc986b3 100644 --- a/ethernet/EthMacCore/rtl/EthMacTop.vhd +++ b/ethernet/EthMacCore/rtl/EthMacTop.vhd @@ -39,6 +39,7 @@ entity EthMacTop is SYNTH_MODE_G : string := "inferred"; MEMORY_TYPE_G : string := "block"; -- Misc. Configurations + ROCEV2_EN_G : boolean := false; FILT_EN_G : boolean := false; PRIM_COMMON_CLK_G : boolean := false; PRIM_CONFIG_G : AxiStreamConfigType := EMAC_AXIS_CONFIG_C; @@ -159,6 +160,7 @@ begin DROP_ERR_PKT_G => DROP_ERR_PKT_G, JUMBO_G => JUMBO_G, -- Misc. Configurations + ROCEV2_EN_G => ROCEV2_EN_G, BYP_EN_G => BYP_EN_G, -- RAM sythesis Mode SYNTH_MODE_G => SYNTH_MODE_G) @@ -224,6 +226,7 @@ begin PHY_TYPE_G => PHY_TYPE_G, JUMBO_G => JUMBO_G, -- Misc. Configurations + ROCEV2_EN_G => ROCEV2_EN_G, FILT_EN_G => FILT_EN_G, BYP_EN_G => BYP_EN_G, BYP_ETH_TYPE_G => BYP_ETH_TYPE_G, diff --git a/ethernet/EthMacCore/rtl/EthMacTx.vhd b/ethernet/EthMacCore/rtl/EthMacTx.vhd index 2a916fc320..0e04ebbcdb 100644 --- a/ethernet/EthMacCore/rtl/EthMacTx.vhd +++ b/ethernet/EthMacCore/rtl/EthMacTx.vhd @@ -33,6 +33,7 @@ entity EthMacTx is DROP_ERR_PKT_G : boolean := true; JUMBO_G : boolean := true; -- Misc. Configurations + ROCEV2_EN_G : boolean := false; BYP_EN_G : boolean := false; -- RAM Synthesis mode SYNTH_MODE_G : string := "inferred"); @@ -75,8 +76,11 @@ architecture mapping of EthMacTx is signal bypassMaster : AxiStreamMasterType; signal bypassSlave : AxiStreamSlaveType; - signal csumMaster : AxiStreamMasterType; - signal csumSlave : AxiStreamSlaveType; + signal obCsumMaster : AxiStreamMasterType; + signal obCsumSlave : AxiStreamSlaveType; + + signal ibPauseMaster : AxiStreamMasterType; + signal ibPauseSlave : AxiStreamSlaveType; signal macObMaster : AxiStreamMasterType; signal macObSlave : AxiStreamSlaveType; @@ -111,7 +115,9 @@ begin generic map ( TPD_G => TPD_G, DROP_ERR_PKT_G => DROP_ERR_PKT_G, - JUMBO_G => JUMBO_G) + JUMBO_G => JUMBO_G, + ROCEV2_EN_G => ROCEV2_EN_G, + SYNTH_MODE_G => SYNTH_MODE_G) port map ( -- Clock and Reset ethClk => ethClk, @@ -123,8 +129,32 @@ begin -- Outbound data to MAC sAxisMaster => bypassMaster, sAxisSlave => bypassSlave, - mAxisMaster => csumMaster, - mAxisSlave => csumSlave); + mAxisMaster => obCsumMaster, + mAxisSlave => obCsumSlave); + + --------------------------------- + -- RoCEv2 Protocol iCRC insertion + --------------------------------- + GEN_RoCEv2 : if (ROCEV2_EN_G = true) generate + U_RoCEv2 : entity surf.EthMacTxRoCEv2 + generic map ( + TPD_G => TPD_G) + port map ( + -- Clock and Reset + ethClk => ethClk, + ethRst => ethRst, + -- Checksum Interface + obCsumMaster => obCsumMaster, + obCsumSlave => obCsumSlave, + -- Pause Interface + ibPauseMaster => ibPauseMaster, + ibPauseSlave => ibPauseSlave); + end generate; + + BYPASS_RoCEv2 : if (ROCEV2_EN_G = false) generate + ibPauseMaster <= obCsumMaster; + obCsumSlave <= ibPauseSlave; + end generate; ------------------ -- TX Pause Module @@ -139,8 +169,8 @@ begin ethClk => ethClk, ethRst => ethRst, -- Incoming data from client - sAxisMaster => csumMaster, - sAxisSlave => csumSlave, + sAxisMaster => ibPauseMaster, + sAxisSlave => ibPauseSlave, -- Outgoing data to MAC mAxisMaster => macObMaster, mAxisSlave => macObSlave, diff --git a/ethernet/EthMacCore/rtl/EthMacTxCsum.vhd b/ethernet/EthMacCore/rtl/EthMacTxCsum.vhd index 97a56349d0..6a2695f59e 100644 --- a/ethernet/EthMacCore/rtl/EthMacTxCsum.vhd +++ b/ethernet/EthMacCore/rtl/EthMacTxCsum.vhd @@ -28,6 +28,7 @@ entity EthMacTxCsum is TPD_G : time := 1 ns; DROP_ERR_PKT_G : boolean := true; JUMBO_G : boolean := true; + ROCEV2_EN_G : boolean := false; SYNTH_MODE_G : string := "inferred"); -- Synthesis mode for internal RAMs port ( -- Clock and Reset @@ -46,7 +47,8 @@ end EthMacTxCsum; architecture rtl of EthMacTxCsum is - constant MAX_FRAME_SIZE_C : natural := ite(JUMBO_G, 9000, 1500); + constant MAX_FRAME_SIZE_C : natural := ite(JUMBO_G, 9000, 1500); + constant ROCEV2_CRC32_BYTE_WIDTH_C : natural := 4; type StateType is ( IDLE_S, @@ -75,6 +77,7 @@ architecture rtl of EthMacTxCsum is tranRd : sl; mvCnt : natural range 0 to 4; dbg : slv(5 downto 0); + roce : slv(EMAC_CSUM_PIPELINE_C+1 downto 0); rxSlave : AxiStreamSlaveType; txMaster : AxiStreamMasterType; mSlave : AxiStreamSlaveType; @@ -101,6 +104,7 @@ architecture rtl of EthMacTxCsum is tranRd => '0', mvCnt => 0, dbg => (others => '0'), + roce => (others => '0'), rxSlave => AXI_STREAM_SLAVE_INIT_C, txMaster => AXI_STREAM_MASTER_INIT_C, mSlave => AXI_STREAM_SLAVE_INIT_C, @@ -119,6 +123,7 @@ architecture rtl of EthMacTxCsum is signal txMaster : AxiStreamMasterType; signal txSlave : AxiStreamSlaveType; + signal roce : sl; signal tranPause : sl; signal fragDet : sl; signal eofeDet : sl; @@ -161,9 +166,9 @@ begin mAxisSlave => rxSlave); comb : process (eofeDet, ethRst, fragDet, ipCsumEn, ipv4Csum, ipv4Det, - ipv4Len, mMaster, protCsum, protLen, r, rxMaster, sSlave, - tcpCsumEn, tcpDet, tranPause, tranValid, txSlave, udpCsumEn, - udpDet) is + ipv4Len, mMaster, protCsum, protLen, r, roce, rxMaster, + sSlave, tcpCsumEn, tcpDet, tranPause, tranValid, txSlave, + udpCsumEn, udpDet) is variable v : RegType; variable dummy : slv(1 downto 0); begin @@ -207,6 +212,7 @@ begin v.tcpDet := r.tcpDet(EMAC_CSUM_PIPELINE_C downto 0) & r.tcpDet(0); v.ipv4Len := r.ipv4Len(EMAC_CSUM_PIPELINE_C downto 0) & r.ipv4Len(0); v.protLen := r.protLen(EMAC_CSUM_PIPELINE_C downto 0) & r.protLen(0); + v.roce := r.roce(EMAC_CSUM_PIPELINE_C downto 0) & r.roce(0); -- Check for UDP frame if (r.udpDet(EMAC_CSUM_PIPELINE_C-1) = '1') then @@ -228,6 +234,7 @@ begin v.udpDet(0) := '0'; v.tcpDet(0) := '0'; v.tcpFlag := '0'; + v.roce(0) := '0'; -- Reset accumulators v.ipv4Len(0) := toSlv(20, 16); v.protLen(0) := (others => '0'); @@ -328,9 +335,16 @@ begin -- Mask off inbound UDP length/checksum v.tData := rxMaster.tData(127 downto 80) & x"00000000" & rxMaster.tData(47 downto 0); end if; - -- Track the number of bytes - v.ipv4Len(0) := r.ipv4Len(0) + getTKeep(rxMaster.tKeep, INT_EMAC_AXIS_CONFIG_C) - 2; - v.protLen(0) := r.protLen(0) + getTKeep(rxMaster.tKeep, INT_EMAC_AXIS_CONFIG_C) - 2; + -- Track the number of bytes and check if its a RoCE transmission (UDP dst port = 4791) + if ROCEV2_EN_G and (rxMaster.tData(47 downto 32) = x"B712") then + v.roce(0) := '1'; + v.ipv4Len(0) := r.ipv4Len(0) + getTKeep(rxMaster.tKeep, INT_EMAC_AXIS_CONFIG_C) - 2 + ROCEV2_CRC32_BYTE_WIDTH_C; + v.protLen(0) := r.protLen(0) + getTKeep(rxMaster.tKeep, INT_EMAC_AXIS_CONFIG_C) - 2 + ROCEV2_CRC32_BYTE_WIDTH_C; + else + v.roce(0) := '0'; + v.ipv4Len(0) := r.ipv4Len(0) + getTKeep(rxMaster.tKeep, INT_EMAC_AXIS_CONFIG_C) - 2; + v.protLen(0) := r.protLen(0) + getTKeep(rxMaster.tKeep, INT_EMAC_AXIS_CONFIG_C) - 2; + end if; -- Check for EOF if (rxMaster.tLast = '1') then -- Save the EOFE value @@ -412,6 +426,11 @@ begin v.mSlave.tReady := '1'; -- Move data v.txMaster := mMaster; + if ROCEV2_EN_G and (roce = '1') then + v.txMaster.tDest(0) := '1'; + else + v.txMaster.tDest(0) := '0'; + end if; -- Check if not forwarding EOFE frames if (DROP_ERR_PKT_G = true) and (eofeDet = '1') then -- Do NOT move data @@ -450,8 +469,13 @@ begin -- Overwrite the data field v.txMaster.tData(55 downto 48) := protLen(15 downto 8); v.txMaster.tData(63 downto 56) := protLen(7 downto 0); - v.txMaster.tData(71 downto 64) := protCsum(15 downto 8); - v.txMaster.tData(79 downto 72) := protCsum(7 downto 0); + if ROCEV2_EN_G and (roce = '1') then + v.txMaster.tData(71 downto 64) := (others => '0'); + v.txMaster.tData(79 downto 72) := (others => '0'); + else + v.txMaster.tData(71 downto 64) := protCsum(15 downto 8); + v.txMaster.tData(79 downto 72) := protCsum(7 downto 0); + end if; end if; -- Check for mismatch between firmware/software UDP length if (protLen(15 downto 8) /= mMaster.tData(55 downto 48)) or (protLen(7 downto 0) /= mMaster.tData(63 downto 56)) then @@ -491,9 +515,11 @@ begin end if; end if; - -- Combinatorial outputs before the reset - rxSlave <= v.rxSlave; - mSlave <= v.mSlave; + -- Outputs + sMaster <= r.sMaster; + txMaster <= r.txMaster; + rxSlave <= v.rxSlave; + mSlave <= v.mSlave; -- Reset if (ethRst = '1') then @@ -503,10 +529,6 @@ begin -- Register the variable for next clock cycle rin <= v; - -- Registered Outputs - sMaster <= r.sMaster; - txMaster <= r.txMaster; - end process comb; seq : process (ethClk) is @@ -552,7 +574,7 @@ begin SYNTH_MODE_G => SYNTH_MODE_G, MEMORY_TYPE_G => "distributed", FWFT_EN_G => true, - DATA_WIDTH_G => 69, + DATA_WIDTH_G => 70, ADDR_WIDTH_G => 4, FULL_THRES_G => 8) port map ( @@ -560,6 +582,7 @@ begin wr_clk => ethClk, --Write Ports (wr_clk domain) wr_en => r.calc(0).step(EMAC_CSUM_PIPELINE_C), + din(69) => r.roce(EMAC_CSUM_PIPELINE_C+1), din(68) => r.fragDet(EMAC_CSUM_PIPELINE_C+1), din(67) => r.eofeDet(EMAC_CSUM_PIPELINE_C+1), din(66) => r.ipv4Det(EMAC_CSUM_PIPELINE_C+1), @@ -573,6 +596,7 @@ begin --Read Ports (rd_clk domain) rd_clk => ethClk, rd_en => r.tranRd, + dout(69) => roce, dout(68) => fragDet, dout(67) => eofeDet, dout(66) => ipv4Det, diff --git a/ethernet/GigEthCore/gth7/rtl/GigEthGth7.vhd b/ethernet/GigEthCore/gth7/rtl/GigEthGth7.vhd index 1236b18b45..db2f416d09 100644 --- a/ethernet/GigEthCore/gth7/rtl/GigEthGth7.vhd +++ b/ethernet/GigEthCore/gth7/rtl/GigEthGth7.vhd @@ -28,6 +28,7 @@ entity GigEthGth7 is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -174,6 +175,7 @@ begin JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, PAUSE_512BITS_G => PAUSE_512BITS_C, + ROCEV2_EN_G => ROCEV2_EN_G, PHY_TYPE_G => "GMII", PRIM_CONFIG_G => AXIS_CONFIG_G) port map ( diff --git a/ethernet/GigEthCore/gth7/rtl/GigEthGth7Wrapper.vhd b/ethernet/GigEthCore/gth7/rtl/GigEthGth7Wrapper.vhd index b46cb01d4f..49cf3b563d 100644 --- a/ethernet/GigEthCore/gth7/rtl/GigEthGth7Wrapper.vhd +++ b/ethernet/GigEthCore/gth7/rtl/GigEthGth7Wrapper.vhd @@ -33,6 +33,7 @@ entity GigEthGth7Wrapper is NUM_LANE_G : natural range 1 to 4 := 1; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- Clocking Configurations USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk CLKIN_PERIOD_G : real := 8.0; @@ -169,6 +170,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/GigEthCore/gthUltraScale+/rtl/GigEthGthUltraScale.vhd b/ethernet/GigEthCore/gthUltraScale+/rtl/GigEthGthUltraScale.vhd index cf1e116757..3b24cbfe28 100644 --- a/ethernet/GigEthCore/gthUltraScale+/rtl/GigEthGthUltraScale.vhd +++ b/ethernet/GigEthCore/gthUltraScale+/rtl/GigEthGthUltraScale.vhd @@ -33,6 +33,7 @@ entity GigEthGthUltraScale is MEMORY_TYPE_G : string := "ultra"; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -188,6 +189,7 @@ begin JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, PAUSE_512BITS_G => PAUSE_512BITS_C, + ROCEV2_EN_G => ROCEV2_EN_G, PHY_TYPE_G => "GMII", PRIM_CONFIG_G => AXIS_CONFIG_G) port map ( diff --git a/ethernet/GigEthCore/gthUltraScale+/rtl/GigEthGthUltraScaleWrapper.vhd b/ethernet/GigEthCore/gthUltraScale+/rtl/GigEthGthUltraScaleWrapper.vhd index 9adecff93b..f19eae129f 100644 --- a/ethernet/GigEthCore/gthUltraScale+/rtl/GigEthGthUltraScaleWrapper.vhd +++ b/ethernet/GigEthCore/gthUltraScale+/rtl/GigEthGthUltraScaleWrapper.vhd @@ -39,6 +39,7 @@ entity GigEthGthUltraScaleWrapper is MEMORY_TYPE_G : string := "ultra"; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- Clocking Configurations EXT_PLL_G : boolean := false; USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk @@ -208,6 +209,7 @@ begin MEMORY_TYPE_G => MEMORY_TYPE_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/GigEthCore/gthUltraScale/rtl/GigEthGthUltraScale.vhd b/ethernet/GigEthCore/gthUltraScale/rtl/GigEthGthUltraScale.vhd index 1d1e2f86cd..7adc39f3a5 100644 --- a/ethernet/GigEthCore/gthUltraScale/rtl/GigEthGthUltraScale.vhd +++ b/ethernet/GigEthCore/gthUltraScale/rtl/GigEthGthUltraScale.vhd @@ -28,6 +28,7 @@ entity GigEthGthUltraScale is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -186,6 +187,7 @@ begin JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, PAUSE_512BITS_G => PAUSE_512BITS_C, + ROCEV2_EN_G => ROCEV2_EN_G, PHY_TYPE_G => "GMII", PRIM_CONFIG_G => AXIS_CONFIG_G) port map ( diff --git a/ethernet/GigEthCore/gthUltraScale/rtl/GigEthGthUltraScaleWrapper.vhd b/ethernet/GigEthCore/gthUltraScale/rtl/GigEthGthUltraScaleWrapper.vhd index 9b5b31138c..d0b06fd803 100644 --- a/ethernet/GigEthCore/gthUltraScale/rtl/GigEthGthUltraScaleWrapper.vhd +++ b/ethernet/GigEthCore/gthUltraScale/rtl/GigEthGthUltraScaleWrapper.vhd @@ -33,6 +33,7 @@ entity GigEthGthUltraScaleWrapper is NUM_LANE_G : natural range 1 to 4 := 1; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- Clocking Configurations EXT_PLL_G : boolean := false; USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk @@ -196,6 +197,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/GigEthCore/gtp7/rtl/GigEthGtp7.vhd b/ethernet/GigEthCore/gtp7/rtl/GigEthGtp7.vhd index ad5168567e..e22561884f 100644 --- a/ethernet/GigEthCore/gtp7/rtl/GigEthGtp7.vhd +++ b/ethernet/GigEthCore/gtp7/rtl/GigEthGtp7.vhd @@ -28,6 +28,7 @@ entity GigEthGtp7 is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -228,6 +229,7 @@ begin JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, PAUSE_512BITS_G => PAUSE_512BITS_C, + ROCEV2_EN_G => ROCEV2_EN_G, PHY_TYPE_G => "GMII", PRIM_CONFIG_G => AXIS_CONFIG_G) port map ( diff --git a/ethernet/GigEthCore/gtp7/rtl/GigEthGtp7Wrapper.vhd b/ethernet/GigEthCore/gtp7/rtl/GigEthGtp7Wrapper.vhd index bfab8912fd..d0011fd33e 100644 --- a/ethernet/GigEthCore/gtp7/rtl/GigEthGtp7Wrapper.vhd +++ b/ethernet/GigEthCore/gtp7/rtl/GigEthGtp7Wrapper.vhd @@ -33,6 +33,7 @@ entity GigEthGtp7Wrapper is NUM_LANE_G : natural range 1 to 4 := 1; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- Clocking Configurations USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk @@ -224,6 +225,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/GigEthCore/gtx7/rtl/GigEthGtx7.vhd b/ethernet/GigEthCore/gtx7/rtl/GigEthGtx7.vhd index 59378c1ec8..f0990543b5 100644 --- a/ethernet/GigEthCore/gtx7/rtl/GigEthGtx7.vhd +++ b/ethernet/GigEthCore/gtx7/rtl/GigEthGtx7.vhd @@ -29,6 +29,7 @@ entity GigEthGtx7 is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; SYNTH_MODE_G : string := "inferred"; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; @@ -239,6 +240,7 @@ begin JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, PAUSE_512BITS_G => PAUSE_512BITS_C, + ROCEV2_EN_G => ROCEV2_EN_G, PHY_TYPE_G => "GMII", SYNTH_MODE_G => SYNTH_MODE_G, PRIM_CONFIG_G => AXIS_CONFIG_G) diff --git a/ethernet/GigEthCore/gtx7/rtl/GigEthGtx7Wrapper.vhd b/ethernet/GigEthCore/gtx7/rtl/GigEthGtx7Wrapper.vhd index e593e34331..54986a4e3b 100644 --- a/ethernet/GigEthCore/gtx7/rtl/GigEthGtx7Wrapper.vhd +++ b/ethernet/GigEthCore/gtx7/rtl/GigEthGtx7Wrapper.vhd @@ -33,6 +33,7 @@ entity GigEthGtx7Wrapper is NUM_LANE_G : natural range 1 to 4 := 1; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- Clocking Configurations USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk CLKIN_PERIOD_G : real := 8.0; @@ -169,6 +170,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/GigEthCore/gtyUltraScale+/rtl/GigEthGtyUltraScale.vhd b/ethernet/GigEthCore/gtyUltraScale+/rtl/GigEthGtyUltraScale.vhd index d80419a71a..0690f836ca 100644 --- a/ethernet/GigEthCore/gtyUltraScale+/rtl/GigEthGtyUltraScale.vhd +++ b/ethernet/GigEthCore/gtyUltraScale+/rtl/GigEthGtyUltraScale.vhd @@ -33,6 +33,7 @@ entity GigEthGtyUltraScale is MEMORY_TYPE_G : string := "ultra"; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -188,6 +189,7 @@ begin JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, PAUSE_512BITS_G => PAUSE_512BITS_C, + ROCEV2_EN_G => ROCEV2_EN_G, PHY_TYPE_G => "GMII", PRIM_CONFIG_G => AXIS_CONFIG_G) port map ( diff --git a/ethernet/GigEthCore/gtyUltraScale+/rtl/GigEthGtyUltraScaleWrapper.vhd b/ethernet/GigEthCore/gtyUltraScale+/rtl/GigEthGtyUltraScaleWrapper.vhd index dc9a72da9e..dacd36c573 100644 --- a/ethernet/GigEthCore/gtyUltraScale+/rtl/GigEthGtyUltraScaleWrapper.vhd +++ b/ethernet/GigEthCore/gtyUltraScale+/rtl/GigEthGtyUltraScaleWrapper.vhd @@ -39,6 +39,7 @@ entity GigEthGtyUltraScaleWrapper is MEMORY_TYPE_G : string := "ultra"; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- Clocking Configurations USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk CLKIN_PERIOD_G : real := 8.0; @@ -189,6 +190,7 @@ begin MEMORY_TYPE_G => MEMORY_TYPE_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/GigEthCore/lvdsUltraScale/ip/GigEthLvdsUltraScaleCore.dcp b/ethernet/GigEthCore/lvdsUltraScale/ip/GigEthLvdsUltraScaleCore.dcp index 2baa9e17aa..f60c27d33b 100644 --- a/ethernet/GigEthCore/lvdsUltraScale/ip/GigEthLvdsUltraScaleCore.dcp +++ b/ethernet/GigEthCore/lvdsUltraScale/ip/GigEthLvdsUltraScaleCore.dcp @@ -1,3 +1,3 @@ version https://git-lfs.github.com/spec/v1 -oid sha256:a882be8700d046ef2ec3e4e2a8078e9ca84675708fdd9b25522b694d1bf3bbb5 -size 227537 +oid sha256:24dadac53d89c86b234c45bb902a0ae7f425f10951a71cd465ed860d5d7bdd9e +size 297138 diff --git a/ethernet/GigEthCore/lvdsUltraScale/ip/README.md b/ethernet/GigEthCore/lvdsUltraScale/ip/README.md new file mode 100644 index 0000000000..ebfcf59d2d --- /dev/null +++ b/ethernet/GigEthCore/lvdsUltraScale/ip/README.md @@ -0,0 +1,20 @@ +# Post .DCP generation hack to make it work with both Ultrascale and Ultrascale+ + +1) Open the .DCP with Vivado +```bash +vivado surf/ethernet/GigEthCore/lvdsUltraScale/ip/GigEthLvdsUltraScaleCore.dcp +``` + +2) Change VCO freqnecy from 625MHz to 1250MHz because Ultrascale+ VCO(min. freq) = 800MHz + +```tcl +set_property CLKFBOUT_MULT_F 4.000 [get_cells U0/core_clocking_i/mmcme3_adv_inst] +set_property CLKOUT0_DIVIDE_F 10.000 [get_cells U0/core_clocking_i/mmcme3_adv_inst] +set_property CLKOUT1_DIVIDE 4 [get_cells U0/core_clocking_i/mmcme3_adv_inst] +set_property CLKOUT2_DIVIDE 2 [get_cells U0/core_clocking_i/mmcme3_adv_inst] +``` + +3) Save the changes +```tcl +write_checkpoint surf/ethernet/GigEthCore/lvdsUltraScale/ip/GigEthLvdsUltraScaleCore.dcp -force +``` diff --git a/ethernet/GigEthCore/lvdsUltraScale/rtl/GigEthLvdsUltraScale.vhd b/ethernet/GigEthCore/lvdsUltraScale/rtl/GigEthLvdsUltraScale.vhd index b09e32ac1c..4e408a2dab 100644 --- a/ethernet/GigEthCore/lvdsUltraScale/rtl/GigEthLvdsUltraScale.vhd +++ b/ethernet/GigEthCore/lvdsUltraScale/rtl/GigEthLvdsUltraScale.vhd @@ -27,6 +27,7 @@ entity GigEthLvdsUltraScale is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXIL_REG_G : boolean := false; -- AXI Streaming Configurations @@ -160,6 +161,7 @@ begin JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, PAUSE_512BITS_G => PAUSE_512BITS_C, + ROCEV2_EN_G => ROCEV2_EN_G, PHY_TYPE_G => "GMII", PRIM_CONFIG_G => AXIS_CONFIG_G) port map ( diff --git a/ethernet/RoCEv2/README.md b/ethernet/RoCEv2/README.md new file mode 100644 index 0000000000..33caaafa79 --- /dev/null +++ b/ethernet/RoCEv2/README.md @@ -0,0 +1 @@ +@FilMarini: Please write up some text about how these modules were auto-generated from BlueRdma \ No newline at end of file diff --git a/ethernet/RoCEv2/blue-crc/mkCrcRawAxiStreamCustomRecv.v b/ethernet/RoCEv2/blue-crc/mkCrcRawAxiStreamCustomRecv.v new file mode 100644 index 0000000000..8eea77c6f1 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/mkCrcRawAxiStreamCustomRecv.v @@ -0,0 +1,7330 @@ +/* + * ------------------------------------------------------------------- + * This Verilog file has been automatically generated from a core originally written + * in Bluespec SystemVerilog (BSV). The original source code can be found at: + * + * Repository: https://github.com/datenlord/blue-crc + * Author: DatenLord (https://datenlord.github.io/) + * ------------------------------------------------------------------- + */ +// +// Generated by Bluespec Compiler, version 2023.01 (build 52adafa) +// +// On Wed Sep 11 15:19:22 CEST 2024 +// +// +// Ports: +// Name I/O size props +// s_axis_tready O 1 reg +// m_crc_stream_data O 32 reg +// m_crc_stream_valid O 1 reg +// CLK I 1 clock +// RST_N I 1 reset +// s_axis_tvalid I 1 +// s_axis_tdata I 256 reg +// s_axis_tkeep I 32 reg +// s_axis_tlast I 1 reg +// s_axis_tuser I 1 reg +// m_crc_stream_ready I 1 +// +// No combinational paths from inputs to outputs +// +// + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + +`ifdef BSV_POSITIVE_RESET + `define BSV_RESET_VALUE 1'b1 + `define BSV_RESET_EDGE posedge +`else + `define BSV_RESET_VALUE 1'b0 + `define BSV_RESET_EDGE negedge +`endif + +module mkCrcRawAxiStreamCustomRecv(CLK, + RST_N, + + s_axis_tvalid, + s_axis_tdata, + s_axis_tkeep, + s_axis_tlast, + s_axis_tuser, + + s_axis_tready, + + m_crc_stream_data, + + m_crc_stream_valid, + + m_crc_stream_ready); + input CLK; + input RST_N; + + // action method rawCrcReq_tValid + input s_axis_tvalid; + input [255 : 0] s_axis_tdata; + input [31 : 0] s_axis_tkeep; + input s_axis_tlast; + input s_axis_tuser; + + // value method rawCrcReq_tReady + output s_axis_tready; + + // value method rawCrcResp_data + output [31 : 0] m_crc_stream_data; + + // value method rawCrcResp_valid + output m_crc_stream_valid; + + // action method rawCrcResp_ready + input m_crc_stream_ready; + + // signals for module outputs + wire [31 : 0] m_crc_stream_data; + wire m_crc_stream_valid, s_axis_tready; + + // inlined wires + wire [289 : 0] crc_rawAxiStreamSlave_rawBus_rawBus_dataW_wget; + + // register crc_crcAxiStream_crcRespFifoOut_interCrcRes + reg [31 : 0] crc_crcAxiStream_crcRespFifoOut_interCrcRes; + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_interCrcRes_D_IN; + wire crc_crcAxiStream_crcRespFifoOut_interCrcRes_EN; + + // register crc_crcAxiStream_crcRespFifoOut_isFirstFlag + reg crc_crcAxiStream_crcRespFifoOut_isFirstFlag; + wire crc_crcAxiStream_crcRespFifoOut_isFirstFlag_D_IN, + crc_crcAxiStream_crcRespFifoOut_isFirstFlag_EN; + + // ports of submodule crc_crcAxiStream_crcReqBuf + wire [289 : 0] crc_crcAxiStream_crcReqBuf_D_IN, + crc_crcAxiStream_crcReqBuf_D_OUT; + wire crc_crcAxiStream_crcReqBuf_CLR, + crc_crcAxiStream_crcReqBuf_DEQ, + crc_crcAxiStream_crcReqBuf_EMPTY_N, + crc_crcAxiStream_crcReqBuf_ENQ, + crc_crcAxiStream_crcReqBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf + wire [71 : 0] crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_preProcessResBuf + wire [263 : 0] crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf + wire [1031 : 0] crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf + wire [1183 : 0] crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf + wire [39 : 0] crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf + wire [263 : 0] crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf + wire [319 : 0] crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_FULL_N; + + // ports of submodule crc_rawAxiStreamSlave_rawBus_fifo + wire [289 : 0] crc_rawAxiStreamSlave_rawBus_fifo_D_IN, + crc_rawAxiStreamSlave_rawBus_fifo_D_OUT; + wire crc_rawAxiStreamSlave_rawBus_fifo_CLR, + crc_rawAxiStreamSlave_rawBus_fifo_DEQ, + crc_rawAxiStreamSlave_rawBus_fifo_EMPTY_N, + crc_rawAxiStreamSlave_rawBus_fifo_ENQ, + crc_rawAxiStreamSlave_rawBus_fifo_FULL_N; + + // ports of submodule crc_rawBusMaster_fifo + wire [31 : 0] crc_rawBusMaster_fifo_D_IN, crc_rawBusMaster_fifo_D_OUT; + wire crc_rawBusMaster_fifo_CLR, + crc_rawBusMaster_fifo_DEQ, + crc_rawBusMaster_fifo_EMPTY_N, + crc_rawBusMaster_fifo_ENQ, + crc_rawBusMaster_fifo_FULL_N; + + // remaining internal signals + reg [7 : 0] CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q1, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q2, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40, + CASE_shiftAmt70081_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39, + CASE_shiftAmt70081_0_0_1_0_2_crc_crcAxiStream__ETC__q38, + CASE_shiftAmt70081_0_0_1_crc_crcAxiStream_crcR_ETC__q37, + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q33, + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q34, + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q35, + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q36; + wire [287 : 0] interCrc__h170060; + wire [255 : 0] IF_crc_crcAxiStream_crcReqBufD_OUT_BIT_2_THEN_ETC__q69, + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139, + preProcessRes_data__h13182, + x_data__h64227; + wire [31 : 0] crc1__h169284, + crc1__h169800, + crc2__h169285, + crc2__h169801, + crcRes__h159777, + crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146, + firstHalfRes__h159800, + firstHalfRes__h159809, + firstHalfRes__h159818, + firstHalfRes__h159827, + firstHalfRes__h162162, + firstHalfRes__h162946, + firstHalfRes__h162955, + firstHalfRes__h164036, + firstHalfRes__h164820, + firstHalfRes__h164829, + firstHalfRes__h164838, + firstHalfRes__h166480, + firstHalfRes__h167264, + firstHalfRes__h167273, + firstHalfRes__h168354, + firstHalfRes__h169280, + firstHalfRes__h287945, + firstHalfRes__h287954, + firstHalfRes__h287963, + firstHalfRes__h287972, + firstHalfRes__h287981, + firstHalfRes__h290879, + firstHalfRes__h291663, + firstHalfRes__h291672, + firstHalfRes__h291681, + firstHalfRes__h293175, + firstHalfRes__h293959, + firstHalfRes__h293968, + firstHalfRes__h293977, + firstHalfRes__h293986, + firstHalfRes__h296107, + firstHalfRes__h296891, + firstHalfRes__h296900, + firstHalfRes__h296909, + firstHalfRes__h298403, + interCrc__h287602, + nextInterCrc__h169186, + nextInterCrc__h169187, + secondHalfRes__h159801, + secondHalfRes__h159810, + secondHalfRes__h159819, + secondHalfRes__h159828, + secondHalfRes__h162163, + secondHalfRes__h162947, + secondHalfRes__h162956, + secondHalfRes__h164037, + secondHalfRes__h164821, + secondHalfRes__h164830, + secondHalfRes__h164839, + secondHalfRes__h166481, + secondHalfRes__h167265, + secondHalfRes__h167274, + secondHalfRes__h168355, + secondHalfRes__h169281, + secondHalfRes__h287946, + secondHalfRes__h287955, + secondHalfRes__h287964, + secondHalfRes__h287973, + secondHalfRes__h290880, + secondHalfRes__h291664, + secondHalfRes__h291673, + secondHalfRes__h293176, + secondHalfRes__h293960, + secondHalfRes__h293969, + secondHalfRes__h293978, + secondHalfRes__h296108, + secondHalfRes__h296892, + secondHalfRes__h296901, + secondHalfRes__h298404; + wire [6 : 0] shiftAmt___1__h171513, shiftAmt__h170059, shiftAmt__h170081; + wire [5 : 0] ctrlSig_shiftAmt__h59003; + + // value method rawCrcReq_tReady + assign s_axis_tready = crc_rawAxiStreamSlave_rawBus_fifo_FULL_N ; + + // value method rawCrcResp_data + assign m_crc_stream_data = crc_rawBusMaster_fifo_D_OUT ; + + // value method rawCrcResp_valid + assign m_crc_stream_valid = crc_rawBusMaster_fifo_EMPTY_N ; + + // submodule crc_crcAxiStream_crcReqBuf + FIFO2 #(.width(32'd290), + .guarded(1'd1)) crc_crcAxiStream_crcReqBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcReqBuf_D_IN), + .ENQ(crc_crcAxiStream_crcReqBuf_ENQ), + .DEQ(crc_crcAxiStream_crcReqBuf_DEQ), + .CLR(crc_crcAxiStream_crcReqBuf_CLR), + .D_OUT(crc_crcAxiStream_crcReqBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcReqBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcReqBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf + FIFO2 #(.width(32'd72), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_0.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_10.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_11.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_12.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_13.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_14.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_15.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_16.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_17.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_18.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_19.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_1.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_20.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_21.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_22.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_23.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_24.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_25.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_26.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_27.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_28.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_2), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_29.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_2), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_2.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_30.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_2), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_31.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_2), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_32.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(), + .D_OUT_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_2), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_33.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(), + .D_OUT_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_2), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_34.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(), + .D_OUT_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_2), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_35.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(), + .D_OUT_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_2), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_3.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_4.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_5.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_6.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_7.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_8.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable + LookupTableLoadRecv #(.file("crc_tab_9.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf + FIFO2 #(.width(32'd32), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_preProcessResBuf + FIFO2 #(.width(32'd264), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_preProcessResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf + FIFO2 #(.width(32'd1032), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf + FIFO2 #(.width(32'd1184), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf + FIFO2 #(.width(32'd40), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf + FIFO2 #(.width(32'd264), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf + FIFO2 #(.width(32'd320), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_EMPTY_N)); + + // submodule crc_rawAxiStreamSlave_rawBus_fifo + FIFO2 #(.width(32'd290), + .guarded(1'd1)) crc_rawAxiStreamSlave_rawBus_fifo(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_rawAxiStreamSlave_rawBus_fifo_D_IN), + .ENQ(crc_rawAxiStreamSlave_rawBus_fifo_ENQ), + .DEQ(crc_rawAxiStreamSlave_rawBus_fifo_DEQ), + .CLR(crc_rawAxiStreamSlave_rawBus_fifo_CLR), + .D_OUT(crc_rawAxiStreamSlave_rawBus_fifo_D_OUT), + .FULL_N(crc_rawAxiStreamSlave_rawBus_fifo_FULL_N), + .EMPTY_N(crc_rawAxiStreamSlave_rawBus_fifo_EMPTY_N)); + + // submodule crc_rawBusMaster_fifo + FIFO2 #(.width(32'd32), .guarded(1'd1)) crc_rawBusMaster_fifo(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_rawBusMaster_fifo_D_IN), + .ENQ(crc_rawBusMaster_fifo_ENQ), + .DEQ(crc_rawBusMaster_fifo_DEQ), + .CLR(crc_rawBusMaster_fifo_CLR), + .D_OUT(crc_rawBusMaster_fifo_D_OUT), + .FULL_N(crc_rawBusMaster_fifo_FULL_N), + .EMPTY_N(crc_rawBusMaster_fifo_EMPTY_N)); + + // inlined wires + assign crc_rawAxiStreamSlave_rawBus_rawBus_dataW_wget = + { s_axis_tdata, s_axis_tkeep, s_axis_tlast, s_axis_tuser } ; + + // register crc_crcAxiStream_crcRespFifoOut_interCrcRes + assign crc_crcAxiStream_crcRespFifoOut_interCrcRes_D_IN = + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[7] ? + 32'hFFFFFFFF : + nextInterCrc__h169187 ; + assign crc_crcAxiStream_crcRespFifoOut_interCrcRes_EN = + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_EMPTY_N && + (!crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[7] || + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_FULL_N) ; + + // register crc_crcAxiStream_crcRespFifoOut_isFirstFlag + assign crc_crcAxiStream_crcRespFifoOut_isFirstFlag_D_IN = + crc_crcAxiStream_crcReqBuf_D_OUT[1] ; + assign crc_crcAxiStream_crcRespFifoOut_isFirstFlag_EN = + crc_crcAxiStream_crcReqBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_FULL_N ; + + // submodule crc_crcAxiStream_crcReqBuf + assign crc_crcAxiStream_crcReqBuf_D_IN = + crc_rawAxiStreamSlave_rawBus_fifo_D_OUT ; + assign crc_crcAxiStream_crcReqBuf_ENQ = + crc_rawAxiStreamSlave_rawBus_fifo_EMPTY_N && + crc_crcAxiStream_crcReqBuf_FULL_N ; + assign crc_crcAxiStream_crcReqBuf_DEQ = + crc_crcAxiStream_crcReqBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_FULL_N ; + assign crc_crcAxiStream_crcReqBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf + assign crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_IN = + { crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[39:8], + crc_crcAxiStream_crcRespFifoOut_interCrcRes, + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[7:0] } ; + assign crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_EMPTY_N && + (!crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[7] || + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_FULL_N) && + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[7] ; + assign crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[15:8] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[7:0] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[95:88] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[87:80] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[103:96] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[95:88] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[111:104] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[103:96] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[119:112] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[111:104] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[127:120] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[119:112] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[135:128] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[127:120] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[143:136] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[135:128] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[151:144] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[143:136] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[159:152] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[151:144] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[167:160] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[159:152] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[23:16] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[15:8] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[175:168] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[167:160] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[183:176] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[175:168] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[191:184] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[183:176] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[199:192] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[191:184] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[207:200] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[199:192] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[215:208] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[207:200] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[223:216] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[215:208] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[231:224] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[223:216] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[239:232] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_2 = + crc_crcAxiStream_crcRespFifoOut_interCrcRes[7:0] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[231:224] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[247:240] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_2 = + crc_crcAxiStream_crcRespFifoOut_interCrcRes[15:8] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[239:232] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[31:24] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[23:16] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[255:248] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_2 = + crc_crcAxiStream_crcRespFifoOut_interCrcRes[23:16] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[247:240] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[263:256] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_2 = + crc_crcAxiStream_crcRespFifoOut_interCrcRes[31:24] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[255:248] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_1 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_2 = + crc_crcAxiStream_crcRespFifoOut_interCrcRes[7:0] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[263:256] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_1 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_2 = + crc_crcAxiStream_crcRespFifoOut_interCrcRes[15:8] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[271:264] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_1 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_2 = + crc_crcAxiStream_crcRespFifoOut_interCrcRes[23:16] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[279:272] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_1 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_2 = + crc_crcAxiStream_crcRespFifoOut_interCrcRes[31:24] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[287:280] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[39:32] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[31:24] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[47:40] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[39:32] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[55:48] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[47:40] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[63:56] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[55:48] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[71:64] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[63:56] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[79:72] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[71:64] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[87:80] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[79:72] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf + assign crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_D_IN = + { ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[0], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[1], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[2], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[3], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[4], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[5], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[6], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[7], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[8], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[9], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[10], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[11], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[12], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[13], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[14], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[15], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[16], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[17], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[18], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[19], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[20], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[21], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[22], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[23], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[24], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[25], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[26], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[27], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[28], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[29], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[30], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146[31] } ; + assign crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_EMPTY_N && + crc_rawBusMaster_fifo_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_preProcessResBuf + assign crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_IN = + { preProcessRes_data__h13182, + crc_crcAxiStream_crcReqBuf_D_OUT[1], + crc_crcAxiStream_crcRespFifoOut_isFirstFlag, + ctrlSig_shiftAmt__h59003 } ; + assign crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_ENQ = + crc_crcAxiStream_crcReqBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf + assign crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_IN = + { crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[7:0] } ; + assign crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf + assign crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_IN = + { crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[319:288] } ; + assign crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf + assign crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_IN = + { crcRes__h159777, + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[7:0] } ; + assign crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_EMPTY_N && + (!crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[7] || + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_FULL_N) ; + assign crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf + assign crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_IN = + { x_data__h64227, + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[7:0] } ; + assign crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf + assign crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_IN = + { crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[71:40], + interCrc__h170060 } ; + assign crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_CLR = 1'b0 ; + + // submodule crc_rawAxiStreamSlave_rawBus_fifo + assign crc_rawAxiStreamSlave_rawBus_fifo_D_IN = + crc_rawAxiStreamSlave_rawBus_rawBus_dataW_wget ; + assign crc_rawAxiStreamSlave_rawBus_fifo_ENQ = + crc_rawAxiStreamSlave_rawBus_fifo_FULL_N && s_axis_tvalid ; + assign crc_rawAxiStreamSlave_rawBus_fifo_DEQ = + crc_rawAxiStreamSlave_rawBus_fifo_EMPTY_N && + crc_crcAxiStream_crcReqBuf_FULL_N ; + assign crc_rawAxiStreamSlave_rawBus_fifo_CLR = 1'b0 ; + + // submodule crc_rawBusMaster_fifo + assign crc_rawBusMaster_fifo_D_IN = + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_D_OUT ; + assign crc_rawBusMaster_fifo_ENQ = + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_EMPTY_N && + crc_rawBusMaster_fifo_FULL_N ; + assign crc_rawBusMaster_fifo_DEQ = + crc_rawBusMaster_fifo_EMPTY_N && m_crc_stream_ready ; + assign crc_rawBusMaster_fifo_CLR = 1'b0 ; + + // remaining internal signals + assign IF_crc_crcAxiStream_crcReqBufD_OUT_BIT_2_THEN_ETC__q69 = + crc_crcAxiStream_crcReqBuf_D_OUT[2] ? 256'd1 : 256'd0 ; + assign crc1__h169284 = + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[6] ? + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_2 : + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_2 ; + assign crc1__h169800 = + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[6] ? + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_2 : + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_2 ; + assign crc2__h169285 = + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[6] ? + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_2 : + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_2 ; + assign crc2__h169801 = + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[6] ? + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_2 : + crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_2 ; + assign crcRes__h159777 = firstHalfRes__h159800 ^ secondHalfRes__h159801 ; + assign crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139 = + { crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33:32], + crc_crcAxiStream_crcReqBuf_D_OUT[32], + crc_crcAxiStream_crcReqBuf_D_OUT[32], + crc_crcAxiStream_crcReqBuf_D_OUT[32], + crc_crcAxiStream_crcReqBuf_D_OUT[32], + crc_crcAxiStream_crcReqBuf_D_OUT[32], + crc_crcAxiStream_crcReqBuf_D_OUT[32], + crc_crcAxiStream_crcReqBuf_D_OUT[32:31], + crc_crcAxiStream_crcReqBuf_D_OUT[31], + crc_crcAxiStream_crcReqBuf_D_OUT[31], + crc_crcAxiStream_crcReqBuf_D_OUT[31], + crc_crcAxiStream_crcReqBuf_D_OUT[31], + crc_crcAxiStream_crcReqBuf_D_OUT[31], + crc_crcAxiStream_crcReqBuf_D_OUT[31], + crc_crcAxiStream_crcReqBuf_D_OUT[31:30], + crc_crcAxiStream_crcReqBuf_D_OUT[30], + crc_crcAxiStream_crcReqBuf_D_OUT[30], + crc_crcAxiStream_crcReqBuf_D_OUT[30], + crc_crcAxiStream_crcReqBuf_D_OUT[30], + crc_crcAxiStream_crcReqBuf_D_OUT[30], + crc_crcAxiStream_crcReqBuf_D_OUT[30], + crc_crcAxiStream_crcReqBuf_D_OUT[30:29], + crc_crcAxiStream_crcReqBuf_D_OUT[29], + crc_crcAxiStream_crcReqBuf_D_OUT[29], + crc_crcAxiStream_crcReqBuf_D_OUT[29], + crc_crcAxiStream_crcReqBuf_D_OUT[29], + crc_crcAxiStream_crcReqBuf_D_OUT[29], + crc_crcAxiStream_crcReqBuf_D_OUT[29], + crc_crcAxiStream_crcReqBuf_D_OUT[29:28], + crc_crcAxiStream_crcReqBuf_D_OUT[28], + crc_crcAxiStream_crcReqBuf_D_OUT[28], + crc_crcAxiStream_crcReqBuf_D_OUT[28], + crc_crcAxiStream_crcReqBuf_D_OUT[28], + crc_crcAxiStream_crcReqBuf_D_OUT[28], + crc_crcAxiStream_crcReqBuf_D_OUT[28], + crc_crcAxiStream_crcReqBuf_D_OUT[28:27], + crc_crcAxiStream_crcReqBuf_D_OUT[27], + crc_crcAxiStream_crcReqBuf_D_OUT[27], + crc_crcAxiStream_crcReqBuf_D_OUT[27], + crc_crcAxiStream_crcReqBuf_D_OUT[27], + crc_crcAxiStream_crcReqBuf_D_OUT[27], + crc_crcAxiStream_crcReqBuf_D_OUT[27], + crc_crcAxiStream_crcReqBuf_D_OUT[27:26], + crc_crcAxiStream_crcReqBuf_D_OUT[26], + crc_crcAxiStream_crcReqBuf_D_OUT[26], + crc_crcAxiStream_crcReqBuf_D_OUT[26], + crc_crcAxiStream_crcReqBuf_D_OUT[26], + crc_crcAxiStream_crcReqBuf_D_OUT[26], + crc_crcAxiStream_crcReqBuf_D_OUT[26], + crc_crcAxiStream_crcReqBuf_D_OUT[26:25], + crc_crcAxiStream_crcReqBuf_D_OUT[25], + crc_crcAxiStream_crcReqBuf_D_OUT[25], + crc_crcAxiStream_crcReqBuf_D_OUT[25], + crc_crcAxiStream_crcReqBuf_D_OUT[25], + crc_crcAxiStream_crcReqBuf_D_OUT[25], + crc_crcAxiStream_crcReqBuf_D_OUT[25], + crc_crcAxiStream_crcReqBuf_D_OUT[25:24], + crc_crcAxiStream_crcReqBuf_D_OUT[24], + crc_crcAxiStream_crcReqBuf_D_OUT[24], + crc_crcAxiStream_crcReqBuf_D_OUT[24], + crc_crcAxiStream_crcReqBuf_D_OUT[24], + crc_crcAxiStream_crcReqBuf_D_OUT[24], + crc_crcAxiStream_crcReqBuf_D_OUT[24], + crc_crcAxiStream_crcReqBuf_D_OUT[24:23], + crc_crcAxiStream_crcReqBuf_D_OUT[23], + crc_crcAxiStream_crcReqBuf_D_OUT[23], + crc_crcAxiStream_crcReqBuf_D_OUT[23], + crc_crcAxiStream_crcReqBuf_D_OUT[23], + crc_crcAxiStream_crcReqBuf_D_OUT[23], + crc_crcAxiStream_crcReqBuf_D_OUT[23], + crc_crcAxiStream_crcReqBuf_D_OUT[23:22], + crc_crcAxiStream_crcReqBuf_D_OUT[22], + crc_crcAxiStream_crcReqBuf_D_OUT[22], + crc_crcAxiStream_crcReqBuf_D_OUT[22], + crc_crcAxiStream_crcReqBuf_D_OUT[22], + crc_crcAxiStream_crcReqBuf_D_OUT[22], + crc_crcAxiStream_crcReqBuf_D_OUT[22], + crc_crcAxiStream_crcReqBuf_D_OUT[22:21], + crc_crcAxiStream_crcReqBuf_D_OUT[21], + crc_crcAxiStream_crcReqBuf_D_OUT[21], + crc_crcAxiStream_crcReqBuf_D_OUT[21], + crc_crcAxiStream_crcReqBuf_D_OUT[21], + crc_crcAxiStream_crcReqBuf_D_OUT[21], + crc_crcAxiStream_crcReqBuf_D_OUT[21], + crc_crcAxiStream_crcReqBuf_D_OUT[21:20], + crc_crcAxiStream_crcReqBuf_D_OUT[20], + crc_crcAxiStream_crcReqBuf_D_OUT[20], + crc_crcAxiStream_crcReqBuf_D_OUT[20], + crc_crcAxiStream_crcReqBuf_D_OUT[20], + crc_crcAxiStream_crcReqBuf_D_OUT[20], + crc_crcAxiStream_crcReqBuf_D_OUT[20], + crc_crcAxiStream_crcReqBuf_D_OUT[20:19], + crc_crcAxiStream_crcReqBuf_D_OUT[19], + crc_crcAxiStream_crcReqBuf_D_OUT[19], + crc_crcAxiStream_crcReqBuf_D_OUT[19], + crc_crcAxiStream_crcReqBuf_D_OUT[19], + crc_crcAxiStream_crcReqBuf_D_OUT[19], + crc_crcAxiStream_crcReqBuf_D_OUT[19], + crc_crcAxiStream_crcReqBuf_D_OUT[19:18], + crc_crcAxiStream_crcReqBuf_D_OUT[18], + crc_crcAxiStream_crcReqBuf_D_OUT[18], + crc_crcAxiStream_crcReqBuf_D_OUT[18], + crc_crcAxiStream_crcReqBuf_D_OUT[18], + crc_crcAxiStream_crcReqBuf_D_OUT[18], + crc_crcAxiStream_crcReqBuf_D_OUT[18], + crc_crcAxiStream_crcReqBuf_D_OUT[18:17], + crc_crcAxiStream_crcReqBuf_D_OUT[17], + crc_crcAxiStream_crcReqBuf_D_OUT[17], + crc_crcAxiStream_crcReqBuf_D_OUT[17], + crc_crcAxiStream_crcReqBuf_D_OUT[17], + crc_crcAxiStream_crcReqBuf_D_OUT[17], + crc_crcAxiStream_crcReqBuf_D_OUT[17], + crc_crcAxiStream_crcReqBuf_D_OUT[17:16], + crc_crcAxiStream_crcReqBuf_D_OUT[16], + crc_crcAxiStream_crcReqBuf_D_OUT[16], + crc_crcAxiStream_crcReqBuf_D_OUT[16], + crc_crcAxiStream_crcReqBuf_D_OUT[16], + crc_crcAxiStream_crcReqBuf_D_OUT[16], + crc_crcAxiStream_crcReqBuf_D_OUT[16], + crc_crcAxiStream_crcReqBuf_D_OUT[16:15], + crc_crcAxiStream_crcReqBuf_D_OUT[15], + crc_crcAxiStream_crcReqBuf_D_OUT[15], + crc_crcAxiStream_crcReqBuf_D_OUT[15], + crc_crcAxiStream_crcReqBuf_D_OUT[15], + crc_crcAxiStream_crcReqBuf_D_OUT[15], + crc_crcAxiStream_crcReqBuf_D_OUT[15], + crc_crcAxiStream_crcReqBuf_D_OUT[15:14], + crc_crcAxiStream_crcReqBuf_D_OUT[14], + crc_crcAxiStream_crcReqBuf_D_OUT[14], + crc_crcAxiStream_crcReqBuf_D_OUT[14], + crc_crcAxiStream_crcReqBuf_D_OUT[14], + crc_crcAxiStream_crcReqBuf_D_OUT[14], + crc_crcAxiStream_crcReqBuf_D_OUT[14], + crc_crcAxiStream_crcReqBuf_D_OUT[14:13], + crc_crcAxiStream_crcReqBuf_D_OUT[13], + crc_crcAxiStream_crcReqBuf_D_OUT[13], + crc_crcAxiStream_crcReqBuf_D_OUT[13], + crc_crcAxiStream_crcReqBuf_D_OUT[13], + crc_crcAxiStream_crcReqBuf_D_OUT[13], + crc_crcAxiStream_crcReqBuf_D_OUT[13], + crc_crcAxiStream_crcReqBuf_D_OUT[13:12], + crc_crcAxiStream_crcReqBuf_D_OUT[12], + crc_crcAxiStream_crcReqBuf_D_OUT[12], + crc_crcAxiStream_crcReqBuf_D_OUT[12], + crc_crcAxiStream_crcReqBuf_D_OUT[12], + crc_crcAxiStream_crcReqBuf_D_OUT[12], + crc_crcAxiStream_crcReqBuf_D_OUT[12], + crc_crcAxiStream_crcReqBuf_D_OUT[12:11], + crc_crcAxiStream_crcReqBuf_D_OUT[11], + crc_crcAxiStream_crcReqBuf_D_OUT[11], + crc_crcAxiStream_crcReqBuf_D_OUT[11], + crc_crcAxiStream_crcReqBuf_D_OUT[11], + crc_crcAxiStream_crcReqBuf_D_OUT[11], + crc_crcAxiStream_crcReqBuf_D_OUT[11], + crc_crcAxiStream_crcReqBuf_D_OUT[11:10], + crc_crcAxiStream_crcReqBuf_D_OUT[10], + crc_crcAxiStream_crcReqBuf_D_OUT[10], + crc_crcAxiStream_crcReqBuf_D_OUT[10], + crc_crcAxiStream_crcReqBuf_D_OUT[10], + crc_crcAxiStream_crcReqBuf_D_OUT[10], + crc_crcAxiStream_crcReqBuf_D_OUT[10], + crc_crcAxiStream_crcReqBuf_D_OUT[10:9], + crc_crcAxiStream_crcReqBuf_D_OUT[9], + crc_crcAxiStream_crcReqBuf_D_OUT[9], + crc_crcAxiStream_crcReqBuf_D_OUT[9], + crc_crcAxiStream_crcReqBuf_D_OUT[9], + crc_crcAxiStream_crcReqBuf_D_OUT[9], + crc_crcAxiStream_crcReqBuf_D_OUT[9], + crc_crcAxiStream_crcReqBuf_D_OUT[9:8], + crc_crcAxiStream_crcReqBuf_D_OUT[8], + crc_crcAxiStream_crcReqBuf_D_OUT[8], + crc_crcAxiStream_crcReqBuf_D_OUT[8], + crc_crcAxiStream_crcReqBuf_D_OUT[8], + crc_crcAxiStream_crcReqBuf_D_OUT[8], + crc_crcAxiStream_crcReqBuf_D_OUT[8], + crc_crcAxiStream_crcReqBuf_D_OUT[8:7], + crc_crcAxiStream_crcReqBuf_D_OUT[7], + crc_crcAxiStream_crcReqBuf_D_OUT[7], + crc_crcAxiStream_crcReqBuf_D_OUT[7], + crc_crcAxiStream_crcReqBuf_D_OUT[7], + crc_crcAxiStream_crcReqBuf_D_OUT[7], + crc_crcAxiStream_crcReqBuf_D_OUT[7], + crc_crcAxiStream_crcReqBuf_D_OUT[7:6], + crc_crcAxiStream_crcReqBuf_D_OUT[6], + crc_crcAxiStream_crcReqBuf_D_OUT[6], + crc_crcAxiStream_crcReqBuf_D_OUT[6], + crc_crcAxiStream_crcReqBuf_D_OUT[6], + crc_crcAxiStream_crcReqBuf_D_OUT[6], + crc_crcAxiStream_crcReqBuf_D_OUT[6], + crc_crcAxiStream_crcReqBuf_D_OUT[6:5], + crc_crcAxiStream_crcReqBuf_D_OUT[5], + crc_crcAxiStream_crcReqBuf_D_OUT[5], + crc_crcAxiStream_crcReqBuf_D_OUT[5], + crc_crcAxiStream_crcReqBuf_D_OUT[5], + crc_crcAxiStream_crcReqBuf_D_OUT[5], + crc_crcAxiStream_crcReqBuf_D_OUT[5], + crc_crcAxiStream_crcReqBuf_D_OUT[5:4], + crc_crcAxiStream_crcReqBuf_D_OUT[4], + crc_crcAxiStream_crcReqBuf_D_OUT[4], + crc_crcAxiStream_crcReqBuf_D_OUT[4], + crc_crcAxiStream_crcReqBuf_D_OUT[4], + crc_crcAxiStream_crcReqBuf_D_OUT[4], + crc_crcAxiStream_crcReqBuf_D_OUT[4], + crc_crcAxiStream_crcReqBuf_D_OUT[4:3], + crc_crcAxiStream_crcReqBuf_D_OUT[3], + crc_crcAxiStream_crcReqBuf_D_OUT[3], + crc_crcAxiStream_crcReqBuf_D_OUT[3], + crc_crcAxiStream_crcReqBuf_D_OUT[3], + crc_crcAxiStream_crcReqBuf_D_OUT[3], + crc_crcAxiStream_crcReqBuf_D_OUT[3], + crc_crcAxiStream_crcReqBuf_D_OUT[3:2], + crc_crcAxiStream_crcReqBuf_D_OUT[2], + crc_crcAxiStream_crcReqBuf_D_OUT[2], + crc_crcAxiStream_crcReqBuf_D_OUT[2], + crc_crcAxiStream_crcReqBuf_D_OUT[2], + crc_crcAxiStream_crcReqBuf_D_OUT[2], + crc_crcAxiStream_crcReqBuf_D_OUT[2], + IF_crc_crcAxiStream_crcReqBufD_OUT_BIT_2_THEN_ETC__q69[0] } & + crc_crcAxiStream_crcReqBuf_D_OUT[289:34] ; + assign crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1146 = + interCrc__h287602 ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[31:0] ; + assign ctrlSig_shiftAmt__h59003 = + crc_crcAxiStream_crcReqBuf_D_OUT[33] ? + 6'd0 : + (crc_crcAxiStream_crcReqBuf_D_OUT[32] ? + 6'd1 : + (crc_crcAxiStream_crcReqBuf_D_OUT[31] ? + 6'd2 : + (crc_crcAxiStream_crcReqBuf_D_OUT[30] ? + 6'd3 : + (crc_crcAxiStream_crcReqBuf_D_OUT[29] ? + 6'd4 : + (crc_crcAxiStream_crcReqBuf_D_OUT[28] ? + 6'd5 : + (crc_crcAxiStream_crcReqBuf_D_OUT[27] ? + 6'd6 : + (crc_crcAxiStream_crcReqBuf_D_OUT[26] ? + 6'd7 : + (crc_crcAxiStream_crcReqBuf_D_OUT[25] ? + 6'd8 : + (crc_crcAxiStream_crcReqBuf_D_OUT[24] ? + 6'd9 : + (crc_crcAxiStream_crcReqBuf_D_OUT[23] ? + 6'd10 : + (crc_crcAxiStream_crcReqBuf_D_OUT[22] ? + 6'd11 : + (crc_crcAxiStream_crcReqBuf_D_OUT[21] ? + 6'd12 : + (crc_crcAxiStream_crcReqBuf_D_OUT[20] ? + 6'd13 : + (crc_crcAxiStream_crcReqBuf_D_OUT[19] ? + 6'd14 : + (crc_crcAxiStream_crcReqBuf_D_OUT[18] ? + 6'd15 : + (crc_crcAxiStream_crcReqBuf_D_OUT[17] ? + 6'd16 : + (crc_crcAxiStream_crcReqBuf_D_OUT[16] ? + 6'd17 : + (crc_crcAxiStream_crcReqBuf_D_OUT[15] ? + 6'd18 : + (crc_crcAxiStream_crcReqBuf_D_OUT[14] ? + 6'd19 : + (crc_crcAxiStream_crcReqBuf_D_OUT[13] ? + 6'd20 : + (crc_crcAxiStream_crcReqBuf_D_OUT[12] ? + 6'd21 : + (crc_crcAxiStream_crcReqBuf_D_OUT[11] ? + 6'd22 : + (crc_crcAxiStream_crcReqBuf_D_OUT[10] ? + 6'd23 : + (crc_crcAxiStream_crcReqBuf_D_OUT[9] ? + 6'd24 : + (crc_crcAxiStream_crcReqBuf_D_OUT[8] ? + 6'd25 : + (crc_crcAxiStream_crcReqBuf_D_OUT[7] ? + 6'd26 : + (crc_crcAxiStream_crcReqBuf_D_OUT[6] ? + 6'd27 : + (crc_crcAxiStream_crcReqBuf_D_OUT[5] ? + 6'd28 : + (crc_crcAxiStream_crcReqBuf_D_OUT[4] ? + 6'd29 : + (crc_crcAxiStream_crcReqBuf_D_OUT[3] ? + 6'd30 : + (crc_crcAxiStream_crcReqBuf_D_OUT[2] ? + 6'd31 : + 6'd32))))))))))))))))))))))))))))))) ; + assign firstHalfRes__h159800 = + firstHalfRes__h159809 ^ secondHalfRes__h159810 ; + assign firstHalfRes__h159809 = + firstHalfRes__h159818 ^ secondHalfRes__h159819 ; + assign firstHalfRes__h159818 = + firstHalfRes__h159827 ^ secondHalfRes__h159828 ; + assign firstHalfRes__h159827 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[39:8] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[71:40] ; + assign firstHalfRes__h162162 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[167:136] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[199:168] ; + assign firstHalfRes__h162946 = + firstHalfRes__h162955 ^ secondHalfRes__h162956 ; + assign firstHalfRes__h162955 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[295:264] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[327:296] ; + assign firstHalfRes__h164036 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[423:392] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[455:424] ; + assign firstHalfRes__h164820 = + firstHalfRes__h164829 ^ secondHalfRes__h164830 ; + assign firstHalfRes__h164829 = + firstHalfRes__h164838 ^ secondHalfRes__h164839 ; + assign firstHalfRes__h164838 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[551:520] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[583:552] ; + assign firstHalfRes__h166480 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[679:648] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[711:680] ; + assign firstHalfRes__h167264 = + firstHalfRes__h167273 ^ secondHalfRes__h167274 ; + assign firstHalfRes__h167273 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[807:776] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[839:808] ; + assign firstHalfRes__h168354 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[935:904] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[967:936] ; + assign firstHalfRes__h169280 = crc1__h169284 ^ crc2__h169285 ; + assign firstHalfRes__h287945 = + firstHalfRes__h287954 ^ secondHalfRes__h287955 ; + assign firstHalfRes__h287954 = + firstHalfRes__h287963 ^ secondHalfRes__h287964 ; + assign firstHalfRes__h287963 = + firstHalfRes__h287972 ^ secondHalfRes__h287973 ; + assign firstHalfRes__h287972 = + firstHalfRes__h287981 ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[127:96] ; + assign firstHalfRes__h287981 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[63:32] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[95:64] ; + assign firstHalfRes__h290879 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[223:192] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[255:224] ; + assign firstHalfRes__h291663 = + firstHalfRes__h291672 ^ secondHalfRes__h291673 ; + assign firstHalfRes__h291672 = + firstHalfRes__h291681 ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[415:384] ; + assign firstHalfRes__h291681 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[351:320] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[383:352] ; + assign firstHalfRes__h293175 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[511:480] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[543:512] ; + assign firstHalfRes__h293959 = + firstHalfRes__h293968 ^ secondHalfRes__h293969 ; + assign firstHalfRes__h293968 = + firstHalfRes__h293977 ^ secondHalfRes__h293978 ; + assign firstHalfRes__h293977 = + firstHalfRes__h293986 ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[703:672] ; + assign firstHalfRes__h293986 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[639:608] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[671:640] ; + assign firstHalfRes__h296107 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[799:768] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[831:800] ; + assign firstHalfRes__h296891 = + firstHalfRes__h296900 ^ secondHalfRes__h296901 ; + assign firstHalfRes__h296900 = + firstHalfRes__h296909 ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[991:960] ; + assign firstHalfRes__h296909 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[927:896] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[959:928] ; + assign firstHalfRes__h298403 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[1087:1056] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[1119:1088] ; + assign interCrc__h170060 = + (shiftAmt__h170081 <= 7'd36) ? + { CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q33, + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q34, + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q35, + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q36, + CASE_shiftAmt70081_0_0_1_crc_crcAxiStream_crcR_ETC__q37, + CASE_shiftAmt70081_0_0_1_0_2_crc_crcAxiStream__ETC__q38, + CASE_shiftAmt70081_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67, + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 } : + 288'd0 ; + assign interCrc__h287602 = firstHalfRes__h287945 ^ secondHalfRes__h287946 ; + assign nextInterCrc__h169186 = + firstHalfRes__h169280 ^ secondHalfRes__h169281 ; + assign nextInterCrc__h169187 = + nextInterCrc__h169186 ^ + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[39:8] ; + assign preProcessRes_data__h13182 = + { crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[0], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[1], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[2], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[3], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[4], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[5], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[6], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[7], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[8], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[9], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[10], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[11], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[12], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[13], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[14], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[15], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[16], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[17], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[18], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[19], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[20], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[21], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[22], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[23], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[24], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[25], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[26], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[27], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[28], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[29], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[30], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[31], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[32], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[33], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[34], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[35], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[36], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[37], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[38], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[39], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[40], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[41], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[42], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[43], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[44], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[45], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[46], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[47], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[48], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[49], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[50], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[51], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[52], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[53], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[54], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[55], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[56], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[57], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[58], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[59], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[60], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[61], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[62], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[63], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[64], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[65], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[66], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[67], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[68], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[69], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[70], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[71], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[72], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[73], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[74], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[75], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[76], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[77], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[78], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[79], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[80], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[81], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[82], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[83], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[84], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[85], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[86], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[87], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[88], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[89], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[90], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[91], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[92], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[93], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[94], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[95], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[96], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[97], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[98], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[99], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[100], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[101], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[102], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[103], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[104], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[105], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[106], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[107], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[108], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[109], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[110], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[111], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[112], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[113], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[114], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[115], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[116], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[117], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[118], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[119], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[120], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[121], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[122], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[123], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[124], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[125], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[126], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[127], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[128], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[129], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[130], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[131], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[132], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[133], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[134], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[135], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[136], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[137], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[138], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[139], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[140], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[141], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[142], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[143], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[144], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[145], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[146], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[147], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[148], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[149], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[150], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[151], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[152], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[153], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[154], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[155], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[156], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[157], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[158], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[159], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[160], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[161], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[162], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[163], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[164], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[165], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[166], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[167], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[168], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[169], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[170], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[171], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[172], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[173], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[174], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[175], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[176], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[177], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[178], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[179], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[180], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[181], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[182], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[183], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[184], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[185], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[186], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[187], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[188], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[189], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[190], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[191], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[192], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[193], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[194], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[195], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[196], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[197], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[198], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[199], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[200], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[201], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[202], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[203], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[204], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[205], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[206], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[207], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[208], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[209], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[210], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[211], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[212], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[213], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[214], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[215], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[216], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[217], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[218], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[219], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[220], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[221], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[222], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[223], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[224], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[225], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[226], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[227], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[228], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[229], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[230], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[231], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[232], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[233], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[234], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[235], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[236], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[237], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[238], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[239], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[240], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[241], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[242], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[243], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[244], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[245], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[246], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[247], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[248], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[249], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[250], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[251], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[252], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[253], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[254], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[255] } ; + assign secondHalfRes__h159801 = + firstHalfRes__h164820 ^ secondHalfRes__h164821 ; + assign secondHalfRes__h159810 = + firstHalfRes__h162946 ^ secondHalfRes__h162947 ; + assign secondHalfRes__h159819 = + firstHalfRes__h162162 ^ secondHalfRes__h162163 ; + assign secondHalfRes__h159828 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[103:72] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[135:104] ; + assign secondHalfRes__h162163 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[231:200] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[263:232] ; + assign secondHalfRes__h162947 = + firstHalfRes__h164036 ^ secondHalfRes__h164037 ; + assign secondHalfRes__h162956 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[359:328] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[391:360] ; + assign secondHalfRes__h164037 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[487:456] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[519:488] ; + assign secondHalfRes__h164821 = + firstHalfRes__h167264 ^ secondHalfRes__h167265 ; + assign secondHalfRes__h164830 = + firstHalfRes__h166480 ^ secondHalfRes__h166481 ; + assign secondHalfRes__h164839 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[615:584] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[647:616] ; + assign secondHalfRes__h166481 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[743:712] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[775:744] ; + assign secondHalfRes__h167265 = + firstHalfRes__h168354 ^ secondHalfRes__h168355 ; + assign secondHalfRes__h167274 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[871:840] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[903:872] ; + assign secondHalfRes__h168355 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[999:968] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[1031:1000] ; + assign secondHalfRes__h169281 = crc1__h169800 ^ crc2__h169801 ; + assign secondHalfRes__h287946 = + firstHalfRes__h293959 ^ secondHalfRes__h293960 ; + assign secondHalfRes__h287955 = + firstHalfRes__h291663 ^ secondHalfRes__h291664 ; + assign secondHalfRes__h287964 = + firstHalfRes__h290879 ^ secondHalfRes__h290880 ; + assign secondHalfRes__h287973 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[159:128] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[191:160] ; + assign secondHalfRes__h290880 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[287:256] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[319:288] ; + assign secondHalfRes__h291664 = + firstHalfRes__h293175 ^ secondHalfRes__h293176 ; + assign secondHalfRes__h291673 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[447:416] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[479:448] ; + assign secondHalfRes__h293176 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[575:544] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[607:576] ; + assign secondHalfRes__h293960 = + firstHalfRes__h296891 ^ secondHalfRes__h296892 ; + assign secondHalfRes__h293969 = + firstHalfRes__h296107 ^ secondHalfRes__h296108 ; + assign secondHalfRes__h293978 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[735:704] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[767:736] ; + assign secondHalfRes__h296108 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[863:832] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[895:864] ; + assign secondHalfRes__h296892 = + firstHalfRes__h298403 ^ secondHalfRes__h298404 ; + assign secondHalfRes__h296901 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[1023:992] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[1055:1024] ; + assign secondHalfRes__h298404 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[1151:1120] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[1183:1152] ; + assign shiftAmt___1__h171513 = shiftAmt__h170059 + 7'd4 ; + assign shiftAmt__h170059 = + { 1'd0, + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[5:0] } ; + assign shiftAmt__h170081 = + (crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[6] && + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[7]) ? + shiftAmt___1__h171513 : + shiftAmt__h170059 ; + assign x_data__h64227 = + (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0] <= + 6'd32) ? + { CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q1, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q2, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 } : + 256'd0 ; + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q1 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd1, + 6'd2, + 6'd3, + 6'd4, + 6'd5, + 6'd6, + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q1 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q1 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q2 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q2 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd2, + 6'd3, + 6'd4, + 6'd5, + 6'd6, + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q2 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q2 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd3, + 6'd4, + 6'd5, + 6'd6, + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd4, + 6'd5, + 6'd6, + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd5, + 6'd6, + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd6, + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd23, 6'd24, 6'd25, 6'd26, 6'd27, 6'd28, 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd24, 6'd25, 6'd26, 6'd27, 6'd28, 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd25, 6'd26, 6'd27, 6'd28, 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd26, 6'd27, 6'd28, 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[55:48]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd26: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd27, 6'd28, 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[47:40]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[55:48]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd26: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd27: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd28, 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[39:32]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[47:40]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[55:48]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd26: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd27: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd28: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[31:24]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[39:32]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[47:40]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[55:48]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd26: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd27: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd28: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd29: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[23:16]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[31:24]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[39:32]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[47:40]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[55:48]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd26: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd27: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd28: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd29: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd30: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[15:8]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[23:16]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[31:24]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[39:32]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[47:40]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[55:48]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd26: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd27: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd28: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd29: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd30: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd31: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd32: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q33 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q33 = 8'd0; + default: CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q33 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q34 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd1: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q34 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q34 = 8'd0; + default: CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q34 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q35 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd1: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q35 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd2: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q35 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q35 = 8'd0; + default: CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q35 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q36 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd1: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q36 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd2: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q36 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd3: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q36 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q36 = 8'd0; + default: CASE_shiftAmt70081_0_crc_crcAxiStream_crcRespF_ETC__q36 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_crc_crcAxiStream_crcR_ETC__q37 = 8'd0; + 7'd1: + CASE_shiftAmt70081_0_0_1_crc_crcAxiStream_crcR_ETC__q37 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd2: + CASE_shiftAmt70081_0_0_1_crc_crcAxiStream_crcR_ETC__q37 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd3: + CASE_shiftAmt70081_0_0_1_crc_crcAxiStream_crcR_ETC__q37 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd4: + CASE_shiftAmt70081_0_0_1_crc_crcAxiStream_crcR_ETC__q37 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_crc_crcAxiStream_crcR_ETC__q37 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_crc_crcAxiStream__ETC__q38 = 8'd0; + 7'd2: + CASE_shiftAmt70081_0_0_1_0_2_crc_crcAxiStream__ETC__q38 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd3: + CASE_shiftAmt70081_0_0_1_0_2_crc_crcAxiStream__ETC__q38 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd4: + CASE_shiftAmt70081_0_0_1_0_2_crc_crcAxiStream__ETC__q38 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd5: + CASE_shiftAmt70081_0_0_1_0_2_crc_crcAxiStream__ETC__q38 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_crc_crcAxiStream__ETC__q38 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39 = 8'd0; + 7'd3: + CASE_shiftAmt70081_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd4: + CASE_shiftAmt70081_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd5: + CASE_shiftAmt70081_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd6: + CASE_shiftAmt70081_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40 = 8'd0; + 7'd4: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd5: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd6: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd7: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41 = 8'd0; + 7'd5: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd6: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd7: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd8: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42 = 8'd0; + 7'd6: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd7: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd8: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd9: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43 = 8'd0; + 7'd7: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd8: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd9: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd10: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44 = 8'd0; + 7'd8: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd9: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd10: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd11: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45 = 8'd0; + 7'd9: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd10: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd11: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd12: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46 = 8'd0; + 7'd10: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd11: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd12: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd13: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47 = 8'd0; + 7'd11: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd12: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd13: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd14: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48 = 8'd0; + 7'd12: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd13: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd14: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd15: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49 = 8'd0; + 7'd13: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd14: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd15: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd16: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50 = 8'd0; + 7'd14: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd15: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd16: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd17: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51 = 8'd0; + 7'd15: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd16: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd17: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd18: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52 = 8'd0; + 7'd16: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd17: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd18: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd19: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53 = 8'd0; + 7'd17: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd18: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd19: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd20: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54 = 8'd0; + 7'd18: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd19: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd20: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd21: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55 = 8'd0; + 7'd19: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd20: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd21: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd22: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56 = 8'd0; + 7'd20: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd21: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd22: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd23: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57 = 8'd0; + 7'd21: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd22: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd23: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd24: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58 = 8'd0; + 7'd22: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd23: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd24: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd25: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59 = 8'd0; + 7'd23: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd24: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd25: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd26: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60 = 8'd0; + 7'd24: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd25: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd26: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd27: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61 = 8'd0; + 7'd25: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd26: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd27: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd28: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62 = 8'd0; + 7'd26: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd27: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd28: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd29: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63 = 8'd0; + 7'd27: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd28: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd29: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd30: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64 = 8'd0; + 7'd28: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd29: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd30: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd31: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65 = 8'd0; + 7'd29: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd30: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd31: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd32: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66 = 8'd0; + 7'd30: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd31: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd32: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd33: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd35, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67 = 8'd0; + 7'd31: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd32: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd33: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd34: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h170081 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h170081) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd36: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 = 8'd0; + 7'd32: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd33: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd34: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd35: + CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt70081_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 = + 8'b10101010 /* unspecified value */ ; + endcase + end + + // handling of inlined registers + + always@(posedge CLK) + begin + if (RST_N == `BSV_RESET_VALUE) + begin + crc_crcAxiStream_crcRespFifoOut_interCrcRes <= `BSV_ASSIGNMENT_DELAY + 32'hFFFFFFFF; + crc_crcAxiStream_crcRespFifoOut_isFirstFlag <= `BSV_ASSIGNMENT_DELAY + 1'd1; + end + else + begin + if (crc_crcAxiStream_crcRespFifoOut_interCrcRes_EN) + crc_crcAxiStream_crcRespFifoOut_interCrcRes <= `BSV_ASSIGNMENT_DELAY + crc_crcAxiStream_crcRespFifoOut_interCrcRes_D_IN; + if (crc_crcAxiStream_crcRespFifoOut_isFirstFlag_EN) + crc_crcAxiStream_crcRespFifoOut_isFirstFlag <= `BSV_ASSIGNMENT_DELAY + crc_crcAxiStream_crcRespFifoOut_isFirstFlag_D_IN; + end + end + + // synopsys translate_off + `ifdef BSV_NO_INITIAL_BLOCKS + `else // not BSV_NO_INITIAL_BLOCKS + initial + begin + crc_crcAxiStream_crcRespFifoOut_interCrcRes = 32'hAAAAAAAA; + crc_crcAxiStream_crcRespFifoOut_isFirstFlag = 1'h0; + end + `endif // BSV_NO_INITIAL_BLOCKS + // synopsys translate_on +endmodule // mkCrcRawAxiStreamCustomRecv + + +`ifdef BSV_WARN_REGFILE_ADDR_RANGE +`else +`define BSV_WARN_REGFILE_ADDR_RANGE 0 +`endif + +`ifdef BSV_ASSIGNMENT_DELAY +`else +`define BSV_ASSIGNMENT_DELAY +`endif + + +// Multi-ported Lookup Table(ROM) -- initializable from a file. +module LookupTableLoadRecv( + CLK, + ADDR_1, D_OUT_1, + ADDR_2, D_OUT_2, + ADDR_3, D_OUT_3, + ADDR_4, D_OUT_4, + ADDR_5, D_OUT_5 +); + parameter file = ""; + parameter addr_width = 1; + parameter data_width = 1; + parameter lo = 0; + parameter hi = 1; + parameter binary = 0; + + input CLK; + + input [addr_width - 1 : 0] ADDR_1; + output [data_width - 1 : 0] D_OUT_1; + + input [addr_width - 1 : 0] ADDR_2; + output [data_width - 1 : 0] D_OUT_2; + + input [addr_width - 1 : 0] ADDR_3; + output [data_width - 1 : 0] D_OUT_3; + + input [addr_width - 1 : 0] ADDR_4; + output [data_width - 1 : 0] D_OUT_4; + + input [addr_width - 1 : 0] ADDR_5; + output [data_width - 1 : 0] D_OUT_5; + + reg [data_width - 1 : 0] arr[lo:hi]; + + + initial + begin : init_rom_block + if (binary) + $readmemb(file, arr, lo, hi); + else + $readmemh(file, arr, lo, hi); + end // initial begin + + assign D_OUT_1 = arr[ADDR_1]; + assign D_OUT_2 = arr[ADDR_2]; + assign D_OUT_3 = arr[ADDR_3]; + assign D_OUT_4 = arr[ADDR_4]; + assign D_OUT_5 = arr[ADDR_5]; + +endmodule diff --git a/ethernet/RoCEv2/blue-crc/mkCrcRawAxiStreamCustomSend.v b/ethernet/RoCEv2/blue-crc/mkCrcRawAxiStreamCustomSend.v new file mode 100644 index 0000000000..adff363430 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/mkCrcRawAxiStreamCustomSend.v @@ -0,0 +1,7304 @@ +/* + * ------------------------------------------------------------------- + * This Verilog file has been automatically generated from a core originally written + * in Bluespec SystemVerilog (BSV). The original source code can be found at: + * + * Repository: https://github.com/datenlord/blue-crc + * Author: DatenLord (https://datenlord.github.io/) + * ------------------------------------------------------------------- + */ +// +// Generated by Bluespec Compiler, version 2023.01 (build 52adafa) +// +// On Wed Sep 11 15:19:11 CEST 2024 +// +// +// Ports: +// Name I/O size props +// s_axis_tready O 1 reg +// m_crc_stream_data O 32 reg +// m_crc_stream_valid O 1 reg +// CLK I 1 clock +// RST_N I 1 reset +// s_axis_tvalid I 1 +// s_axis_tdata I 256 reg +// s_axis_tkeep I 32 reg +// s_axis_tlast I 1 reg +// s_axis_tuser I 1 reg +// m_crc_stream_ready I 1 +// +// No combinational paths from inputs to outputs +// +// + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + +`ifdef BSV_POSITIVE_RESET + `define BSV_RESET_VALUE 1'b1 + `define BSV_RESET_EDGE posedge +`else + `define BSV_RESET_VALUE 1'b0 + `define BSV_RESET_EDGE negedge +`endif + +module mkCrcRawAxiStreamCustomSend(CLK, + RST_N, + + s_axis_tvalid, + s_axis_tdata, + s_axis_tkeep, + s_axis_tlast, + s_axis_tuser, + + s_axis_tready, + + m_crc_stream_data, + + m_crc_stream_valid, + + m_crc_stream_ready); + input CLK; + input RST_N; + + // action method rawCrcReq_tValid + input s_axis_tvalid; + input [255 : 0] s_axis_tdata; + input [31 : 0] s_axis_tkeep; + input s_axis_tlast; + input s_axis_tuser; + + // value method rawCrcReq_tReady + output s_axis_tready; + + // value method rawCrcResp_data + output [31 : 0] m_crc_stream_data; + + // value method rawCrcResp_valid + output m_crc_stream_valid; + + // action method rawCrcResp_ready + input m_crc_stream_ready; + + // signals for module outputs + wire [31 : 0] m_crc_stream_data; + wire m_crc_stream_valid, s_axis_tready; + + // inlined wires + wire [289 : 0] crc_rawAxiStreamSlave_rawBus_rawBus_dataW_wget; + + // register crc_crcAxiStream_crcRespFifoOut_interCrcRes + reg [31 : 0] crc_crcAxiStream_crcRespFifoOut_interCrcRes; + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_interCrcRes_D_IN; + wire crc_crcAxiStream_crcRespFifoOut_interCrcRes_EN; + + // register crc_crcAxiStream_crcRespFifoOut_isFirstFlag + reg crc_crcAxiStream_crcRespFifoOut_isFirstFlag; + wire crc_crcAxiStream_crcRespFifoOut_isFirstFlag_D_IN, + crc_crcAxiStream_crcRespFifoOut_isFirstFlag_EN; + + // ports of submodule crc_crcAxiStream_crcReqBuf + wire [289 : 0] crc_crcAxiStream_crcReqBuf_D_IN, + crc_crcAxiStream_crcReqBuf_D_OUT; + wire crc_crcAxiStream_crcReqBuf_CLR, + crc_crcAxiStream_crcReqBuf_DEQ, + crc_crcAxiStream_crcReqBuf_EMPTY_N, + crc_crcAxiStream_crcReqBuf_ENQ, + crc_crcAxiStream_crcReqBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf + wire [71 : 0] crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_D_OUT_3; + wire [7 : 0] crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_2, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_4, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_5; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf + wire [31 : 0] crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_preProcessResBuf + wire [263 : 0] crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf + wire [1031 : 0] crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf + wire [1183 : 0] crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf + wire [39 : 0] crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf + wire [263 : 0] crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_FULL_N; + + // ports of submodule crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf + wire [319 : 0] crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_IN, + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT; + wire crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_CLR, + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_DEQ, + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_EMPTY_N, + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_ENQ, + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_FULL_N; + + // ports of submodule crc_rawAxiStreamSlave_rawBus_fifo + wire [289 : 0] crc_rawAxiStreamSlave_rawBus_fifo_D_IN, + crc_rawAxiStreamSlave_rawBus_fifo_D_OUT; + wire crc_rawAxiStreamSlave_rawBus_fifo_CLR, + crc_rawAxiStreamSlave_rawBus_fifo_DEQ, + crc_rawAxiStreamSlave_rawBus_fifo_EMPTY_N, + crc_rawAxiStreamSlave_rawBus_fifo_ENQ, + crc_rawAxiStreamSlave_rawBus_fifo_FULL_N; + + // ports of submodule crc_rawBusMaster_fifo + wire [31 : 0] crc_rawBusMaster_fifo_D_IN, crc_rawBusMaster_fifo_D_OUT; + wire crc_rawBusMaster_fifo_CLR, + crc_rawBusMaster_fifo_DEQ, + crc_rawBusMaster_fifo_EMPTY_N, + crc_rawBusMaster_fifo_ENQ, + crc_rawBusMaster_fifo_FULL_N; + + // remaining internal signals + reg [7 : 0] CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q1, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q2, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40, + CASE_shiftAmt69932_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39, + CASE_shiftAmt69932_0_0_1_0_2_crc_crcAxiStream__ETC__q38, + CASE_shiftAmt69932_0_0_1_crc_crcAxiStream_crcR_ETC__q37, + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q33, + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q34, + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q35, + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q36; + wire [287 : 0] interCrc__h169911; + wire [255 : 0] IF_crc_crcAxiStream_crcReqBufD_OUT_BIT_2_THEN_ETC__q69, + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139, + preProcessRes_data__h13182, + x_data__h64227; + wire [31 : 0] crcRes__h159813, + crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132, + firstHalfRes__h159836, + firstHalfRes__h159845, + firstHalfRes__h159854, + firstHalfRes__h159863, + firstHalfRes__h162198, + firstHalfRes__h162982, + firstHalfRes__h162991, + firstHalfRes__h164072, + firstHalfRes__h164856, + firstHalfRes__h164865, + firstHalfRes__h164874, + firstHalfRes__h166516, + firstHalfRes__h167300, + firstHalfRes__h167309, + firstHalfRes__h168390, + firstHalfRes__h169316, + firstHalfRes__h287806, + firstHalfRes__h287815, + firstHalfRes__h287824, + firstHalfRes__h287833, + firstHalfRes__h287842, + firstHalfRes__h290740, + firstHalfRes__h291524, + firstHalfRes__h291533, + firstHalfRes__h291542, + firstHalfRes__h293036, + firstHalfRes__h293820, + firstHalfRes__h293829, + firstHalfRes__h293838, + firstHalfRes__h293847, + firstHalfRes__h295968, + firstHalfRes__h296752, + firstHalfRes__h296761, + firstHalfRes__h296770, + firstHalfRes__h298264, + interCrc__h287463, + nextInterCrc__h169222, + nextInterCrc__h169223, + secondHalfRes__h159837, + secondHalfRes__h159846, + secondHalfRes__h159855, + secondHalfRes__h159864, + secondHalfRes__h162199, + secondHalfRes__h162983, + secondHalfRes__h162992, + secondHalfRes__h164073, + secondHalfRes__h164857, + secondHalfRes__h164866, + secondHalfRes__h164875, + secondHalfRes__h166517, + secondHalfRes__h167301, + secondHalfRes__h167310, + secondHalfRes__h168391, + secondHalfRes__h169317, + secondHalfRes__h287807, + secondHalfRes__h287816, + secondHalfRes__h287825, + secondHalfRes__h287834, + secondHalfRes__h290741, + secondHalfRes__h291525, + secondHalfRes__h291534, + secondHalfRes__h293037, + secondHalfRes__h293821, + secondHalfRes__h293830, + secondHalfRes__h293839, + secondHalfRes__h295969, + secondHalfRes__h296753, + secondHalfRes__h296762, + secondHalfRes__h298265; + wire [6 : 0] shiftAmt__h169932; + wire [5 : 0] ctrlSig_shiftAmt__h59003; + + // value method rawCrcReq_tReady + assign s_axis_tready = crc_rawAxiStreamSlave_rawBus_fifo_FULL_N ; + + // value method rawCrcResp_data + assign m_crc_stream_data = crc_rawBusMaster_fifo_D_OUT ; + + // value method rawCrcResp_valid + assign m_crc_stream_valid = crc_rawBusMaster_fifo_EMPTY_N ; + + // submodule crc_crcAxiStream_crcReqBuf + FIFO2 #(.width(32'd290), + .guarded(1'd1)) crc_crcAxiStream_crcReqBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcReqBuf_D_IN), + .ENQ(crc_crcAxiStream_crcReqBuf_ENQ), + .DEQ(crc_crcAxiStream_crcReqBuf_DEQ), + .CLR(crc_crcAxiStream_crcReqBuf_CLR), + .D_OUT(crc_crcAxiStream_crcReqBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcReqBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcReqBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf + FIFO2 #(.width(32'd72), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_0.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_10.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_11.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_12.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_13.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_14.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_15.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_16.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_17.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_18.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_19.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_1.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_20.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_21.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_22.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_23.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_24.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_25.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_26.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_27.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_28.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_29.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_2.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_30.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_31.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_32.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_2), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_33.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_2), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_34.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_2), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_35.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_2), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_3.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_4.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_5.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_6.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_7.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_8.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable + LookupTableLoadSend #(.file("crc_tab_9.mem"), + .addr_width(32'd8), + .data_width(32'd32), + .lo(32'd0), + .hi(32'd255), + .binary(32'd0)) crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable(.CLK(CLK), + .ADDR_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_1), + .ADDR_2(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_2), + .ADDR_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_3), + .ADDR_4(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_4), + .ADDR_5(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_5), + .D_OUT_1(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_D_OUT_1), + .D_OUT_2(), + .D_OUT_3(crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_D_OUT_3), + .D_OUT_4(), + .D_OUT_5()); + + // submodule crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf + FIFO2 #(.width(32'd32), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_preProcessResBuf + FIFO2 #(.width(32'd264), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_preProcessResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf + FIFO2 #(.width(32'd1032), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf + FIFO2 #(.width(32'd1184), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf + FIFO2 #(.width(32'd40), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf + FIFO2 #(.width(32'd264), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_EMPTY_N)); + + // submodule crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf + FIFO2 #(.width(32'd320), + .guarded(1'd1)) crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_IN), + .ENQ(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_ENQ), + .DEQ(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_DEQ), + .CLR(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_CLR), + .D_OUT(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT), + .FULL_N(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_FULL_N), + .EMPTY_N(crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_EMPTY_N)); + + // submodule crc_rawAxiStreamSlave_rawBus_fifo + FIFO2 #(.width(32'd290), + .guarded(1'd1)) crc_rawAxiStreamSlave_rawBus_fifo(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_rawAxiStreamSlave_rawBus_fifo_D_IN), + .ENQ(crc_rawAxiStreamSlave_rawBus_fifo_ENQ), + .DEQ(crc_rawAxiStreamSlave_rawBus_fifo_DEQ), + .CLR(crc_rawAxiStreamSlave_rawBus_fifo_CLR), + .D_OUT(crc_rawAxiStreamSlave_rawBus_fifo_D_OUT), + .FULL_N(crc_rawAxiStreamSlave_rawBus_fifo_FULL_N), + .EMPTY_N(crc_rawAxiStreamSlave_rawBus_fifo_EMPTY_N)); + + // submodule crc_rawBusMaster_fifo + FIFO2 #(.width(32'd32), .guarded(1'd1)) crc_rawBusMaster_fifo(.RST(RST_N), + .CLK(CLK), + .D_IN(crc_rawBusMaster_fifo_D_IN), + .ENQ(crc_rawBusMaster_fifo_ENQ), + .DEQ(crc_rawBusMaster_fifo_DEQ), + .CLR(crc_rawBusMaster_fifo_CLR), + .D_OUT(crc_rawBusMaster_fifo_D_OUT), + .FULL_N(crc_rawBusMaster_fifo_FULL_N), + .EMPTY_N(crc_rawBusMaster_fifo_EMPTY_N)); + + // inlined wires + assign crc_rawAxiStreamSlave_rawBus_rawBus_dataW_wget = + { s_axis_tdata, s_axis_tkeep, s_axis_tlast, s_axis_tuser } ; + + // register crc_crcAxiStream_crcRespFifoOut_interCrcRes + assign crc_crcAxiStream_crcRespFifoOut_interCrcRes_D_IN = + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[7] ? + 32'hFFFFFFFF : + nextInterCrc__h169223 ; + assign crc_crcAxiStream_crcRespFifoOut_interCrcRes_EN = + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_EMPTY_N && + (!crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[7] || + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_FULL_N) ; + + // register crc_crcAxiStream_crcRespFifoOut_isFirstFlag + assign crc_crcAxiStream_crcRespFifoOut_isFirstFlag_D_IN = + crc_crcAxiStream_crcReqBuf_D_OUT[1] ; + assign crc_crcAxiStream_crcRespFifoOut_isFirstFlag_EN = + crc_crcAxiStream_crcReqBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_FULL_N ; + + // submodule crc_crcAxiStream_crcReqBuf + assign crc_crcAxiStream_crcReqBuf_D_IN = + crc_rawAxiStreamSlave_rawBus_fifo_D_OUT ; + assign crc_crcAxiStream_crcReqBuf_ENQ = + crc_rawAxiStreamSlave_rawBus_fifo_EMPTY_N && + crc_crcAxiStream_crcReqBuf_FULL_N ; + assign crc_crcAxiStream_crcReqBuf_DEQ = + crc_crcAxiStream_crcReqBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_FULL_N ; + assign crc_crcAxiStream_crcReqBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf + assign crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_IN = + { crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[39:8], + crc_crcAxiStream_crcRespFifoOut_interCrcRes, + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[7:0] } ; + assign crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_EMPTY_N && + (!crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[7] || + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_FULL_N) && + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[7] ; + assign crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_1 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[7:0] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[63:56] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[87:80] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[71:64] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[95:88] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[79:72] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[103:96] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[87:80] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[111:104] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[95:88] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[119:112] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[103:96] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[127:120] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[111:104] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[135:128] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[119:112] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[143:136] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[127:120] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[151:144] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[135:128] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[159:152] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_1 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[15:8] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[143:136] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[167:160] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[151:144] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[175:168] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[159:152] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[183:176] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[167:160] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[191:184] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[175:168] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[199:192] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[183:176] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[207:200] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[191:184] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[215:208] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[199:192] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[223:216] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[207:200] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[231:224] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[215:208] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[239:232] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_1 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[23:16] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[223:216] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[247:240] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[231:224] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[255:248] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[239:232] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_2 = + crc_crcAxiStream_crcRespFifoOut_interCrcRes[7:0] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[263:256] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[247:240] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_2 = + crc_crcAxiStream_crcRespFifoOut_interCrcRes[15:8] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[271:264] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[255:248] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_2 = + crc_crcAxiStream_crcRespFifoOut_interCrcRes[23:16] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[279:272] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[263:256] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_2 = + crc_crcAxiStream_crcRespFifoOut_interCrcRes[31:24] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[287:280] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_1 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[31:24] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[15:8] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[39:32] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[23:16] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[47:40] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[31:24] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[55:48] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[39:32] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[63:56] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[47:40] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[71:64] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_1 = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[55:48] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_2 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_3 = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[79:72] ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_4 = + 8'h0 ; + assign crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_ADDR_5 = + 8'h0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf + assign crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_D_IN = + { ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[0], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[1], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[2], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[3], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[4], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[5], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[6], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[7], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[8], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[9], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[10], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[11], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[12], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[13], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[14], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[15], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[16], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[17], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[18], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[19], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[20], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[21], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[22], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[23], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[24], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[25], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[26], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[27], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[28], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[29], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[30], + ~crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132[31] } ; + assign crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_EMPTY_N && + crc_rawBusMaster_fifo_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_preProcessResBuf + assign crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_IN = + { preProcessRes_data__h13182, + crc_crcAxiStream_crcReqBuf_D_OUT[1], + crc_crcAxiStream_crcRespFifoOut_isFirstFlag, + ctrlSig_shiftAmt__h59003 } ; + assign crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_ENQ = + crc_crcAxiStream_crcReqBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf + assign crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_IN = + { crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_D_OUT_1, + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_OUT[7:0] } ; + assign crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf + assign crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_IN = + { crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_31_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_30_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_29_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_28_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_27_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_26_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_25_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_24_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_23_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_22_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_21_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_20_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_19_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_18_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_17_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_16_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_15_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_14_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_13_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_12_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_11_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_10_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_9_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_8_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_7_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_6_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_5_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_4_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_3_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_2_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_1_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_crcTabVec_0_lookupTable_lookupTable_D_OUT_3, + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_OUT[319:288] } ; + assign crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf + assign crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_IN = + { crcRes__h159813, + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[7:0] } ; + assign crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_EMPTY_N && + (!crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[7] || + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_FULL_N) ; + assign crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf + assign crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_D_IN = + { x_data__h64227, + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[7:0] } ; + assign crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_shiftInputResBuf_CLR = 1'b0 ; + + // submodule crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf + assign crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_D_IN = + { crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[71:40], + interCrc__h169911 } ; + assign crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_ENQ = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_DEQ = + crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_EMPTY_N && + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_FULL_N ; + assign crc_crcAxiStream_crcRespFifoOut_shiftInterCrcResBuf_CLR = 1'b0 ; + + // submodule crc_rawAxiStreamSlave_rawBus_fifo + assign crc_rawAxiStreamSlave_rawBus_fifo_D_IN = + crc_rawAxiStreamSlave_rawBus_rawBus_dataW_wget ; + assign crc_rawAxiStreamSlave_rawBus_fifo_ENQ = + crc_rawAxiStreamSlave_rawBus_fifo_FULL_N && s_axis_tvalid ; + assign crc_rawAxiStreamSlave_rawBus_fifo_DEQ = + crc_rawAxiStreamSlave_rawBus_fifo_EMPTY_N && + crc_crcAxiStream_crcReqBuf_FULL_N ; + assign crc_rawAxiStreamSlave_rawBus_fifo_CLR = 1'b0 ; + + // submodule crc_rawBusMaster_fifo + assign crc_rawBusMaster_fifo_D_IN = + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_D_OUT ; + assign crc_rawBusMaster_fifo_ENQ = + crc_crcAxiStream_crcRespFifoOut_finalCrcResBuf_EMPTY_N && + crc_rawBusMaster_fifo_FULL_N ; + assign crc_rawBusMaster_fifo_DEQ = + crc_rawBusMaster_fifo_EMPTY_N && m_crc_stream_ready ; + assign crc_rawBusMaster_fifo_CLR = 1'b0 ; + + // remaining internal signals + assign IF_crc_crcAxiStream_crcReqBufD_OUT_BIT_2_THEN_ETC__q69 = + crc_crcAxiStream_crcReqBuf_D_OUT[2] ? 256'd1 : 256'd0 ; + assign crcRes__h159813 = firstHalfRes__h159836 ^ secondHalfRes__h159837 ; + assign crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139 = + { crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33], + crc_crcAxiStream_crcReqBuf_D_OUT[33:32], + crc_crcAxiStream_crcReqBuf_D_OUT[32], + crc_crcAxiStream_crcReqBuf_D_OUT[32], + crc_crcAxiStream_crcReqBuf_D_OUT[32], + crc_crcAxiStream_crcReqBuf_D_OUT[32], + crc_crcAxiStream_crcReqBuf_D_OUT[32], + crc_crcAxiStream_crcReqBuf_D_OUT[32], + crc_crcAxiStream_crcReqBuf_D_OUT[32:31], + crc_crcAxiStream_crcReqBuf_D_OUT[31], + crc_crcAxiStream_crcReqBuf_D_OUT[31], + crc_crcAxiStream_crcReqBuf_D_OUT[31], + crc_crcAxiStream_crcReqBuf_D_OUT[31], + crc_crcAxiStream_crcReqBuf_D_OUT[31], + crc_crcAxiStream_crcReqBuf_D_OUT[31], + crc_crcAxiStream_crcReqBuf_D_OUT[31:30], + crc_crcAxiStream_crcReqBuf_D_OUT[30], + crc_crcAxiStream_crcReqBuf_D_OUT[30], + crc_crcAxiStream_crcReqBuf_D_OUT[30], + crc_crcAxiStream_crcReqBuf_D_OUT[30], + crc_crcAxiStream_crcReqBuf_D_OUT[30], + crc_crcAxiStream_crcReqBuf_D_OUT[30], + crc_crcAxiStream_crcReqBuf_D_OUT[30:29], + crc_crcAxiStream_crcReqBuf_D_OUT[29], + crc_crcAxiStream_crcReqBuf_D_OUT[29], + crc_crcAxiStream_crcReqBuf_D_OUT[29], + crc_crcAxiStream_crcReqBuf_D_OUT[29], + crc_crcAxiStream_crcReqBuf_D_OUT[29], + crc_crcAxiStream_crcReqBuf_D_OUT[29], + crc_crcAxiStream_crcReqBuf_D_OUT[29:28], + crc_crcAxiStream_crcReqBuf_D_OUT[28], + crc_crcAxiStream_crcReqBuf_D_OUT[28], + crc_crcAxiStream_crcReqBuf_D_OUT[28], + crc_crcAxiStream_crcReqBuf_D_OUT[28], + crc_crcAxiStream_crcReqBuf_D_OUT[28], + crc_crcAxiStream_crcReqBuf_D_OUT[28], + crc_crcAxiStream_crcReqBuf_D_OUT[28:27], + crc_crcAxiStream_crcReqBuf_D_OUT[27], + crc_crcAxiStream_crcReqBuf_D_OUT[27], + crc_crcAxiStream_crcReqBuf_D_OUT[27], + crc_crcAxiStream_crcReqBuf_D_OUT[27], + crc_crcAxiStream_crcReqBuf_D_OUT[27], + crc_crcAxiStream_crcReqBuf_D_OUT[27], + crc_crcAxiStream_crcReqBuf_D_OUT[27:26], + crc_crcAxiStream_crcReqBuf_D_OUT[26], + crc_crcAxiStream_crcReqBuf_D_OUT[26], + crc_crcAxiStream_crcReqBuf_D_OUT[26], + crc_crcAxiStream_crcReqBuf_D_OUT[26], + crc_crcAxiStream_crcReqBuf_D_OUT[26], + crc_crcAxiStream_crcReqBuf_D_OUT[26], + crc_crcAxiStream_crcReqBuf_D_OUT[26:25], + crc_crcAxiStream_crcReqBuf_D_OUT[25], + crc_crcAxiStream_crcReqBuf_D_OUT[25], + crc_crcAxiStream_crcReqBuf_D_OUT[25], + crc_crcAxiStream_crcReqBuf_D_OUT[25], + crc_crcAxiStream_crcReqBuf_D_OUT[25], + crc_crcAxiStream_crcReqBuf_D_OUT[25], + crc_crcAxiStream_crcReqBuf_D_OUT[25:24], + crc_crcAxiStream_crcReqBuf_D_OUT[24], + crc_crcAxiStream_crcReqBuf_D_OUT[24], + crc_crcAxiStream_crcReqBuf_D_OUT[24], + crc_crcAxiStream_crcReqBuf_D_OUT[24], + crc_crcAxiStream_crcReqBuf_D_OUT[24], + crc_crcAxiStream_crcReqBuf_D_OUT[24], + crc_crcAxiStream_crcReqBuf_D_OUT[24:23], + crc_crcAxiStream_crcReqBuf_D_OUT[23], + crc_crcAxiStream_crcReqBuf_D_OUT[23], + crc_crcAxiStream_crcReqBuf_D_OUT[23], + crc_crcAxiStream_crcReqBuf_D_OUT[23], + crc_crcAxiStream_crcReqBuf_D_OUT[23], + crc_crcAxiStream_crcReqBuf_D_OUT[23], + crc_crcAxiStream_crcReqBuf_D_OUT[23:22], + crc_crcAxiStream_crcReqBuf_D_OUT[22], + crc_crcAxiStream_crcReqBuf_D_OUT[22], + crc_crcAxiStream_crcReqBuf_D_OUT[22], + crc_crcAxiStream_crcReqBuf_D_OUT[22], + crc_crcAxiStream_crcReqBuf_D_OUT[22], + crc_crcAxiStream_crcReqBuf_D_OUT[22], + crc_crcAxiStream_crcReqBuf_D_OUT[22:21], + crc_crcAxiStream_crcReqBuf_D_OUT[21], + crc_crcAxiStream_crcReqBuf_D_OUT[21], + crc_crcAxiStream_crcReqBuf_D_OUT[21], + crc_crcAxiStream_crcReqBuf_D_OUT[21], + crc_crcAxiStream_crcReqBuf_D_OUT[21], + crc_crcAxiStream_crcReqBuf_D_OUT[21], + crc_crcAxiStream_crcReqBuf_D_OUT[21:20], + crc_crcAxiStream_crcReqBuf_D_OUT[20], + crc_crcAxiStream_crcReqBuf_D_OUT[20], + crc_crcAxiStream_crcReqBuf_D_OUT[20], + crc_crcAxiStream_crcReqBuf_D_OUT[20], + crc_crcAxiStream_crcReqBuf_D_OUT[20], + crc_crcAxiStream_crcReqBuf_D_OUT[20], + crc_crcAxiStream_crcReqBuf_D_OUT[20:19], + crc_crcAxiStream_crcReqBuf_D_OUT[19], + crc_crcAxiStream_crcReqBuf_D_OUT[19], + crc_crcAxiStream_crcReqBuf_D_OUT[19], + crc_crcAxiStream_crcReqBuf_D_OUT[19], + crc_crcAxiStream_crcReqBuf_D_OUT[19], + crc_crcAxiStream_crcReqBuf_D_OUT[19], + crc_crcAxiStream_crcReqBuf_D_OUT[19:18], + crc_crcAxiStream_crcReqBuf_D_OUT[18], + crc_crcAxiStream_crcReqBuf_D_OUT[18], + crc_crcAxiStream_crcReqBuf_D_OUT[18], + crc_crcAxiStream_crcReqBuf_D_OUT[18], + crc_crcAxiStream_crcReqBuf_D_OUT[18], + crc_crcAxiStream_crcReqBuf_D_OUT[18], + crc_crcAxiStream_crcReqBuf_D_OUT[18:17], + crc_crcAxiStream_crcReqBuf_D_OUT[17], + crc_crcAxiStream_crcReqBuf_D_OUT[17], + crc_crcAxiStream_crcReqBuf_D_OUT[17], + crc_crcAxiStream_crcReqBuf_D_OUT[17], + crc_crcAxiStream_crcReqBuf_D_OUT[17], + crc_crcAxiStream_crcReqBuf_D_OUT[17], + crc_crcAxiStream_crcReqBuf_D_OUT[17:16], + crc_crcAxiStream_crcReqBuf_D_OUT[16], + crc_crcAxiStream_crcReqBuf_D_OUT[16], + crc_crcAxiStream_crcReqBuf_D_OUT[16], + crc_crcAxiStream_crcReqBuf_D_OUT[16], + crc_crcAxiStream_crcReqBuf_D_OUT[16], + crc_crcAxiStream_crcReqBuf_D_OUT[16], + crc_crcAxiStream_crcReqBuf_D_OUT[16:15], + crc_crcAxiStream_crcReqBuf_D_OUT[15], + crc_crcAxiStream_crcReqBuf_D_OUT[15], + crc_crcAxiStream_crcReqBuf_D_OUT[15], + crc_crcAxiStream_crcReqBuf_D_OUT[15], + crc_crcAxiStream_crcReqBuf_D_OUT[15], + crc_crcAxiStream_crcReqBuf_D_OUT[15], + crc_crcAxiStream_crcReqBuf_D_OUT[15:14], + crc_crcAxiStream_crcReqBuf_D_OUT[14], + crc_crcAxiStream_crcReqBuf_D_OUT[14], + crc_crcAxiStream_crcReqBuf_D_OUT[14], + crc_crcAxiStream_crcReqBuf_D_OUT[14], + crc_crcAxiStream_crcReqBuf_D_OUT[14], + crc_crcAxiStream_crcReqBuf_D_OUT[14], + crc_crcAxiStream_crcReqBuf_D_OUT[14:13], + crc_crcAxiStream_crcReqBuf_D_OUT[13], + crc_crcAxiStream_crcReqBuf_D_OUT[13], + crc_crcAxiStream_crcReqBuf_D_OUT[13], + crc_crcAxiStream_crcReqBuf_D_OUT[13], + crc_crcAxiStream_crcReqBuf_D_OUT[13], + crc_crcAxiStream_crcReqBuf_D_OUT[13], + crc_crcAxiStream_crcReqBuf_D_OUT[13:12], + crc_crcAxiStream_crcReqBuf_D_OUT[12], + crc_crcAxiStream_crcReqBuf_D_OUT[12], + crc_crcAxiStream_crcReqBuf_D_OUT[12], + crc_crcAxiStream_crcReqBuf_D_OUT[12], + crc_crcAxiStream_crcReqBuf_D_OUT[12], + crc_crcAxiStream_crcReqBuf_D_OUT[12], + crc_crcAxiStream_crcReqBuf_D_OUT[12:11], + crc_crcAxiStream_crcReqBuf_D_OUT[11], + crc_crcAxiStream_crcReqBuf_D_OUT[11], + crc_crcAxiStream_crcReqBuf_D_OUT[11], + crc_crcAxiStream_crcReqBuf_D_OUT[11], + crc_crcAxiStream_crcReqBuf_D_OUT[11], + crc_crcAxiStream_crcReqBuf_D_OUT[11], + crc_crcAxiStream_crcReqBuf_D_OUT[11:10], + crc_crcAxiStream_crcReqBuf_D_OUT[10], + crc_crcAxiStream_crcReqBuf_D_OUT[10], + crc_crcAxiStream_crcReqBuf_D_OUT[10], + crc_crcAxiStream_crcReqBuf_D_OUT[10], + crc_crcAxiStream_crcReqBuf_D_OUT[10], + crc_crcAxiStream_crcReqBuf_D_OUT[10], + crc_crcAxiStream_crcReqBuf_D_OUT[10:9], + crc_crcAxiStream_crcReqBuf_D_OUT[9], + crc_crcAxiStream_crcReqBuf_D_OUT[9], + crc_crcAxiStream_crcReqBuf_D_OUT[9], + crc_crcAxiStream_crcReqBuf_D_OUT[9], + crc_crcAxiStream_crcReqBuf_D_OUT[9], + crc_crcAxiStream_crcReqBuf_D_OUT[9], + crc_crcAxiStream_crcReqBuf_D_OUT[9:8], + crc_crcAxiStream_crcReqBuf_D_OUT[8], + crc_crcAxiStream_crcReqBuf_D_OUT[8], + crc_crcAxiStream_crcReqBuf_D_OUT[8], + crc_crcAxiStream_crcReqBuf_D_OUT[8], + crc_crcAxiStream_crcReqBuf_D_OUT[8], + crc_crcAxiStream_crcReqBuf_D_OUT[8], + crc_crcAxiStream_crcReqBuf_D_OUT[8:7], + crc_crcAxiStream_crcReqBuf_D_OUT[7], + crc_crcAxiStream_crcReqBuf_D_OUT[7], + crc_crcAxiStream_crcReqBuf_D_OUT[7], + crc_crcAxiStream_crcReqBuf_D_OUT[7], + crc_crcAxiStream_crcReqBuf_D_OUT[7], + crc_crcAxiStream_crcReqBuf_D_OUT[7], + crc_crcAxiStream_crcReqBuf_D_OUT[7:6], + crc_crcAxiStream_crcReqBuf_D_OUT[6], + crc_crcAxiStream_crcReqBuf_D_OUT[6], + crc_crcAxiStream_crcReqBuf_D_OUT[6], + crc_crcAxiStream_crcReqBuf_D_OUT[6], + crc_crcAxiStream_crcReqBuf_D_OUT[6], + crc_crcAxiStream_crcReqBuf_D_OUT[6], + crc_crcAxiStream_crcReqBuf_D_OUT[6:5], + crc_crcAxiStream_crcReqBuf_D_OUT[5], + crc_crcAxiStream_crcReqBuf_D_OUT[5], + crc_crcAxiStream_crcReqBuf_D_OUT[5], + crc_crcAxiStream_crcReqBuf_D_OUT[5], + crc_crcAxiStream_crcReqBuf_D_OUT[5], + crc_crcAxiStream_crcReqBuf_D_OUT[5], + crc_crcAxiStream_crcReqBuf_D_OUT[5:4], + crc_crcAxiStream_crcReqBuf_D_OUT[4], + crc_crcAxiStream_crcReqBuf_D_OUT[4], + crc_crcAxiStream_crcReqBuf_D_OUT[4], + crc_crcAxiStream_crcReqBuf_D_OUT[4], + crc_crcAxiStream_crcReqBuf_D_OUT[4], + crc_crcAxiStream_crcReqBuf_D_OUT[4], + crc_crcAxiStream_crcReqBuf_D_OUT[4:3], + crc_crcAxiStream_crcReqBuf_D_OUT[3], + crc_crcAxiStream_crcReqBuf_D_OUT[3], + crc_crcAxiStream_crcReqBuf_D_OUT[3], + crc_crcAxiStream_crcReqBuf_D_OUT[3], + crc_crcAxiStream_crcReqBuf_D_OUT[3], + crc_crcAxiStream_crcReqBuf_D_OUT[3], + crc_crcAxiStream_crcReqBuf_D_OUT[3:2], + crc_crcAxiStream_crcReqBuf_D_OUT[2], + crc_crcAxiStream_crcReqBuf_D_OUT[2], + crc_crcAxiStream_crcReqBuf_D_OUT[2], + crc_crcAxiStream_crcReqBuf_D_OUT[2], + crc_crcAxiStream_crcReqBuf_D_OUT[2], + crc_crcAxiStream_crcReqBuf_D_OUT[2], + IF_crc_crcAxiStream_crcReqBufD_OUT_BIT_2_THEN_ETC__q69[0] } & + crc_crcAxiStream_crcReqBuf_D_OUT[289:34] ; + assign crc_crcAxiStream_crcRespFifoOut_readInterCrcTa_ETC___d1132 = + interCrc__h287463 ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[31:0] ; + assign ctrlSig_shiftAmt__h59003 = + crc_crcAxiStream_crcReqBuf_D_OUT[33] ? + 6'd0 : + (crc_crcAxiStream_crcReqBuf_D_OUT[32] ? + 6'd1 : + (crc_crcAxiStream_crcReqBuf_D_OUT[31] ? + 6'd2 : + (crc_crcAxiStream_crcReqBuf_D_OUT[30] ? + 6'd3 : + (crc_crcAxiStream_crcReqBuf_D_OUT[29] ? + 6'd4 : + (crc_crcAxiStream_crcReqBuf_D_OUT[28] ? + 6'd5 : + (crc_crcAxiStream_crcReqBuf_D_OUT[27] ? + 6'd6 : + (crc_crcAxiStream_crcReqBuf_D_OUT[26] ? + 6'd7 : + (crc_crcAxiStream_crcReqBuf_D_OUT[25] ? + 6'd8 : + (crc_crcAxiStream_crcReqBuf_D_OUT[24] ? + 6'd9 : + (crc_crcAxiStream_crcReqBuf_D_OUT[23] ? + 6'd10 : + (crc_crcAxiStream_crcReqBuf_D_OUT[22] ? + 6'd11 : + (crc_crcAxiStream_crcReqBuf_D_OUT[21] ? + 6'd12 : + (crc_crcAxiStream_crcReqBuf_D_OUT[20] ? + 6'd13 : + (crc_crcAxiStream_crcReqBuf_D_OUT[19] ? + 6'd14 : + (crc_crcAxiStream_crcReqBuf_D_OUT[18] ? + 6'd15 : + (crc_crcAxiStream_crcReqBuf_D_OUT[17] ? + 6'd16 : + (crc_crcAxiStream_crcReqBuf_D_OUT[16] ? + 6'd17 : + (crc_crcAxiStream_crcReqBuf_D_OUT[15] ? + 6'd18 : + (crc_crcAxiStream_crcReqBuf_D_OUT[14] ? + 6'd19 : + (crc_crcAxiStream_crcReqBuf_D_OUT[13] ? + 6'd20 : + (crc_crcAxiStream_crcReqBuf_D_OUT[12] ? + 6'd21 : + (crc_crcAxiStream_crcReqBuf_D_OUT[11] ? + 6'd22 : + (crc_crcAxiStream_crcReqBuf_D_OUT[10] ? + 6'd23 : + (crc_crcAxiStream_crcReqBuf_D_OUT[9] ? + 6'd24 : + (crc_crcAxiStream_crcReqBuf_D_OUT[8] ? + 6'd25 : + (crc_crcAxiStream_crcReqBuf_D_OUT[7] ? + 6'd26 : + (crc_crcAxiStream_crcReqBuf_D_OUT[6] ? + 6'd27 : + (crc_crcAxiStream_crcReqBuf_D_OUT[5] ? + 6'd28 : + (crc_crcAxiStream_crcReqBuf_D_OUT[4] ? + 6'd29 : + (crc_crcAxiStream_crcReqBuf_D_OUT[3] ? + 6'd30 : + (crc_crcAxiStream_crcReqBuf_D_OUT[2] ? + 6'd31 : + 6'd32))))))))))))))))))))))))))))))) ; + assign firstHalfRes__h159836 = + firstHalfRes__h159845 ^ secondHalfRes__h159846 ; + assign firstHalfRes__h159845 = + firstHalfRes__h159854 ^ secondHalfRes__h159855 ; + assign firstHalfRes__h159854 = + firstHalfRes__h159863 ^ secondHalfRes__h159864 ; + assign firstHalfRes__h159863 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[39:8] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[71:40] ; + assign firstHalfRes__h162198 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[167:136] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[199:168] ; + assign firstHalfRes__h162982 = + firstHalfRes__h162991 ^ secondHalfRes__h162992 ; + assign firstHalfRes__h162991 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[295:264] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[327:296] ; + assign firstHalfRes__h164072 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[423:392] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[455:424] ; + assign firstHalfRes__h164856 = + firstHalfRes__h164865 ^ secondHalfRes__h164866 ; + assign firstHalfRes__h164865 = + firstHalfRes__h164874 ^ secondHalfRes__h164875 ; + assign firstHalfRes__h164874 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[551:520] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[583:552] ; + assign firstHalfRes__h166516 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[679:648] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[711:680] ; + assign firstHalfRes__h167300 = + firstHalfRes__h167309 ^ secondHalfRes__h167310 ; + assign firstHalfRes__h167309 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[807:776] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[839:808] ; + assign firstHalfRes__h168390 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[935:904] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[967:936] ; + assign firstHalfRes__h169316 = + crc_crcAxiStream_crcRespFifoOut_crcTabVec_32_lookupTable_lookupTable_D_OUT_2 ^ + crc_crcAxiStream_crcRespFifoOut_crcTabVec_33_lookupTable_lookupTable_D_OUT_2 ; + assign firstHalfRes__h287806 = + firstHalfRes__h287815 ^ secondHalfRes__h287816 ; + assign firstHalfRes__h287815 = + firstHalfRes__h287824 ^ secondHalfRes__h287825 ; + assign firstHalfRes__h287824 = + firstHalfRes__h287833 ^ secondHalfRes__h287834 ; + assign firstHalfRes__h287833 = + firstHalfRes__h287842 ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[127:96] ; + assign firstHalfRes__h287842 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[63:32] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[95:64] ; + assign firstHalfRes__h290740 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[223:192] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[255:224] ; + assign firstHalfRes__h291524 = + firstHalfRes__h291533 ^ secondHalfRes__h291534 ; + assign firstHalfRes__h291533 = + firstHalfRes__h291542 ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[415:384] ; + assign firstHalfRes__h291542 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[351:320] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[383:352] ; + assign firstHalfRes__h293036 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[511:480] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[543:512] ; + assign firstHalfRes__h293820 = + firstHalfRes__h293829 ^ secondHalfRes__h293830 ; + assign firstHalfRes__h293829 = + firstHalfRes__h293838 ^ secondHalfRes__h293839 ; + assign firstHalfRes__h293838 = + firstHalfRes__h293847 ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[703:672] ; + assign firstHalfRes__h293847 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[639:608] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[671:640] ; + assign firstHalfRes__h295968 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[799:768] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[831:800] ; + assign firstHalfRes__h296752 = + firstHalfRes__h296761 ^ secondHalfRes__h296762 ; + assign firstHalfRes__h296761 = + firstHalfRes__h296770 ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[991:960] ; + assign firstHalfRes__h296770 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[927:896] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[959:928] ; + assign firstHalfRes__h298264 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[1087:1056] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[1119:1088] ; + assign interCrc__h169911 = + (shiftAmt__h169932 <= 7'd36) ? + { CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q33, + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q34, + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q35, + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q36, + CASE_shiftAmt69932_0_0_1_crc_crcAxiStream_crcR_ETC__q37, + CASE_shiftAmt69932_0_0_1_0_2_crc_crcAxiStream__ETC__q38, + CASE_shiftAmt69932_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67, + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 } : + 288'd0 ; + assign interCrc__h287463 = firstHalfRes__h287806 ^ secondHalfRes__h287807 ; + assign nextInterCrc__h169222 = + firstHalfRes__h169316 ^ secondHalfRes__h169317 ; + assign nextInterCrc__h169223 = + nextInterCrc__h169222 ^ + crc_crcAxiStream_crcRespFifoOut_reduceCrcResBuf_D_OUT[39:8] ; + assign preProcessRes_data__h13182 = + { crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[0], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[1], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[2], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[3], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[4], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[5], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[6], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[7], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[8], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[9], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[10], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[11], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[12], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[13], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[14], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[15], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[16], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[17], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[18], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[19], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[20], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[21], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[22], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[23], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[24], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[25], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[26], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[27], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[28], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[29], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[30], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[31], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[32], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[33], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[34], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[35], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[36], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[37], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[38], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[39], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[40], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[41], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[42], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[43], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[44], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[45], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[46], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[47], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[48], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[49], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[50], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[51], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[52], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[53], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[54], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[55], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[56], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[57], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[58], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[59], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[60], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[61], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[62], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[63], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[64], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[65], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[66], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[67], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[68], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[69], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[70], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[71], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[72], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[73], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[74], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[75], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[76], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[77], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[78], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[79], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[80], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[81], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[82], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[83], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[84], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[85], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[86], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[87], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[88], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[89], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[90], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[91], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[92], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[93], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[94], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[95], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[96], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[97], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[98], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[99], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[100], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[101], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[102], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[103], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[104], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[105], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[106], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[107], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[108], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[109], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[110], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[111], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[112], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[113], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[114], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[115], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[116], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[117], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[118], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[119], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[120], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[121], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[122], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[123], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[124], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[125], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[126], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[127], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[128], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[129], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[130], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[131], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[132], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[133], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[134], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[135], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[136], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[137], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[138], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[139], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[140], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[141], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[142], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[143], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[144], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[145], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[146], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[147], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[148], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[149], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[150], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[151], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[152], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[153], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[154], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[155], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[156], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[157], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[158], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[159], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[160], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[161], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[162], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[163], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[164], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[165], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[166], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[167], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[168], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[169], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[170], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[171], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[172], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[173], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[174], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[175], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[176], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[177], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[178], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[179], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[180], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[181], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[182], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[183], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[184], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[185], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[186], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[187], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[188], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[189], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[190], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[191], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[192], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[193], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[194], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[195], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[196], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[197], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[198], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[199], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[200], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[201], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[202], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[203], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[204], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[205], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[206], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[207], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[208], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[209], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[210], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[211], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[212], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[213], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[214], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[215], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[216], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[217], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[218], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[219], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[220], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[221], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[222], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[223], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[224], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[225], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[226], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[227], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[228], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[229], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[230], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[231], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[232], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[233], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[234], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[235], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[236], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[237], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[238], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[239], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[240], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[241], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[242], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[243], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[244], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[245], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[246], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[247], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[248], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[249], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[250], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[251], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[252], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[253], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[254], + crc_crcAxiStream_crcReqBuf_first_BIT_33_CONCAT_ETC___d139[255] } ; + assign secondHalfRes__h159837 = + firstHalfRes__h164856 ^ secondHalfRes__h164857 ; + assign secondHalfRes__h159846 = + firstHalfRes__h162982 ^ secondHalfRes__h162983 ; + assign secondHalfRes__h159855 = + firstHalfRes__h162198 ^ secondHalfRes__h162199 ; + assign secondHalfRes__h159864 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[103:72] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[135:104] ; + assign secondHalfRes__h162199 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[231:200] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[263:232] ; + assign secondHalfRes__h162983 = + firstHalfRes__h164072 ^ secondHalfRes__h164073 ; + assign secondHalfRes__h162992 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[359:328] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[391:360] ; + assign secondHalfRes__h164073 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[487:456] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[519:488] ; + assign secondHalfRes__h164857 = + firstHalfRes__h167300 ^ secondHalfRes__h167301 ; + assign secondHalfRes__h164866 = + firstHalfRes__h166516 ^ secondHalfRes__h166517 ; + assign secondHalfRes__h164875 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[615:584] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[647:616] ; + assign secondHalfRes__h166517 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[743:712] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[775:744] ; + assign secondHalfRes__h167301 = + firstHalfRes__h168390 ^ secondHalfRes__h168391 ; + assign secondHalfRes__h167310 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[871:840] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[903:872] ; + assign secondHalfRes__h168391 = + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[999:968] ^ + crc_crcAxiStream_crcRespFifoOut_readCrcTabResBuf_D_OUT[1031:1000] ; + assign secondHalfRes__h169317 = + crc_crcAxiStream_crcRespFifoOut_crcTabVec_34_lookupTable_lookupTable_D_OUT_2 ^ + crc_crcAxiStream_crcRespFifoOut_crcTabVec_35_lookupTable_lookupTable_D_OUT_2 ; + assign secondHalfRes__h287807 = + firstHalfRes__h293820 ^ secondHalfRes__h293821 ; + assign secondHalfRes__h287816 = + firstHalfRes__h291524 ^ secondHalfRes__h291525 ; + assign secondHalfRes__h287825 = + firstHalfRes__h290740 ^ secondHalfRes__h290741 ; + assign secondHalfRes__h287834 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[159:128] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[191:160] ; + assign secondHalfRes__h290741 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[287:256] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[319:288] ; + assign secondHalfRes__h291525 = + firstHalfRes__h293036 ^ secondHalfRes__h293037 ; + assign secondHalfRes__h291534 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[447:416] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[479:448] ; + assign secondHalfRes__h293037 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[575:544] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[607:576] ; + assign secondHalfRes__h293821 = + firstHalfRes__h296752 ^ secondHalfRes__h296753 ; + assign secondHalfRes__h293830 = + firstHalfRes__h295968 ^ secondHalfRes__h295969 ; + assign secondHalfRes__h293839 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[735:704] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[767:736] ; + assign secondHalfRes__h295969 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[863:832] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[895:864] ; + assign secondHalfRes__h296753 = + firstHalfRes__h298264 ^ secondHalfRes__h298265 ; + assign secondHalfRes__h296762 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[1023:992] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[1055:1024] ; + assign secondHalfRes__h298265 = + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[1151:1120] ^ + crc_crcAxiStream_crcRespFifoOut_readInterCrcTabResBuf_D_OUT[1183:1152] ; + assign shiftAmt__h169932 = + { 1'd0, + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[5:0] } ; + assign x_data__h64227 = + (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0] <= + 6'd32) ? + { CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q1, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q2, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31, + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 } : + 256'd0 ; + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q1 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd1, + 6'd2, + 6'd3, + 6'd4, + 6'd5, + 6'd6, + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q1 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q1 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q2 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q2 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd2, + 6'd3, + 6'd4, + 6'd5, + 6'd6, + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q2 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q2 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd3, + 6'd4, + 6'd5, + 6'd6, + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q3 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd4, + 6'd5, + 6'd6, + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q4 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd5, + 6'd6, + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q5 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd6, + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q6 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd7, + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q7 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd8, + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q8 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd9, + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q9 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd10, + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q10 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd11, + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q11 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd12, + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q12 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd13, + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q13 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd14, + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q14 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd15, + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q15 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd16, + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q16 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd17, + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q17 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd18, + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q18 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd19, + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q19 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd20, + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q20 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd21, + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q21 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd22, + 6'd23, + 6'd24, + 6'd25, + 6'd26, + 6'd27, + 6'd28, + 6'd29, + 6'd30, + 6'd31, + 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q22 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd23, 6'd24, 6'd25, 6'd26, 6'd27, 6'd28, 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q23 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd24, 6'd25, 6'd26, 6'd27, 6'd28, 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q24 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd25, 6'd26, 6'd27, 6'd28, 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q25 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd26, 6'd27, 6'd28, 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q26 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[55:48]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd26: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd27, 6'd28, 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q27 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[47:40]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[55:48]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd26: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd27: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd28, 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q28 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[39:32]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[47:40]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[55:48]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd26: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd27: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd28: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd29, 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q29 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[31:24]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[39:32]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[47:40]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[55:48]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd26: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd27: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd28: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd29: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd30, 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q30 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[23:16]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[31:24]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[39:32]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[47:40]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[55:48]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd26: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd27: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd28: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd29: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd30: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd31, 6'd32: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q31 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT) + begin + case (crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[5:0]) + 6'd0: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[15:8]; + 6'd1: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[23:16]; + 6'd2: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[31:24]; + 6'd3: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[39:32]; + 6'd4: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[47:40]; + 6'd5: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[55:48]; + 6'd6: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[63:56]; + 6'd7: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[71:64]; + 6'd8: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[79:72]; + 6'd9: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[87:80]; + 6'd10: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[95:88]; + 6'd11: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[103:96]; + 6'd12: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[111:104]; + 6'd13: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[119:112]; + 6'd14: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[127:120]; + 6'd15: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[135:128]; + 6'd16: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[143:136]; + 6'd17: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[151:144]; + 6'd18: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[159:152]; + 6'd19: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[167:160]; + 6'd20: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[175:168]; + 6'd21: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[183:176]; + 6'd22: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[191:184]; + 6'd23: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[199:192]; + 6'd24: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[207:200]; + 6'd25: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[215:208]; + 6'd26: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[223:216]; + 6'd27: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[231:224]; + 6'd28: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[239:232]; + 6'd29: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[247:240]; + 6'd30: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[255:248]; + 6'd31: + CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + crc_crcAxiStream_crcRespFifoOut_preProcessResBuf_D_OUT[263:256]; + 6'd32: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = 8'd0; + default: CASE_crc_crcAxiStream_crcRespFifoOut_preProces_ETC__q32 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q33 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q33 = 8'd0; + default: CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q33 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q34 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd1: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q34 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q34 = 8'd0; + default: CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q34 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q35 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd1: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q35 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd2: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q35 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q35 = 8'd0; + default: CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q35 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q36 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd1: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q36 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd2: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q36 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd3: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q36 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q36 = 8'd0; + default: CASE_shiftAmt69932_0_crc_crcAxiStream_crcRespF_ETC__q36 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_crc_crcAxiStream_crcR_ETC__q37 = 8'd0; + 7'd1: + CASE_shiftAmt69932_0_0_1_crc_crcAxiStream_crcR_ETC__q37 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd2: + CASE_shiftAmt69932_0_0_1_crc_crcAxiStream_crcR_ETC__q37 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd3: + CASE_shiftAmt69932_0_0_1_crc_crcAxiStream_crcR_ETC__q37 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd4: + CASE_shiftAmt69932_0_0_1_crc_crcAxiStream_crcR_ETC__q37 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_crc_crcAxiStream_crcR_ETC__q37 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_crc_crcAxiStream__ETC__q38 = 8'd0; + 7'd2: + CASE_shiftAmt69932_0_0_1_0_2_crc_crcAxiStream__ETC__q38 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd3: + CASE_shiftAmt69932_0_0_1_0_2_crc_crcAxiStream__ETC__q38 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd4: + CASE_shiftAmt69932_0_0_1_0_2_crc_crcAxiStream__ETC__q38 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd5: + CASE_shiftAmt69932_0_0_1_0_2_crc_crcAxiStream__ETC__q38 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_crc_crcAxiStream__ETC__q38 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39 = 8'd0; + 7'd3: + CASE_shiftAmt69932_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd4: + CASE_shiftAmt69932_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd5: + CASE_shiftAmt69932_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd6: + CASE_shiftAmt69932_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_crc_crcAxiStr_ETC__q39 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40 = 8'd0; + 7'd4: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd5: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd6: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd7: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_crc_crcAx_ETC__q40 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41 = 8'd0; + 7'd5: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd6: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd7: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd8: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_crc_c_ETC__q41 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42 = 8'd0; + 7'd6: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd7: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd8: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd9: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_c_ETC__q42 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43 = 8'd0; + 7'd7: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd8: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd9: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd10: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q43 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44 = 8'd0; + 7'd8: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd9: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd10: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd11: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q44 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45 = 8'd0; + 7'd9: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd10: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd11: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd12: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q45 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46 = 8'd0; + 7'd10: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd11: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd12: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd13: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q46 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47 = 8'd0; + 7'd11: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd12: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd13: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd14: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q47 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48 = 8'd0; + 7'd12: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd13: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd14: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd15: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q48 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49 = 8'd0; + 7'd13: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd14: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd15: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd16: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q49 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50 = 8'd0; + 7'd14: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd15: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd16: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd17: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q50 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51 = 8'd0; + 7'd15: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd16: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd17: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd18: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q51 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52 = 8'd0; + 7'd16: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd17: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd18: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd19: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q52 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53 = 8'd0; + 7'd17: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd18: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd19: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd20: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q53 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54 = 8'd0; + 7'd18: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd19: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd20: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd21: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q54 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55 = 8'd0; + 7'd19: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd20: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd21: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd22: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q55 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56 = 8'd0; + 7'd20: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd21: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd22: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd23: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q56 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57 = 8'd0; + 7'd21: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd22: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd23: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd24: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q57 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58 = 8'd0; + 7'd22: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd23: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd24: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd25: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q58 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59 = 8'd0; + 7'd23: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd24: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd25: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd26: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q59 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60 = 8'd0; + 7'd24: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd25: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd26: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd27: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q60 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd29, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61 = 8'd0; + 7'd25: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd26: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd27: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd28: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q61 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd30, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62 = 8'd0; + 7'd26: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd27: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd28: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd29: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q62 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd31, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63 = 8'd0; + 7'd27: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd28: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd29: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd30: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q63 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd32, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64 = 8'd0; + 7'd28: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd29: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd30: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd31: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q64 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd33, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65 = 8'd0; + 7'd29: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd30: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd31: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd32: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q65 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd34, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66 = 8'd0; + 7'd30: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd31: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd32: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd33: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q66 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd35, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67 = 8'd0; + 7'd31: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd32: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd33: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd34: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q67 = + 8'b10101010 /* unspecified value */ ; + endcase + end + always@(shiftAmt__h169932 or + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT) + begin + case (shiftAmt__h169932) + 7'd0, + 7'd1, + 7'd2, + 7'd3, + 7'd4, + 7'd5, + 7'd6, + 7'd7, + 7'd8, + 7'd9, + 7'd10, + 7'd11, + 7'd12, + 7'd13, + 7'd14, + 7'd15, + 7'd16, + 7'd17, + 7'd18, + 7'd19, + 7'd20, + 7'd21, + 7'd22, + 7'd23, + 7'd24, + 7'd25, + 7'd26, + 7'd27, + 7'd28, + 7'd29, + 7'd30, + 7'd31, + 7'd36: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 = 8'd0; + 7'd32: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[15:8]; + 7'd33: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[23:16]; + 7'd34: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[31:24]; + 7'd35: + CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 = + crc_crcAxiStream_crcRespFifoOut_accuCrcResBuf_D_OUT[39:32]; + default: CASE_shiftAmt69932_0_0_1_0_2_0_3_0_4_0_5_0_6_0_ETC__q68 = + 8'b10101010 /* unspecified value */ ; + endcase + end + + // handling of inlined registers + + always@(posedge CLK) + begin + if (RST_N == `BSV_RESET_VALUE) + begin + crc_crcAxiStream_crcRespFifoOut_interCrcRes <= `BSV_ASSIGNMENT_DELAY + 32'hFFFFFFFF; + crc_crcAxiStream_crcRespFifoOut_isFirstFlag <= `BSV_ASSIGNMENT_DELAY + 1'd1; + end + else + begin + if (crc_crcAxiStream_crcRespFifoOut_interCrcRes_EN) + crc_crcAxiStream_crcRespFifoOut_interCrcRes <= `BSV_ASSIGNMENT_DELAY + crc_crcAxiStream_crcRespFifoOut_interCrcRes_D_IN; + if (crc_crcAxiStream_crcRespFifoOut_isFirstFlag_EN) + crc_crcAxiStream_crcRespFifoOut_isFirstFlag <= `BSV_ASSIGNMENT_DELAY + crc_crcAxiStream_crcRespFifoOut_isFirstFlag_D_IN; + end + end + + // synopsys translate_off + `ifdef BSV_NO_INITIAL_BLOCKS + `else // not BSV_NO_INITIAL_BLOCKS + initial + begin + crc_crcAxiStream_crcRespFifoOut_interCrcRes = 32'hAAAAAAAA; + crc_crcAxiStream_crcRespFifoOut_isFirstFlag = 1'h0; + end + `endif // BSV_NO_INITIAL_BLOCKS + // synopsys translate_on +endmodule // mkCrcRawAxiStreamCustomSend + + +`ifdef BSV_WARN_REGFILE_ADDR_RANGE +`else +`define BSV_WARN_REGFILE_ADDR_RANGE 0 +`endif + +`ifdef BSV_ASSIGNMENT_DELAY +`else +`define BSV_ASSIGNMENT_DELAY +`endif + + +// Multi-ported Lookup Table(ROM) -- initializable from a file. +module LookupTableLoadSend( + CLK, + ADDR_1, D_OUT_1, + ADDR_2, D_OUT_2, + ADDR_3, D_OUT_3, + ADDR_4, D_OUT_4, + ADDR_5, D_OUT_5 +); + parameter file = ""; + parameter addr_width = 1; + parameter data_width = 1; + parameter lo = 0; + parameter hi = 1; + parameter binary = 0; + + input CLK; + + input [addr_width - 1 : 0] ADDR_1; + output [data_width - 1 : 0] D_OUT_1; + + input [addr_width - 1 : 0] ADDR_2; + output [data_width - 1 : 0] D_OUT_2; + + input [addr_width - 1 : 0] ADDR_3; + output [data_width - 1 : 0] D_OUT_3; + + input [addr_width - 1 : 0] ADDR_4; + output [data_width - 1 : 0] D_OUT_4; + + input [addr_width - 1 : 0] ADDR_5; + output [data_width - 1 : 0] D_OUT_5; + + reg [data_width - 1 : 0] arr[lo:hi]; + + + initial + begin : init_rom_block + if (binary) + $readmemb(file, arr, lo, hi); + else + $readmemh(file, arr, lo, hi); + end // initial begin + + assign D_OUT_1 = arr[ADDR_1]; + assign D_OUT_2 = arr[ADDR_2]; + assign D_OUT_3 = arr[ADDR_3]; + assign D_OUT_4 = arr[ADDR_4]; + assign D_OUT_5 = arr[ADDR_5]; + +endmodule diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_0.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_0.mem new file mode 100644 index 0000000000..3d40d4d253 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_0.mem @@ -0,0 +1,256 @@ +00000000 +00000001 +00000002 +00000003 +00000004 +00000005 +00000006 +00000007 +00000008 +00000009 +0000000a +0000000b +0000000c +0000000d +0000000e +0000000f +00000010 +00000011 +00000012 +00000013 +00000014 +00000015 +00000016 +00000017 +00000018 +00000019 +0000001a +0000001b +0000001c +0000001d +0000001e +0000001f +00000020 +00000021 +00000022 +00000023 +00000024 +00000025 +00000026 +00000027 +00000028 +00000029 +0000002a +0000002b +0000002c +0000002d +0000002e +0000002f +00000030 +00000031 +00000032 +00000033 +00000034 +00000035 +00000036 +00000037 +00000038 +00000039 +0000003a +0000003b +0000003c +0000003d +0000003e +0000003f +00000040 +00000041 +00000042 +00000043 +00000044 +00000045 +00000046 +00000047 +00000048 +00000049 +0000004a +0000004b +0000004c +0000004d +0000004e +0000004f +00000050 +00000051 +00000052 +00000053 +00000054 +00000055 +00000056 +00000057 +00000058 +00000059 +0000005a +0000005b +0000005c +0000005d +0000005e +0000005f +00000060 +00000061 +00000062 +00000063 +00000064 +00000065 +00000066 +00000067 +00000068 +00000069 +0000006a +0000006b +0000006c +0000006d +0000006e +0000006f +00000070 +00000071 +00000072 +00000073 +00000074 +00000075 +00000076 +00000077 +00000078 +00000079 +0000007a +0000007b +0000007c +0000007d +0000007e +0000007f +00000080 +00000081 +00000082 +00000083 +00000084 +00000085 +00000086 +00000087 +00000088 +00000089 +0000008a +0000008b +0000008c +0000008d +0000008e +0000008f +00000090 +00000091 +00000092 +00000093 +00000094 +00000095 +00000096 +00000097 +00000098 +00000099 +0000009a +0000009b +0000009c +0000009d +0000009e +0000009f +000000a0 +000000a1 +000000a2 +000000a3 +000000a4 +000000a5 +000000a6 +000000a7 +000000a8 +000000a9 +000000aa +000000ab +000000ac +000000ad +000000ae +000000af +000000b0 +000000b1 +000000b2 +000000b3 +000000b4 +000000b5 +000000b6 +000000b7 +000000b8 +000000b9 +000000ba +000000bb +000000bc +000000bd +000000be +000000bf +000000c0 +000000c1 +000000c2 +000000c3 +000000c4 +000000c5 +000000c6 +000000c7 +000000c8 +000000c9 +000000ca +000000cb +000000cc +000000cd +000000ce +000000cf +000000d0 +000000d1 +000000d2 +000000d3 +000000d4 +000000d5 +000000d6 +000000d7 +000000d8 +000000d9 +000000da +000000db +000000dc +000000dd +000000de +000000df +000000e0 +000000e1 +000000e2 +000000e3 +000000e4 +000000e5 +000000e6 +000000e7 +000000e8 +000000e9 +000000ea +000000eb +000000ec +000000ed +000000ee +000000ef +000000f0 +000000f1 +000000f2 +000000f3 +000000f4 +000000f5 +000000f6 +000000f7 +000000f8 +000000f9 +000000fa +000000fb +000000fc +000000fd +000000fe +000000ff diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_1.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_1.mem new file mode 100644 index 0000000000..5bd3ad47f3 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_1.mem @@ -0,0 +1,256 @@ +00000000 +00000100 +00000200 +00000300 +00000400 +00000500 +00000600 +00000700 +00000800 +00000900 +00000a00 +00000b00 +00000c00 +00000d00 +00000e00 +00000f00 +00001000 +00001100 +00001200 +00001300 +00001400 +00001500 +00001600 +00001700 +00001800 +00001900 +00001a00 +00001b00 +00001c00 +00001d00 +00001e00 +00001f00 +00002000 +00002100 +00002200 +00002300 +00002400 +00002500 +00002600 +00002700 +00002800 +00002900 +00002a00 +00002b00 +00002c00 +00002d00 +00002e00 +00002f00 +00003000 +00003100 +00003200 +00003300 +00003400 +00003500 +00003600 +00003700 +00003800 +00003900 +00003a00 +00003b00 +00003c00 +00003d00 +00003e00 +00003f00 +00004000 +00004100 +00004200 +00004300 +00004400 +00004500 +00004600 +00004700 +00004800 +00004900 +00004a00 +00004b00 +00004c00 +00004d00 +00004e00 +00004f00 +00005000 +00005100 +00005200 +00005300 +00005400 +00005500 +00005600 +00005700 +00005800 +00005900 +00005a00 +00005b00 +00005c00 +00005d00 +00005e00 +00005f00 +00006000 +00006100 +00006200 +00006300 +00006400 +00006500 +00006600 +00006700 +00006800 +00006900 +00006a00 +00006b00 +00006c00 +00006d00 +00006e00 +00006f00 +00007000 +00007100 +00007200 +00007300 +00007400 +00007500 +00007600 +00007700 +00007800 +00007900 +00007a00 +00007b00 +00007c00 +00007d00 +00007e00 +00007f00 +00008000 +00008100 +00008200 +00008300 +00008400 +00008500 +00008600 +00008700 +00008800 +00008900 +00008a00 +00008b00 +00008c00 +00008d00 +00008e00 +00008f00 +00009000 +00009100 +00009200 +00009300 +00009400 +00009500 +00009600 +00009700 +00009800 +00009900 +00009a00 +00009b00 +00009c00 +00009d00 +00009e00 +00009f00 +0000a000 +0000a100 +0000a200 +0000a300 +0000a400 +0000a500 +0000a600 +0000a700 +0000a800 +0000a900 +0000aa00 +0000ab00 +0000ac00 +0000ad00 +0000ae00 +0000af00 +0000b000 +0000b100 +0000b200 +0000b300 +0000b400 +0000b500 +0000b600 +0000b700 +0000b800 +0000b900 +0000ba00 +0000bb00 +0000bc00 +0000bd00 +0000be00 +0000bf00 +0000c000 +0000c100 +0000c200 +0000c300 +0000c400 +0000c500 +0000c600 +0000c700 +0000c800 +0000c900 +0000ca00 +0000cb00 +0000cc00 +0000cd00 +0000ce00 +0000cf00 +0000d000 +0000d100 +0000d200 +0000d300 +0000d400 +0000d500 +0000d600 +0000d700 +0000d800 +0000d900 +0000da00 +0000db00 +0000dc00 +0000dd00 +0000de00 +0000df00 +0000e000 +0000e100 +0000e200 +0000e300 +0000e400 +0000e500 +0000e600 +0000e700 +0000e800 +0000e900 +0000ea00 +0000eb00 +0000ec00 +0000ed00 +0000ee00 +0000ef00 +0000f000 +0000f100 +0000f200 +0000f300 +0000f400 +0000f500 +0000f600 +0000f700 +0000f800 +0000f900 +0000fa00 +0000fb00 +0000fc00 +0000fd00 +0000fe00 +0000ff00 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_10.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_10.mem new file mode 100644 index 0000000000..bc73413402 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_10.mem @@ -0,0 +1,256 @@ +00000000 +4f576811 +9eaed022 +d1f9b833 +399cbdf3 +76cbd5e2 +a7326dd1 +e86505c0 +73397be6 +3c6e13f7 +ed97abc4 +a2c0c3d5 +4aa5c615 +05f2ae04 +d40b1637 +9b5c7e26 +e672f7cc +a9259fdd +78dc27ee +378b4fff +dfee4a3f +90b9222e +41409a1d +0e17f20c +954b8c2a +da1ce43b +0be55c08 +44b23419 +acd731d9 +e38059c8 +3279e1fb +7d2e89ea +c824f22f +87739a3e +568a220d +19dd4a1c +f1b84fdc +beef27cd +6f169ffe +2041f7ef +bb1d89c9 +f44ae1d8 +25b359eb +6ae431fa +8281343a +cdd65c2b +1c2fe418 +53788c09 +2e5605e3 +61016df2 +b0f8d5c1 +ffafbdd0 +17cab810 +589dd001 +89646832 +c6330023 +5d6f7e05 +12381614 +c3c1ae27 +8c96c636 +64f3c3f6 +2ba4abe7 +fa5d13d4 +b50a7bc5 +9488f9e9 +dbdf91f8 +0a2629cb +457141da +ad14441a +e2432c0b +33ba9438 +7cedfc29 +e7b1820f +a8e6ea1e +791f522d +36483a3c +de2d3ffc +917a57ed +4083efde +0fd487cf +72fa0e25 +3dad6634 +ec54de07 +a303b616 +4b66b3d6 +0431dbc7 +d5c863f4 +9a9f0be5 +01c375c3 +4e941dd2 +9f6da5e1 +d03acdf0 +385fc830 +7708a021 +a6f11812 +e9a67003 +5cac0bc6 +13fb63d7 +c202dbe4 +8d55b3f5 +6530b635 +2a67de24 +fb9e6617 +b4c90e06 +2f957020 +60c21831 +b13ba002 +fe6cc813 +1609cdd3 +595ea5c2 +88a71df1 +c7f075e0 +badefc0a +f589941b +24702c28 +6b274439 +834241f9 +cc1529e8 +1dec91db +52bbf9ca +c9e787ec +86b0effd +574957ce +181e3fdf +f07b3a1f +bf2c520e +6ed5ea3d +2182822c +2dd0ee65 +62878674 +b37e3e47 +fc295656 +144c5396 +5b1b3b87 +8ae283b4 +c5b5eba5 +5ee99583 +11befd92 +c04745a1 +8f102db0 +67752870 +28224061 +f9dbf852 +b68c9043 +cba219a9 +84f571b8 +550cc98b +1a5ba19a +f23ea45a +bd69cc4b +6c907478 +23c71c69 +b89b624f +f7cc0a5e +2635b26d +6962da7c +8107dfbc +ce50b7ad +1fa90f9e +50fe678f +e5f41c4a +aaa3745b +7b5acc68 +340da479 +dc68a1b9 +933fc9a8 +42c6719b +0d91198a +96cd67ac +d99a0fbd +0863b78e +4734df9f +af51da5f +e006b24e +31ff0a7d +7ea8626c +0386eb86 +4cd18397 +9d283ba4 +d27f53b5 +3a1a5675 +754d3e64 +a4b48657 +ebe3ee46 +70bf9060 +3fe8f871 +ee114042 +a1462853 +49232d93 +06744582 +d78dfdb1 +98da95a0 +b958178c +f60f7f9d +27f6c7ae +68a1afbf +80c4aa7f +cf93c26e +1e6a7a5d +513d124c +ca616c6a +8536047b +54cfbc48 +1b98d459 +f3fdd199 +bcaab988 +6d5301bb +220469aa +5f2ae040 +107d8851 +c1843062 +8ed35873 +66b65db3 +29e135a2 +f8188d91 +b74fe580 +2c139ba6 +6344f3b7 +b2bd4b84 +fdea2395 +158f2655 +5ad84e44 +8b21f677 +c4769e66 +717ce5a3 +3e2b8db2 +efd23581 +a0855d90 +48e05850 +07b73041 +d64e8872 +9919e063 +02459e45 +4d12f654 +9ceb4e67 +d3bc2676 +3bd923b6 +748e4ba7 +a577f394 +ea209b85 +970e126f +d8597a7e +09a0c24d +46f7aa5c +ae92af9c +e1c5c78d +303c7fbe +7f6b17af +e4376989 +ab600198 +7a99b9ab +35ced1ba +ddabd47a +92fcbc6b +43050458 +0c526c49 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_11.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_11.mem new file mode 100644 index 0000000000..2a8ba5b8a1 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_11.mem @@ -0,0 +1,256 @@ +00000000 +5ba1dcca +b743b994 +ece2655e +6a466e9f +31e7b255 +dd05d70b +86a40bc1 +d48cdd3e +8f2d01f4 +63cf64aa +386eb860 +becab3a1 +e56b6f6b +09890a35 +5228d6ff +add8a7cb +f6797b01 +1a9b1e5f +413ac295 +c79ec954 +9c3f159e +70dd70c0 +2b7cac0a +79547af5 +22f5a63f +ce17c361 +95b61fab +1312146a +48b3c8a0 +a451adfe +fff07134 +5f705221 +04d18eeb +e833ebb5 +b392377f +35363cbe +6e97e074 +8275852a +d9d459e0 +8bfc8f1f +d05d53d5 +3cbf368b +671eea41 +e1bae180 +ba1b3d4a +56f95814 +0d5884de +f2a8f5ea +a9092920 +45eb4c7e +1e4a90b4 +98ee9b75 +c34f47bf +2fad22e1 +740cfe2b +262428d4 +7d85f41e +91679140 +cac64d8a +4c62464b +17c39a81 +fb21ffdf +a0802315 +bee0a442 +e5417888 +09a31dd6 +5202c11c +d4a6cadd +8f071617 +63e57349 +3844af83 +6a6c797c +31cda5b6 +dd2fc0e8 +868e1c22 +002a17e3 +5b8bcb29 +b769ae77 +ecc872bd +13380389 +4899df43 +a47bba1d +ffda66d7 +797e6d16 +22dfb1dc +ce3dd482 +959c0848 +c7b4deb7 +9c15027d +70f76723 +2b56bbe9 +adf2b028 +f6536ce2 +1ab109bc +4110d576 +e190f663 +ba312aa9 +56d34ff7 +0d72933d +8bd698fc +d0774436 +3c952168 +6734fda2 +351c2b5d +6ebdf797 +825f92c9 +d9fe4e03 +5f5a45c2 +04fb9908 +e819fc56 +b3b8209c +4c4851a8 +17e98d62 +fb0be83c +a0aa34f6 +260e3f37 +7dafe3fd +914d86a3 +caec5a69 +98c48c96 +c365505c +2f873502 +7426e9c8 +f282e209 +a9233ec3 +45c15b9d +1e608757 +79005533 +22a189f9 +ce43eca7 +95e2306d +13463bac +48e7e766 +a4058238 +ffa45ef2 +ad8c880d +f62d54c7 +1acf3199 +416eed53 +c7cae692 +9c6b3a58 +70895f06 +2b2883cc +d4d8f2f8 +8f792e32 +639b4b6c +383a97a6 +be9e9c67 +e53f40ad +09dd25f3 +527cf939 +00542fc6 +5bf5f30c +b7179652 +ecb64a98 +6a124159 +31b39d93 +dd51f8cd +86f02407 +26700712 +7dd1dbd8 +9133be86 +ca92624c +4c36698d +1797b547 +fb75d019 +a0d40cd3 +f2fcda2c +a95d06e6 +45bf63b8 +1e1ebf72 +98bab4b3 +c31b6879 +2ff90d27 +7458d1ed +8ba8a0d9 +d0097c13 +3ceb194d +674ac587 +e1eece46 +ba4f128c +56ad77d2 +0d0cab18 +5f247de7 +0485a12d +e867c473 +b3c618b9 +35621378 +6ec3cfb2 +8221aaec +d9807626 +c7e0f171 +9c412dbb +70a348e5 +2b02942f +ada69fee +f6074324 +1ae5267a +4144fab0 +136c2c4f +48cdf085 +a42f95db +ff8e4911 +792a42d0 +228b9e1a +ce69fb44 +95c8278e +6a3856ba +31998a70 +dd7bef2e +86da33e4 +007e3825 +5bdfe4ef +b73d81b1 +ec9c5d7b +beb48b84 +e515574e +09f73210 +5256eeda +d4f2e51b +8f5339d1 +63b15c8f +38108045 +9890a350 +c3317f9a +2fd31ac4 +7472c60e +f2d6cdcf +a9771105 +4595745b +1e34a891 +4c1c7e6e +17bda2a4 +fb5fc7fa +a0fe1b30 +265a10f1 +7dfbcc3b +9119a965 +cab875af +3548049b +6ee9d851 +820bbd0f +d9aa61c5 +5f0e6a04 +04afb6ce +e84dd390 +b3ec0f5a +e1c4d9a5 +ba65056f +56876031 +0d26bcfb +8b82b73a +d0236bf0 +3cc10eae +6760d264 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_12.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_12.mem new file mode 100644 index 0000000000..d2720a3b8d --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_12.mem @@ -0,0 +1,256 @@ +00000000 +f200aa66 +e0c0497b +12c0e31d +c5418f41 +37412527 +2581c63a +d7816c5c +8e420335 +7c42a953 +6e824a4e +9c82e028 +4b038c74 +b9032612 +abc3c50f +59c36f69 +18451bdd +ea45b1bb +f88552a6 +0a85f8c0 +dd04949c +2f043efa +3dc4dde7 +cfc47781 +960718e8 +6407b28e +76c75193 +84c7fbf5 +534697a9 +a1463dcf +b386ded2 +418674b4 +308a37ba +c28a9ddc +d04a7ec1 +224ad4a7 +f5cbb8fb +07cb129d +150bf180 +e70b5be6 +bec8348f +4cc89ee9 +5e087df4 +ac08d792 +7b89bbce +898911a8 +9b49f2b5 +694958d3 +28cf2c67 +dacf8601 +c80f651c +3a0fcf7a +ed8ea326 +1f8e0940 +0d4eea5d +ff4e403b +a68d2f52 +548d8534 +464d6629 +b44dcc4f +63cca013 +91cc0a75 +830ce968 +710c430e +61146f74 +9314c512 +81d4260f +73d48c69 +a455e035 +56554a53 +4495a94e +b6950328 +ef566c41 +1d56c627 +0f96253a +fd968f5c +2a17e300 +d8174966 +cad7aa7b +38d7001d +795174a9 +8b51decf +99913dd2 +6b9197b4 +bc10fbe8 +4e10518e +5cd0b293 +aed018f5 +f713779c +0513ddfa +17d33ee7 +e5d39481 +3252f8dd +c05252bb +d292b1a6 +20921bc0 +519e58ce +a39ef2a8 +b15e11b5 +435ebbd3 +94dfd78f +66df7de9 +741f9ef4 +861f3492 +dfdc5bfb +2ddcf19d +3f1c1280 +cd1cb8e6 +1a9dd4ba +e89d7edc +fa5d9dc1 +085d37a7 +49db4313 +bbdbe975 +a91b0a68 +5b1ba00e +8c9acc52 +7e9a6634 +6c5a8529 +9e5a2f4f +c7994026 +3599ea40 +2759095d +d559a33b +02d8cf67 +f0d86501 +e218861c +10182c7a +c228dee8 +3028748e +22e89793 +d0e83df5 +076951a9 +f569fbcf +e7a918d2 +15a9b2b4 +4c6adddd +be6a77bb +acaa94a6 +5eaa3ec0 +892b529c +7b2bf8fa +69eb1be7 +9bebb181 +da6dc535 +286d6f53 +3aad8c4e +c8ad2628 +1f2c4a74 +ed2ce012 +ffec030f +0deca969 +542fc600 +a62f6c66 +b4ef8f7b +46ef251d +916e4941 +636ee327 +71ae003a +83aeaa5c +f2a2e952 +00a24334 +1262a029 +e0620a4f +37e36613 +c5e3cc75 +d7232f68 +2523850e +7ce0ea67 +8ee04001 +9c20a31c +6e20097a +b9a16526 +4ba1cf40 +59612c5d +ab61863b +eae7f28f +18e758e9 +0a27bbf4 +f8271192 +2fa67dce +dda6d7a8 +cf6634b5 +3d669ed3 +64a5f1ba +96a55bdc +8465b8c1 +766512a7 +a1e47efb +53e4d49d +41243780 +b3249de6 +a33cb19c +513c1bfa +43fcf8e7 +b1fc5281 +667d3edd +947d94bb +86bd77a6 +74bdddc0 +2d7eb2a9 +df7e18cf +cdbefbd2 +3fbe51b4 +e83f3de8 +1a3f978e +08ff7493 +faffdef5 +bb79aa41 +49790027 +5bb9e33a +a9b9495c +7e382500 +8c388f66 +9ef86c7b +6cf8c61d +353ba974 +c73b0312 +d5fbe00f +27fb4a69 +f07a2635 +027a8c53 +10ba6f4e +e2bac528 +93b68626 +61b62c40 +7376cf5d +8176653b +56f70967 +a4f7a301 +b637401c +4437ea7a +1df48513 +eff42f75 +fd34cc68 +0f34660e +d8b50a52 +2ab5a034 +38754329 +ca75e94f +8bf39dfb +79f3379d +6b33d480 +99337ee6 +4eb212ba +bcb2b8dc +ae725bc1 +5c72f1a7 +05b19ece +f7b134a8 +e571d7b5 +17717dd3 +c0f0118f +32f0bbe9 +203058f4 +d230f292 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_13.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_13.mem new file mode 100644 index 0000000000..00489a05b6 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_13.mem @@ -0,0 +1,256 @@ +00000000 +8090a067 +05e05d79 +8570fd1e +0bc0baf2 +8b501a95 +0e20e78b +8eb047ec +178175e4 +9711d583 +1261289d +92f188fa +1c41cf16 +9cd16f71 +19a1926f +99313208 +2f02ebc8 +af924baf +2ae2b6b1 +aa7216d6 +24c2513a +a452f15d +21220c43 +a1b2ac24 +38839e2c +b8133e4b +3d63c355 +bdf36332 +334324de +b3d384b9 +36a379a7 +b633d9c0 +5e05d790 +de9577f7 +5be58ae9 +db752a8e +55c56d62 +d555cd05 +5025301b +d0b5907c +4984a274 +c9140213 +4c64ff0d +ccf45f6a +42441886 +c2d4b8e1 +47a445ff +c734e598 +71073c58 +f1979c3f +74e76121 +f477c146 +7ac786aa +fa5726cd +7f27dbd3 +ffb77bb4 +668649bc +e616e9db +636614c5 +e3f6b4a2 +6d46f34e +edd65329 +68a6ae37 +e8360e50 +bc0baf20 +3c9b0f47 +b9ebf259 +397b523e +b7cb15d2 +375bb5b5 +b22b48ab +32bbe8cc +ab8adac4 +2b1a7aa3 +ae6a87bd +2efa27da +a04a6036 +20dac051 +a5aa3d4f +253a9d28 +930944e8 +1399e48f +96e91991 +1679b9f6 +98c9fe1a +18595e7d +9d29a363 +1db90304 +8488310c +0418916b +81686c75 +01f8cc12 +8f488bfe +0fd82b99 +8aa8d687 +0a3876e0 +e20e78b0 +629ed8d7 +e7ee25c9 +677e85ae +e9cec242 +695e6225 +ec2e9f3b +6cbe3f5c +f58f0d54 +751fad33 +f06f502d +70fff04a +fe4fb7a6 +7edf17c1 +fbafeadf +7b3f4ab8 +cd0c9378 +4d9c331f +c8ecce01 +487c6e66 +c6cc298a +465c89ed +c32c74f3 +43bcd494 +da8de69c +5a1d46fb +df6dbbe5 +5ffd1b82 +d14d5c6e +51ddfc09 +d4ad0117 +543da170 +7cd643f7 +fc46e390 +79361e8e +f9a6bee9 +7716f905 +f7865962 +72f6a47c +f266041b +6b573613 +ebc79674 +6eb76b6a +ee27cb0d +60978ce1 +e0072c86 +6577d198 +e5e771ff +53d4a83f +d3440858 +5634f546 +d6a45521 +581412cd +d884b2aa +5df44fb4 +dd64efd3 +4455dddb +c4c57dbc +41b580a2 +c12520c5 +4f956729 +cf05c74e +4a753a50 +cae59a37 +22d39467 +a2433400 +2733c91e +a7a36979 +29132e95 +a9838ef2 +2cf373ec +ac63d38b +3552e183 +b5c241e4 +30b2bcfa +b0221c9d +3e925b71 +be02fb16 +3b720608 +bbe2a66f +0dd17faf +8d41dfc8 +083122d6 +88a182b1 +0611c55d +8681653a +03f19824 +83613843 +1a500a4b +9ac0aa2c +1fb05732 +9f20f755 +1190b0b9 +910010de +1470edc0 +94e04da7 +c0ddecd7 +404d4cb0 +c53db1ae +45ad11c9 +cb1d5625 +4b8df642 +cefd0b5c +4e6dab3b +d75c9933 +57cc3954 +d2bcc44a +522c642d +dc9c23c1 +5c0c83a6 +d97c7eb8 +59ecdedf +efdf071f +6f4fa778 +ea3f5a66 +6aaffa01 +e41fbded +648f1d8a +e1ffe094 +616f40f3 +f85e72fb +78ced29c +fdbe2f82 +7d2e8fe5 +f39ec809 +730e686e +f67e9570 +76ee3517 +9ed83b47 +1e489b20 +9b38663e +1ba8c659 +951881b5 +158821d2 +90f8dccc +10687cab +89594ea3 +09c9eec4 +8cb913da +0c29b3bd +8299f451 +02095436 +8779a928 +07e9094f +b1dad08f +314a70e8 +b43a8df6 +34aa2d91 +ba1a6a7d +3a8aca1a +bffa3704 +3f6a9763 +a65ba56b +26cb050c +a3bbf812 +232b5875 +ad9b1f99 +2d0bbffe +a87b42e0 +28ebe287 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_14.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_14.mem new file mode 100644 index 0000000000..7f4f05ec60 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_14.mem @@ -0,0 +1,256 @@ +00000000 +f9ac87ee +f798126b +0e349585 +ebf13961 +125dbe8f +1c692b0a +e5c5ace4 +d3236f75 +2a8fe89b +24bb7d1e +dd17faf0 +38d25614 +c17ed1fa +cf4a447f +36e6c391 +a287c35d +5b2b44b3 +551fd136 +acb356d8 +4976fa3c +b0da7dd2 +beeee857 +47426fb9 +71a4ac28 +88082bc6 +863cbe43 +7f9039ad +9a559549 +63f912a7 +6dcd8722 +946100cc +41ce9b0d +b8621ce3 +b6568966 +4ffa0e88 +aa3fa26c +53932582 +5da7b007 +a40b37e9 +92edf478 +6b417396 +6575e613 +9cd961fd +791ccd19 +80b04af7 +8e84df72 +7728589c +e3495850 +1ae5dfbe +14d14a3b +ed7dcdd5 +08b86131 +f114e6df +ff20735a +068cf4b4 +306a3725 +c9c6b0cb +c7f2254e +3e5ea2a0 +db9b0e44 +223789aa +2c031c2f +d5af9bc1 +839d361a +7a31b1f4 +74052471 +8da9a39f +686c0f7b +91c08895 +9ff41d10 +66589afe +50be596f +a912de81 +a7264b04 +5e8accea +bb4f600e +42e3e7e0 +4cd77265 +b57bf58b +211af547 +d8b672a9 +d682e72c +2f2e60c2 +caebcc26 +33474bc8 +3d73de4d +c4df59a3 +f2399a32 +0b951ddc +05a18859 +fc0d0fb7 +19c8a353 +e06424bd +ee50b138 +17fc36d6 +c253ad17 +3bff2af9 +35cbbf7c +cc673892 +29a29476 +d00e1398 +de3a861d +279601f3 +1170c262 +e8dc458c +e6e8d009 +1f4457e7 +fa81fb03 +032d7ced +0d19e968 +f4b56e86 +60d46e4a +9978e9a4 +974c7c21 +6ee0fbcf +8b25572b +7289d0c5 +7cbd4540 +8511c2ae +b3f7013f +4a5b86d1 +446f1354 +bdc394ba +5806385e +a1aabfb0 +af9e2a35 +5632addb +03fb7183 +fa57f66d +f46363e8 +0dcfe406 +e80a48e2 +11a6cf0c +1f925a89 +e63edd67 +d0d81ef6 +29749918 +27400c9d +deec8b73 +3b292797 +c285a079 +ccb135fc +351db212 +a17cb2de +58d03530 +56e4a0b5 +af48275b +4a8d8bbf +b3210c51 +bd1599d4 +44b91e3a +725fddab +8bf35a45 +85c7cfc0 +7c6b482e +99aee4ca +60026324 +6e36f6a1 +979a714f +4235ea8e +bb996d60 +b5adf8e5 +4c017f0b +a9c4d3ef +50685401 +5e5cc184 +a7f0466a +911685fb +68ba0215 +668e9790 +9f22107e +7ae7bc9a +834b3b74 +8d7faef1 +74d3291f +e0b229d3 +191eae3d +172a3bb8 +ee86bc56 +0b4310b2 +f2ef975c +fcdb02d9 +05778537 +339146a6 +ca3dc148 +c40954cd +3da5d323 +d8607fc7 +21ccf829 +2ff86dac +d654ea42 +80664799 +79cac077 +77fe55f2 +8e52d21c +6b977ef8 +923bf916 +9c0f6c93 +65a3eb7d +534528ec +aae9af02 +a4dd3a87 +5d71bd69 +b8b4118d +41189663 +4f2c03e6 +b6808408 +22e184c4 +db4d032a +d57996af +2cd51141 +c910bda5 +30bc3a4b +3e88afce +c7242820 +f1c2ebb1 +086e6c5f +065af9da +fff67e34 +1a33d2d0 +e39f553e +edabc0bb +14074755 +c1a8dc94 +38045b7a +3630ceff +cf9c4911 +2a59e5f5 +d3f5621b +ddc1f79e +246d7070 +128bb3e1 +eb27340f +e513a18a +1cbf2664 +f97a8a80 +00d60d6e +0ee298eb +f74e1f05 +632f1fc9 +9a839827 +94b70da2 +6d1b8a4c +88de26a8 +7172a146 +7f4634c3 +86eab32d +b00c70bc +49a0f752 +479462d7 +be38e539 +5bfd49dd +a251ce33 +ac655bb6 +55c9dc58 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_15.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_15.mem new file mode 100644 index 0000000000..075280a7bd --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_15.mem @@ -0,0 +1,256 @@ +00000000 +07f6e306 +0fedc60c +081b250a +1fdb8c18 +182d6f1e +10364a14 +17c0a912 +3fb71830 +3841fb36 +305ade3c +37ac3d3a +206c9428 +279a772e +2f815224 +2877b122 +7f6e3060 +7898d366 +7083f66c +7775156a +60b5bc78 +67435f7e +6f587a74 +68ae9972 +40d92850 +472fcb56 +4f34ee5c +48c20d5a +5f02a448 +58f4474e +50ef6244 +57198142 +fedc60c0 +f92a83c6 +f131a6cc +f6c745ca +e107ecd8 +e6f10fde +eeea2ad4 +e91cc9d2 +c16b78f0 +c69d9bf6 +ce86befc +c9705dfa +deb0f4e8 +d94617ee +d15d32e4 +d6abd1e2 +81b250a0 +8644b3a6 +8e5f96ac +89a975aa +9e69dcb8 +999f3fbe +91841ab4 +9672f9b2 +be054890 +b9f3ab96 +b1e88e9c +b61e6d9a +a1dec488 +a628278e +ae330284 +a9c5e182 +f979dc37 +fe8f3f31 +f6941a3b +f162f93d +e6a2502f +e154b329 +e94f9623 +eeb97525 +c6cec407 +c1382701 +c923020b +ced5e10d +d915481f +dee3ab19 +d6f88e13 +d10e6d15 +8617ec57 +81e10f51 +89fa2a5b +8e0cc95d +99cc604f +9e3a8349 +9621a643 +91d74545 +b9a0f467 +be561761 +b64d326b +b1bbd16d +a67b787f +a18d9b79 +a996be73 +ae605d75 +07a5bcf7 +00535ff1 +08487afb +0fbe99fd +187e30ef +1f88d3e9 +1793f6e3 +106515e5 +3812a4c7 +3fe447c1 +37ff62cb +300981cd +27c928df +203fcbd9 +2824eed3 +2fd20dd5 +78cb8c97 +7f3d6f91 +77264a9b +70d0a99d +6710008f +60e6e389 +68fdc683 +6f0b2585 +477c94a7 +408a77a1 +489152ab +4f67b1ad +58a718bf +5f51fbb9 +574adeb3 +50bc3db5 +f632a5d9 +f1c446df +f9df63d5 +fe2980d3 +e9e929c1 +ee1fcac7 +e604efcd +e1f20ccb +c985bde9 +ce735eef +c6687be5 +c19e98e3 +d65e31f1 +d1a8d2f7 +d9b3f7fd +de4514fb +895c95b9 +8eaa76bf +86b153b5 +8147b0b3 +968719a1 +9171faa7 +996adfad +9e9c3cab +b6eb8d89 +b11d6e8f +b9064b85 +bef0a883 +a9300191 +aec6e297 +a6ddc79d +a12b249b +08eec519 +0f18261f +07030315 +00f5e013 +17354901 +10c3aa07 +18d88f0d +1f2e6c0b +3759dd29 +30af3e2f +38b41b25 +3f42f823 +28825131 +2f74b237 +276f973d +2099743b +7780f579 +7076167f +786d3375 +7f9bd073 +685b7961 +6fad9a67 +67b6bf6d +60405c6b +4837ed49 +4fc10e4f +47da2b45 +402cc843 +57ec6151 +501a8257 +5801a75d +5ff7445b +0f4b79ee +08bd9ae8 +00a6bfe2 +07505ce4 +1090f5f6 +176616f0 +1f7d33fa +188bd0fc +30fc61de +370a82d8 +3f11a7d2 +38e744d4 +2f27edc6 +28d10ec0 +20ca2bca +273cc8cc +7025498e +77d3aa88 +7fc88f82 +783e6c84 +6ffec596 +68082690 +6013039a +67e5e09c +4f9251be +4864b2b8 +407f97b2 +478974b4 +5049dda6 +57bf3ea0 +5fa41baa +5852f8ac +f197192e +f661fa28 +fe7adf22 +f98c3c24 +ee4c9536 +e9ba7630 +e1a1533a +e657b03c +ce20011e +c9d6e218 +c1cdc712 +c63b2414 +d1fb8d06 +d60d6e00 +de164b0a +d9e0a80c +8ef9294e +890fca48 +8114ef42 +86e20c44 +9122a556 +96d44650 +9ecf635a +9939805c +b14e317e +b6b8d278 +bea3f772 +b9551474 +ae95bd66 +a9635e60 +a1787b6a +a68e986c diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_16.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_16.mem new file mode 100644 index 0000000000..9881f99ae7 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_16.mem @@ -0,0 +1,256 @@ +00000000 +e8a45605 +d589b1bd +3d2de7b8 +afd27ecd +477628c8 +7a5bcf70 +92ff9975 +5b65e02d +b3c1b628 +8eec5190 +66480795 +f4b79ee0 +1c13c8e5 +213e2f5d +c99a7958 +b6cbc05a +5e6f965f +634271e7 +8be627e2 +1919be97 +f1bde892 +cc900f2a +2434592f +edae2077 +050a7672 +382791ca +d083c7cf +427c5eba +aad808bf +97f5ef07 +7f51b902 +69569d03 +81f2cb06 +bcdf2cbe +547b7abb +c684e3ce +2e20b5cb +130d5273 +fba90476 +32337d2e +da972b2b +e7bacc93 +0f1e9a96 +9de103e3 +754555e6 +4868b25e +a0cce45b +df9d5d59 +37390b5c +0a14ece4 +e2b0bae1 +704f2394 +98eb7591 +a5c69229 +4d62c42c +84f8bd74 +6c5ceb71 +51710cc9 +b9d55acc +2b2ac3b9 +c38e95bc +fea37204 +16072401 +d2ad3a06 +3a096c03 +07248bbb +ef80ddbe +7d7f44cb +95db12ce +a8f6f576 +4052a373 +89c8da2b +616c8c2e +5c416b96 +b4e53d93 +261aa4e6 +cebef2e3 +f393155b +1b37435e +6466fa5c +8cc2ac59 +b1ef4be1 +594b1de4 +cbb48491 +2310d294 +1e3d352c +f6996329 +3f031a71 +d7a74c74 +ea8aabcc +022efdc9 +90d164bc +787532b9 +4558d501 +adfc8304 +bbfba705 +535ff100 +6e7216b8 +86d640bd +1429d9c8 +fc8d8fcd +c1a06875 +29043e70 +e09e4728 +083a112d +3517f695 +ddb3a090 +4f4c39e5 +a7e86fe0 +9ac58858 +7261de5d +0d30675f +e594315a +d8b9d6e2 +301d80e7 +a2e21992 +4a464f97 +776ba82f +9fcffe2a +56558772 +bef1d177 +83dc36cf +6b7860ca +f987f9bf +1123afba +2c0e4802 +c4aa1e07 +a19b69bb +493f3fbe +7412d806 +9cb68e03 +0e491776 +e6ed4173 +dbc0a6cb +3364f0ce +fafe8996 +125adf93 +2f77382b +c7d36e2e +552cf75b +bd88a15e +80a546e6 +680110e3 +1750a9e1 +fff4ffe4 +c2d9185c +2a7d4e59 +b882d72c +50268129 +6d0b6691 +85af3094 +4c3549cc +a4911fc9 +99bcf871 +7118ae74 +e3e73701 +0b436104 +366e86bc +decad0b9 +c8cdf4b8 +2069a2bd +1d444505 +f5e01300 +671f8a75 +8fbbdc70 +b2963bc8 +5a326dcd +93a81495 +7b0c4290 +4621a528 +ae85f32d +3c7a6a58 +d4de3c5d +e9f3dbe5 +01578de0 +7e0634e2 +96a262e7 +ab8f855f +432bd35a +d1d44a2f +39701c2a +045dfb92 +ecf9ad97 +2563d4cf +cdc782ca +f0ea6572 +184e3377 +8ab1aa02 +6215fc07 +5f381bbf +b79c4dba +733653bd +9b9205b8 +a6bfe200 +4e1bb405 +dce42d70 +34407b75 +096d9ccd +e1c9cac8 +2853b390 +c0f7e595 +fdda022d +157e5428 +8781cd5d +6f259b58 +52087ce0 +baac2ae5 +c5fd93e7 +2d59c5e2 +1074225a +f8d0745f +6a2fed2a +828bbb2f +bfa65c97 +57020a92 +9e9873ca +763c25cf +4b11c277 +a3b59472 +314a0d07 +d9ee5b02 +e4c3bcba +0c67eabf +1a60cebe +f2c498bb +cfe97f03 +274d2906 +b5b2b073 +5d16e676 +603b01ce +889f57cb +41052e93 +a9a17896 +948c9f2e +7c28c92b +eed7505e +0673065b +3b5ee1e3 +d3fab7e6 +acab0ee4 +440f58e1 +7922bf59 +9186e95c +03797029 +ebdd262c +d6f0c194 +3e549791 +f7ceeec9 +1f6ab8cc +22475f74 +cae30971 +581c9004 +b0b8c601 +8d9521b9 +653177bc diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_17.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_17.mem new file mode 100644 index 0000000000..f936f981ba --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_17.mem @@ -0,0 +1,256 @@ +00000000 +47f7cec1 +8fef9d82 +c8185343 +1b1e26b3 +5ce9e872 +94f1bb31 +d30675f0 +363c4d66 +71cb83a7 +b9d3d0e4 +fe241e25 +2d226bd5 +6ad5a514 +a2cdf657 +e53a3896 +6c789acc +2b8f540d +e397074e +a460c98f +7766bc7f +309172be +f88921fd +bf7eef3c +5a44d7aa +1db3196b +d5ab4a28 +925c84e9 +415af119 +06ad3fd8 +ceb56c9b +8942a25a +d8f13598 +9f06fb59 +571ea81a +10e966db +c3ef132b +8418ddea +4c008ea9 +0bf74068 +eecd78fe +a93ab63f +6122e57c +26d52bbd +f5d35e4d +b224908c +7a3cc3cf +3dcb0d0e +b489af54 +f37e6195 +3b6632d6 +7c91fc17 +af9789e7 +e8604726 +20781465 +678fdaa4 +82b5e232 +c5422cf3 +0d5a7fb0 +4aadb171 +99abc481 +de5c0a40 +16445903 +51b397c2 +b5237687 +f2d4b846 +3acceb05 +7d3b25c4 +ae3d5034 +e9ca9ef5 +21d2cdb6 +66250377 +831f3be1 +c4e8f520 +0cf0a663 +4b0768a2 +98011d52 +dff6d393 +17ee80d0 +50194e11 +d95bec4b +9eac228a +56b471c9 +1143bf08 +c245caf8 +85b20439 +4daa577a +0a5d99bb +ef67a12d +a8906fec +60883caf +277ff26e +f479879e +b38e495f +7b961a1c +3c61d4dd +6dd2431f +2a258dde +e23dde9d +a5ca105c +76cc65ac +313bab6d +f923f82e +bed436ef +5bee0e79 +1c19c0b8 +d40193fb +93f65d3a +40f028ca +0707e60b +cf1fb548 +88e87b89 +01aad9d3 +465d1712 +8e454451 +c9b28a90 +1ab4ff60 +5d4331a1 +955b62e2 +d2acac23 +379694b5 +70615a74 +b8790937 +ff8ec7f6 +2c88b206 +6b7f7cc7 +a3672f84 +e490e145 +6e87f0b9 +29703e78 +e1686d3b +a69fa3fa +7599d60a +326e18cb +fa764b88 +bd818549 +58bbbddf +1f4c731e +d754205d +90a3ee9c +43a59b6c +045255ad +cc4a06ee +8bbdc82f +02ff6a75 +4508a4b4 +8d10f7f7 +cae73936 +19e14cc6 +5e168207 +960ed144 +d1f91f85 +34c32713 +7334e9d2 +bb2cba91 +fcdb7450 +2fdd01a0 +682acf61 +a0329c22 +e7c552e3 +b676c521 +f1810be0 +399958a3 +7e6e9662 +ad68e392 +ea9f2d53 +22877e10 +6570b0d1 +804a8847 +c7bd4686 +0fa515c5 +4852db04 +9b54aef4 +dca36035 +14bb3376 +534cfdb7 +da0e5fed +9df9912c +55e1c26f +12160cae +c110795e +86e7b79f +4effe4dc +09082a1d +ec32128b +abc5dc4a +63dd8f09 +242a41c8 +f72c3438 +b0dbfaf9 +78c3a9ba +3f34677b +dba4863e +9c5348ff +544b1bbc +13bcd57d +c0baa08d +874d6e4c +4f553d0f +08a2f3ce +ed98cb58 +aa6f0599 +627756da +2580981b +f686edeb +b171232a +79697069 +3e9ebea8 +b7dc1cf2 +f02bd233 +38338170 +7fc44fb1 +acc23a41 +eb35f480 +232da7c3 +64da6902 +81e05194 +c6179f55 +0e0fcc16 +49f802d7 +9afe7727 +dd09b9e6 +1511eaa5 +52e62464 +0355b3a6 +44a27d67 +8cba2e24 +cb4de0e5 +184b9515 +5fbc5bd4 +97a40897 +d053c656 +3569fec0 +729e3001 +ba866342 +fd71ad83 +2e77d873 +698016b2 +a19845f1 +e66f8b30 +6f2d296a +28dae7ab +e0c2b4e8 +a7357a29 +74330fd9 +33c4c118 +fbdc925b +bc2b5c9a +5911640c +1ee6aacd +d6fef98e +9109374f +420f42bf +05f88c7e +cde0df3d +8a1711fc diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_18.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_18.mem new file mode 100644 index 0000000000..f85ee2ac39 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_18.mem @@ -0,0 +1,256 @@ +00000000 +dd0fe172 +bededf53 +63d13e21 +797ca311 +a4734263 +c7a27c42 +1aad9d30 +f2f94622 +2ff6a750 +4c279971 +91287803 +8b85e533 +568a0441 +355b3a60 +e854db12 +e13391f3 +3c3c7081 +5fed4ea0 +82e2afd2 +984f32e2 +4540d390 +2691edb1 +fb9e0cc3 +13cad7d1 +cec536a3 +ad140882 +701be9f0 +6ab674c0 +b7b995b2 +d468ab93 +09674ae1 +c6a63e51 +1ba9df23 +7878e102 +a5770070 +bfda9d40 +62d57c32 +01044213 +dc0ba361 +345f7873 +e9509901 +8a81a720 +578e4652 +4d23db62 +902c3a10 +f3fd0431 +2ef2e543 +2795afa2 +fa9a4ed0 +994b70f1 +44449183 +5ee90cb3 +83e6edc1 +e037d3e0 +3d383292 +d56ce980 +086308f2 +6bb236d3 +b6bdd7a1 +ac104a91 +711fabe3 +12ce95c2 +cfc174b0 +898d6115 +54828067 +3753be46 +ea5c5f34 +f0f1c204 +2dfe2376 +4e2f1d57 +9320fc25 +7b742737 +a67bc645 +c5aaf864 +18a51916 +02088426 +df076554 +bcd65b75 +61d9ba07 +68bef0e6 +b5b11194 +d6602fb5 +0b6fcec7 +11c253f7 +cccdb285 +af1c8ca4 +72136dd6 +9a47b6c4 +474857b6 +24996997 +f99688e5 +e33b15d5 +3e34f4a7 +5de5ca86 +80ea2bf4 +4f2b5f44 +9224be36 +f1f58017 +2cfa6165 +3657fc55 +eb581d27 +88892306 +5586c274 +bdd21966 +60ddf814 +030cc635 +de032747 +c4aeba77 +19a15b05 +7a706524 +a77f8456 +ae18ceb7 +73172fc5 +10c611e4 +cdc9f096 +d7646da6 +0a6b8cd4 +69bab2f5 +b4b55387 +5ce18895 +81ee69e7 +e23f57c6 +3f30b6b4 +259d2b84 +f892caf6 +9b43f4d7 +464c15a5 +17dbdf9d +cad43eef +a90500ce +740ae1bc +6ea77c8c +b3a89dfe +d079a3df +0d7642ad +e52299bf +382d78cd +5bfc46ec +86f3a79e +9c5e3aae +4151dbdc +2280e5fd +ff8f048f +f6e84e6e +2be7af1c +4836913d +9539704f +8f94ed7f +529b0c0d +314a322c +ec45d35e +0411084c +d91ee93e +bacfd71f +67c0366d +7d6dab5d +a0624a2f +c3b3740e +1ebc957c +d17de1cc +0c7200be +6fa33e9f +b2acdfed +a80142dd +750ea3af +16df9d8e +cbd07cfc +2384a7ee +fe8b469c +9d5a78bd +405599cf +5af804ff +87f7e58d +e426dbac +39293ade +304e703f +ed41914d +8e90af6c +539f4e1e +4932d32e +943d325c +f7ec0c7d +2ae3ed0f +c2b7361d +1fb8d76f +7c69e94e +a166083c +bbcb950c +66c4747e +05154a5f +d81aab2d +9e56be88 +43595ffa +208861db +fd8780a9 +e72a1d99 +3a25fceb +59f4c2ca +84fb23b8 +6caff8aa +b1a019d8 +d27127f9 +0f7ec68b +15d35bbb +c8dcbac9 +ab0d84e8 +7602659a +7f652f7b +a26ace09 +c1bbf028 +1cb4115a +06198c6a +db166d18 +b8c75339 +65c8b24b +8d9c6959 +5093882b +3342b60a +ee4d5778 +f4e0ca48 +29ef2b3a +4a3e151b +9731f469 +58f080d9 +85ff61ab +e62e5f8a +3b21bef8 +218c23c8 +fc83c2ba +9f52fc9b +425d1de9 +aa09c6fb +77062789 +14d719a8 +c9d8f8da +d37565ea +0e7a8498 +6dabbab9 +b0a45bcb +b9c3112a +64ccf058 +071dce79 +da122f0b +c0bfb23b +1db05349 +7e616d68 +a36e8c1a +4b3a5708 +9635b67a +f5e4885b +28eb6929 +3246f419 +ef49156b +8c982b4a +5197ca38 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_19.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_19.mem new file mode 100644 index 0000000000..75ba5f3aa5 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_19.mem @@ -0,0 +1,256 @@ +00000000 +2fb7bf3a +5f6f7e74 +70d8c14e +bedefce8 +916943d2 +e1b1829c +ce063da6 +797ce467 +56cb5b5d +26139a13 +09a42529 +c7a2188f +e815a7b5 +98cd66fb +b77ad9c1 +f2f9c8ce +dd4e77f4 +ad96b6ba +82210980 +4c273426 +63908b1c +13484a52 +3cfff568 +8b852ca9 +a4329393 +d4ea52dd +fb5dede7 +355bd041 +1aec6f7b +6a34ae35 +4583110f +e1328c2b +ce853311 +be5df25f +91ea4d65 +5fec70c3 +705bcff9 +00830eb7 +2f34b18d +984e684c +b7f9d776 +c7211638 +e896a902 +269094a4 +09272b9e +79ffead0 +564855ea +13cb44e5 +3c7cfbdf +4ca43a91 +631385ab +ad15b80d +82a20737 +f27ac679 +ddcd7943 +6ab7a082 +45001fb8 +35d8def6 +1a6f61cc +d4695c6a +fbdee350 +8b06221e +a4b19d24 +c6a405e1 +e913badb +99cb7b95 +b67cc4af +787af909 +57cd4633 +2715877d +08a23847 +bfd8e186 +906f5ebc +e0b79ff2 +cf0020c8 +01061d6e +2eb1a254 +5e69631a +71dedc20 +345dcd2f +1bea7215 +6b32b35b +44850c61 +8a8331c7 +a5348efd +d5ec4fb3 +fa5bf089 +4d212948 +62969672 +124e573c +3df9e806 +f3ffd5a0 +dc486a9a +ac90abd4 +832714ee +279689ca +082136f0 +78f9f7be +574e4884 +99487522 +b6ffca18 +c6270b56 +e990b46c +5eea6dad +715dd297 +018513d9 +2e32ace3 +e0349145 +cf832e7f +bf5bef31 +90ec500b +d56f4104 +fad8fe3e +8a003f70 +a5b7804a +6bb1bdec +440602d6 +34dec398 +1b697ca2 +ac13a563 +83a41a59 +f37cdb17 +dccb642d +12cd598b +3d7ae6b1 +4da227ff +621598c5 +89891675 +a63ea94f +d6e66801 +f951d73b +3757ea9d +18e055a7 +683894e9 +478f2bd3 +f0f5f212 +df424d28 +af9a8c66 +802d335c +4e2b0efa +619cb1c0 +1144708e +3ef3cfb4 +7b70debb +54c76181 +241fa0cf +0ba81ff5 +c5ae2253 +ea199d69 +9ac15c27 +b576e31d +020c3adc +2dbb85e6 +5d6344a8 +72d4fb92 +bcd2c634 +9365790e +e3bdb840 +cc0a077a +68bb9a5e +470c2564 +37d4e42a +18635b10 +d66566b6 +f9d2d98c +890a18c2 +a6bda7f8 +11c77e39 +3e70c103 +4ea8004d +611fbf77 +af1982d1 +80ae3deb +f076fca5 +dfc1439f +9a425290 +b5f5edaa +c52d2ce4 +ea9a93de +249cae78 +0b2b1142 +7bf3d00c +54446f36 +e33eb6f7 +cc8909cd +bc51c883 +93e677b9 +5de04a1f +7257f525 +028f346b +2d388b51 +4f2d1394 +609aacae +10426de0 +3ff5d2da +f1f3ef7c +de445046 +ae9c9108 +812b2e32 +3651f7f3 +19e648c9 +693e8987 +468936bd +888f0b1b +a738b421 +d7e0756f +f857ca55 +bdd4db5a +92636460 +e2bba52e +cd0c1a14 +030a27b2 +2cbd9888 +5c6559c6 +73d2e6fc +c4a83f3d +eb1f8007 +9bc74149 +b470fe73 +7a76c3d5 +55c17cef +2519bda1 +0aae029b +ae1f9fbf +81a82085 +f170e1cb +dec75ef1 +10c16357 +3f76dc6d +4fae1d23 +6019a219 +d7637bd8 +f8d4c4e2 +880c05ac +a7bbba96 +69bd8730 +460a380a +36d2f944 +1965467e +5ce65771 +7351e84b +03892905 +2c3e963f +e238ab99 +cd8f14a3 +bd57d5ed +92e06ad7 +259ab316 +0a2d0c2c +7af5cd62 +55427258 +9b444ffe +b4f3f0c4 +c42b318a +eb9c8eb0 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_2.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_2.mem new file mode 100644 index 0000000000..14e29c32a6 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_2.mem @@ -0,0 +1,256 @@ +00000000 +00010000 +00020000 +00030000 +00040000 +00050000 +00060000 +00070000 +00080000 +00090000 +000a0000 +000b0000 +000c0000 +000d0000 +000e0000 +000f0000 +00100000 +00110000 +00120000 +00130000 +00140000 +00150000 +00160000 +00170000 +00180000 +00190000 +001a0000 +001b0000 +001c0000 +001d0000 +001e0000 +001f0000 +00200000 +00210000 +00220000 +00230000 +00240000 +00250000 +00260000 +00270000 +00280000 +00290000 +002a0000 +002b0000 +002c0000 +002d0000 +002e0000 +002f0000 +00300000 +00310000 +00320000 +00330000 +00340000 +00350000 +00360000 +00370000 +00380000 +00390000 +003a0000 +003b0000 +003c0000 +003d0000 +003e0000 +003f0000 +00400000 +00410000 +00420000 +00430000 +00440000 +00450000 +00460000 +00470000 +00480000 +00490000 +004a0000 +004b0000 +004c0000 +004d0000 +004e0000 +004f0000 +00500000 +00510000 +00520000 +00530000 +00540000 +00550000 +00560000 +00570000 +00580000 +00590000 +005a0000 +005b0000 +005c0000 +005d0000 +005e0000 +005f0000 +00600000 +00610000 +00620000 +00630000 +00640000 +00650000 +00660000 +00670000 +00680000 +00690000 +006a0000 +006b0000 +006c0000 +006d0000 +006e0000 +006f0000 +00700000 +00710000 +00720000 +00730000 +00740000 +00750000 +00760000 +00770000 +00780000 +00790000 +007a0000 +007b0000 +007c0000 +007d0000 +007e0000 +007f0000 +00800000 +00810000 +00820000 +00830000 +00840000 +00850000 +00860000 +00870000 +00880000 +00890000 +008a0000 +008b0000 +008c0000 +008d0000 +008e0000 +008f0000 +00900000 +00910000 +00920000 +00930000 +00940000 +00950000 +00960000 +00970000 +00980000 +00990000 +009a0000 +009b0000 +009c0000 +009d0000 +009e0000 +009f0000 +00a00000 +00a10000 +00a20000 +00a30000 +00a40000 +00a50000 +00a60000 +00a70000 +00a80000 +00a90000 +00aa0000 +00ab0000 +00ac0000 +00ad0000 +00ae0000 +00af0000 +00b00000 +00b10000 +00b20000 +00b30000 +00b40000 +00b50000 +00b60000 +00b70000 +00b80000 +00b90000 +00ba0000 +00bb0000 +00bc0000 +00bd0000 +00be0000 +00bf0000 +00c00000 +00c10000 +00c20000 +00c30000 +00c40000 +00c50000 +00c60000 +00c70000 +00c80000 +00c90000 +00ca0000 +00cb0000 +00cc0000 +00cd0000 +00ce0000 +00cf0000 +00d00000 +00d10000 +00d20000 +00d30000 +00d40000 +00d50000 +00d60000 +00d70000 +00d80000 +00d90000 +00da0000 +00db0000 +00dc0000 +00dd0000 +00de0000 +00df0000 +00e00000 +00e10000 +00e20000 +00e30000 +00e40000 +00e50000 +00e60000 +00e70000 +00e80000 +00e90000 +00ea0000 +00eb0000 +00ec0000 +00ed0000 +00ee0000 +00ef0000 +00f00000 +00f10000 +00f20000 +00f30000 +00f40000 +00f50000 +00f60000 +00f70000 +00f80000 +00f90000 +00fa0000 +00fb0000 +00fc0000 +00fd0000 +00fe0000 +00ff0000 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_20.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_20.mem new file mode 100644 index 0000000000..ddf99f6225 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_20.mem @@ -0,0 +1,256 @@ +00000000 +17d3315d +2fa662ba +387553e7 +5f4cc574 +489ff429 +70eaa7ce +67399693 +be998ae8 +a94abbb5 +913fe852 +86ecd90f +e1d54f9c +f6067ec1 +ce732d26 +d9a01c7b +79f20867 +6e21393a +56546add +41875b80 +26becd13 +316dfc4e +0918afa9 +1ecb9ef4 +c76b828f +d0b8b3d2 +e8cde035 +ff1ed168 +982747fb +8ff476a6 +b7812541 +a052141c +f3e410ce +e4372193 +dc427274 +cb914329 +aca8d5ba +bb7be4e7 +830eb700 +94dd865d +4d7d9a26 +5aaeab7b +62dbf89c +7508c9c1 +12315f52 +05e26e0f +3d973de8 +2a440cb5 +8a1618a9 +9dc529f4 +a5b07a13 +b2634b4e +d55adddd +c289ec80 +fafcbf67 +ed2f8e3a +348f9241 +235ca31c +1b29f0fb +0cfac1a6 +6bc35735 +7c106668 +4465358f +53b604d2 +e3093c2b +f4da0d76 +ccaf5e91 +db7c6fcc +bc45f95f +ab96c802 +93e39be5 +8430aab8 +5d90b6c3 +4a43879e +7236d479 +65e5e524 +02dc73b7 +150f42ea +2d7a110d +3aa92050 +9afb344c +8d280511 +b55d56f6 +a28e67ab +c5b7f138 +d264c065 +ea119382 +fdc2a2df +2462bea4 +33b18ff9 +0bc4dc1e +1c17ed43 +7b2e7bd0 +6cfd4a8d +5488196a +435b2837 +10ed2ce5 +073e1db8 +3f4b4e5f +28987f02 +4fa1e991 +5872d8cc +60078b2b +77d4ba76 +ae74a60d +b9a79750 +81d2c4b7 +9601f5ea +f1386379 +e6eb5224 +de9e01c3 +c94d309e +691f2482 +7ecc15df +46b94638 +516a7765 +3653e1f6 +2180d0ab +19f5834c +0e26b211 +d786ae6a +c0559f37 +f820ccd0 +eff3fd8d +88ca6b1e +9f195a43 +a76c09a4 +b0bf38f9 +c2d365e1 +d50054bc +ed75075b +faa63606 +9d9fa095 +8a4c91c8 +b239c22f +a5eaf372 +7c4aef09 +6b99de54 +53ec8db3 +443fbcee +23062a7d +34d51b20 +0ca048c7 +1b73799a +bb216d86 +acf25cdb +94870f3c +83543e61 +e46da8f2 +f3be99af +cbcbca48 +dc18fb15 +05b8e76e +126bd633 +2a1e85d4 +3dcdb489 +5af4221a +4d271347 +755240a0 +628171fd +3137752f +26e44472 +1e911795 +094226c8 +6e7bb05b +79a88106 +41ddd2e1 +560ee3bc +8faeffc7 +987dce9a +a0089d7d +b7dbac20 +d0e23ab3 +c7310bee +ff445809 +e8976954 +48c57d48 +5f164c15 +67631ff2 +70b02eaf +1789b83c +005a8961 +382fda86 +2ffcebdb +f65cf7a0 +e18fc6fd +d9fa951a +ce29a447 +a91032d4 +bec30389 +86b6506e +91656133 +21da59ca +36096897 +0e7c3b70 +19af0a2d +7e969cbe +6945ade3 +5130fe04 +46e3cf59 +9f43d322 +8890e27f +b0e5b198 +a73680c5 +c00f1656 +d7dc270b +efa974ec +f87a45b1 +582851ad +4ffb60f0 +778e3317 +605d024a +076494d9 +10b7a584 +28c2f663 +3f11c73e +e6b1db45 +f162ea18 +c917b9ff +dec488a2 +b9fd1e31 +ae2e2f6c +965b7c8b +81884dd6 +d23e4904 +c5ed7859 +fd982bbe +ea4b1ae3 +8d728c70 +9aa1bd2d +a2d4eeca +b507df97 +6ca7c3ec +7b74f2b1 +4301a156 +54d2900b +33eb0698 +243837c5 +1c4d6422 +0b9e557f +abcc4163 +bc1f703e +846a23d9 +93b91284 +f4808417 +e353b54a +db26e6ad +ccf5d7f0 +1555cb8b +0286fad6 +3af3a931 +2d20986c +4a190eff +5dca3fa2 +65bf6c45 +726c5d18 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_21.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_21.mem new file mode 100644 index 0000000000..776c23bf78 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_21.mem @@ -0,0 +1,256 @@ +00000000 +8167d675 +060eb15d +87696728 +0c1d62ba +8d7ab4cf +0a13d3e7 +8b740592 +183ac574 +995d1301 +1e347429 +9f53a25c +1427a7ce +954071bb +12291693 +934ec0e6 +30758ae8 +b1125c9d +367b3bb5 +b71cedc0 +3c68e852 +bd0f3e27 +3a66590f +bb018f7a +284f4f9c +a92899e9 +2e41fec1 +af2628b4 +24522d26 +a535fb53 +225c9c7b +a33b4a0e +60eb15d0 +e18cc3a5 +66e5a48d +e78272f8 +6cf6776a +ed91a11f +6af8c637 +eb9f1042 +78d1d0a4 +f9b606d1 +7edf61f9 +ffb8b78c +74ccb21e +f5ab646b +72c20343 +f3a5d536 +509e9f38 +d1f9494d +56902e65 +d7f7f810 +5c83fd82 +dde42bf7 +5a8d4cdf +dbea9aaa +48a45a4c +c9c38c39 +4eaaeb11 +cfcd3d64 +44b938f6 +c5deee83 +42b789ab +c3d05fde +c1d62ba0 +40b1fdd5 +c7d89afd +46bf4c88 +cdcb491a +4cac9f6f +cbc5f847 +4aa22e32 +d9eceed4 +588b38a1 +dfe25f89 +5e8589fc +d5f18c6e +54965a1b +d3ff3d33 +5298eb46 +f1a3a148 +70c4773d +f7ad1015 +76cac660 +fdbec3f2 +7cd91587 +fbb072af +7ad7a4da +e999643c +68feb249 +ef97d561 +6ef00314 +e5840686 +64e3d0f3 +e38ab7db +62ed61ae +a13d3e70 +205ae805 +a7338f2d +26545958 +ad205cca +2c478abf +ab2eed97 +2a493be2 +b907fb04 +38602d71 +bf094a59 +3e6e9c2c +b51a99be +347d4fcb +b31428e3 +3273fe96 +9148b498 +102f62ed +974605c5 +1621d3b0 +9d55d622 +1c320057 +9b5b677f +1a3cb10a +897271ec +0815a799 +8f7cc0b1 +0e1b16c4 +856f1356 +0408c523 +8361a20b +0206747e +876d4af7 +060a9c82 +8163fbaa +00042ddf +8b70284d +0a17fe38 +8d7e9910 +0c194f65 +9f578f83 +1e3059f6 +99593ede +183ee8ab +934aed39 +122d3b4c +95445c64 +14238a11 +b718c01f +367f166a +b1167142 +3071a737 +bb05a2a5 +3a6274d0 +bd0b13f8 +3c6cc58d +af22056b +2e45d31e +a92cb436 +284b6243 +a33f67d1 +2258b1a4 +a531d68c +245600f9 +e7865f27 +66e18952 +e188ee7a +60ef380f +eb9b3d9d +6afcebe8 +ed958cc0 +6cf25ab5 +ffbc9a53 +7edb4c26 +f9b22b0e +78d5fd7b +f3a1f8e9 +72c62e9c +f5af49b4 +74c89fc1 +d7f3d5cf +569403ba +d1fd6492 +509ab2e7 +dbeeb775 +5a896100 +dde00628 +5c87d05d +cfc910bb +4eaec6ce +c9c7a1e6 +48a07793 +c3d47201 +42b3a474 +c5dac35c +44bd1529 +46bb6157 +c7dcb722 +40b5d00a +c1d2067f +4aa603ed +cbc1d598 +4ca8b2b0 +cdcf64c5 +5e81a423 +dfe67256 +588f157e +d9e8c30b +529cc699 +d3fb10ec +549277c4 +d5f5a1b1 +76ceebbf +f7a93dca +70c05ae2 +f1a78c97 +7ad38905 +fbb45f70 +7cdd3858 +fdbaee2d +6ef42ecb +ef93f8be +68fa9f96 +e99d49e3 +62e94c71 +e38e9a04 +64e7fd2c +e5802b59 +26507487 +a737a2f2 +205ec5da +a13913af +2a4d163d +ab2ac048 +2c43a760 +ad247115 +3e6ab1f3 +bf0d6786 +386400ae +b903d6db +3277d349 +b310053c +34796214 +b51eb461 +1625fe6f +9742281a +102b4f32 +914c9947 +1a389cd5 +9b5f4aa0 +1c362d88 +9d51fbfd +0e1f3b1b +8f78ed6e +08118a46 +89765c33 +020259a1 +83658fd4 +040ce8fc +856b3e89 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_22.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_22.mem new file mode 100644 index 0000000000..08991b560c --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_22.mem @@ -0,0 +1,256 @@ +00000000 +0a1b8859 +143710b2 +1e2c98eb +286e2164 +2275a93d +3c5931d6 +3642b98f +50dc42c8 +5ac7ca91 +44eb527a +4ef0da23 +78b263ac +72a9ebf5 +6c85731e +669efb47 +a1b88590 +aba30dc9 +b58f9522 +bf941d7b +89d6a4f4 +83cd2cad +9de1b446 +97fa3c1f +f164c758 +fb7f4f01 +e553d7ea +ef485fb3 +d90ae63c +d3116e65 +cd3df68e +c7267ed7 +47b01697 +4dab9ece +53870625 +599c8e7c +6fde37f3 +65c5bfaa +7be92741 +71f2af18 +176c545f +1d77dc06 +035b44ed +0940ccb4 +3f02753b +3519fd62 +2b356589 +212eedd0 +e6089307 +ec131b5e +f23f83b5 +f8240bec +ce66b263 +c47d3a3a +da51a2d1 +d04a2a88 +b6d4d1cf +bccf5996 +a2e3c17d +a8f84924 +9ebaf0ab +94a178f2 +8a8de019 +80966840 +8f602d2e +857ba577 +9b573d9c +914cb5c5 +a70e0c4a +ad158413 +b3391cf8 +b92294a1 +dfbc6fe6 +d5a7e7bf +cb8b7f54 +c190f70d +f7d24e82 +fdc9c6db +e3e55e30 +e9fed669 +2ed8a8be +24c320e7 +3aefb80c +30f43055 +06b689da +0cad0183 +12819968 +189a1131 +7e04ea76 +741f622f +6a33fac4 +6028729d +566acb12 +5c71434b +425ddba0 +484653f9 +c8d03bb9 +c2cbb3e0 +dce72b0b +d6fca352 +e0be1add +eaa59284 +f4890a6f +fe928236 +980c7971 +9217f128 +8c3b69c3 +8620e19a +b0625815 +ba79d04c +a45548a7 +ae4ec0fe +6968be29 +63733670 +7d5fae9b +774426c2 +41069f4d +4b1d1714 +55318fff +5f2a07a6 +39b4fce1 +33af74b8 +2d83ec53 +2798640a +11dadd85 +1bc155dc +05edcd37 +0ff6456e +1a0147eb +101acfb2 +0e365759 +042ddf00 +326f668f +3874eed6 +2658763d +2c43fe64 +4add0523 +40c68d7a +5eea1591 +54f19dc8 +62b32447 +68a8ac1e +768434f5 +7c9fbcac +bbb9c27b +b1a24a22 +af8ed2c9 +a5955a90 +93d7e31f +99cc6b46 +87e0f3ad +8dfb7bf4 +eb6580b3 +e17e08ea +ff529001 +f5491858 +c30ba1d7 +c910298e +d73cb165 +dd27393c +5db1517c +57aad925 +498641ce +439dc997 +75df7018 +7fc4f841 +61e860aa +6bf3e8f3 +0d6d13b4 +07769bed +195a0306 +13418b5f +250332d0 +2f18ba89 +31342262 +3b2faa3b +fc09d4ec +f6125cb5 +e83ec45e +e2254c07 +d467f588 +de7c7dd1 +c050e53a +ca4b6d63 +acd59624 +a6ce1e7d +b8e28696 +b2f90ecf +84bbb740 +8ea03f19 +908ca7f2 +9a972fab +95616ac5 +9f7ae29c +81567a77 +8b4df22e +bd0f4ba1 +b714c3f8 +a9385b13 +a323d34a +c5bd280d +cfa6a054 +d18a38bf +db91b0e6 +edd30969 +e7c88130 +f9e419db +f3ff9182 +34d9ef55 +3ec2670c +20eeffe7 +2af577be +1cb7ce31 +16ac4668 +0880de83 +029b56da +6405ad9d +6e1e25c4 +7032bd2f +7a293576 +4c6b8cf9 +467004a0 +585c9c4b +52471412 +d2d17c52 +d8caf40b +c6e66ce0 +ccfde4b9 +fabf5d36 +f0a4d56f +ee884d84 +e493c5dd +820d3e9a +8816b6c3 +963a2e28 +9c21a671 +aa631ffe +a07897a7 +be540f4c +b44f8715 +7369f9c2 +7972719b +675ee970 +6d456129 +5b07d8a6 +511c50ff +4f30c814 +452b404d +23b5bb0a +29ae3353 +3782abb8 +3d9923e1 +0bdb9a6e +01c01237 +1fec8adc +15f70285 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_23.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_23.mem new file mode 100644 index 0000000000..1c3fd206d9 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_23.mem @@ -0,0 +1,256 @@ +00000000 +34028fd6 +68051fac +5c07907a +d00a3f58 +e408b08e +b80f20f4 +8c0daf22 +a4d56307 +90d7ecd1 +ccd07cab +f8d2f37d +74df5c5f +40ddd389 +1cda43f3 +28d8cc25 +4d6bdbb9 +7969546f +256ec415 +116c4bc3 +9d61e4e1 +a9636b37 +f564fb4d +c166749b +e9beb8be +ddbc3768 +81bba712 +b5b928c4 +39b487e6 +0db60830 +51b1984a +65b3179c +9ad7b772 +aed538a4 +f2d2a8de +c6d02708 +4add882a +7edf07fc +22d89786 +16da1850 +3e02d475 +0a005ba3 +5607cbd9 +6205440f +ee08eb2d +da0a64fb +860df481 +b20f7b57 +d7bc6ccb +e3bee31d +bfb97367 +8bbbfcb1 +07b65393 +33b4dc45 +6fb34c3f +5bb1c3e9 +73690fcc +476b801a +1b6c1060 +2f6e9fb6 +a3633094 +9761bf42 +cb662f38 +ff64a0ee +316e7353 +056cfc85 +596b6cff +6d69e329 +e1644c0b +d566c3dd +896153a7 +bd63dc71 +95bb1054 +a1b99f82 +fdbe0ff8 +c9bc802e +45b12f0c +71b3a0da +2db430a0 +19b6bf76 +7c05a8ea +4807273c +1400b746 +20023890 +ac0f97b2 +980d1864 +c40a881e +f00807c8 +d8d0cbed +ecd2443b +b0d5d441 +84d75b97 +08daf4b5 +3cd87b63 +60dfeb19 +54dd64cf +abb9c421 +9fbb4bf7 +c3bcdb8d +f7be545b +7bb3fb79 +4fb174af +13b6e4d5 +27b46b03 +0f6ca726 +3b6e28f0 +6769b88a +536b375c +df66987e +eb6417a8 +b76387d2 +83610804 +e6d21f98 +d2d0904e +8ed70034 +bad58fe2 +36d820c0 +02daaf16 +5edd3f6c +6adfb0ba +42077c9f +7605f349 +2a026333 +1e00ece5 +920d43c7 +a60fcc11 +fa085c6b +ce0ad3bd +62dce6a6 +56de6970 +0ad9f90a +3edb76dc +b2d6d9fe +86d45628 +dad3c652 +eed14984 +c60985a1 +f20b0a77 +ae0c9a0d +9a0e15db +1603baf9 +2201352f +7e06a555 +4a042a83 +2fb73d1f +1bb5b2c9 +47b222b3 +73b0ad65 +ffbd0247 +cbbf8d91 +97b81deb +a3ba923d +8b625e18 +bf60d1ce +e36741b4 +d765ce62 +5b686140 +6f6aee96 +336d7eec +076ff13a +f80b51d4 +cc09de02 +900e4e78 +a40cc1ae +28016e8c +1c03e15a +40047120 +7406fef6 +5cde32d3 +68dcbd05 +34db2d7f +00d9a2a9 +8cd40d8b +b8d6825d +e4d11227 +d0d39df1 +b5608a6d +816205bb +dd6595c1 +e9671a17 +656ab535 +51683ae3 +0d6faa99 +396d254f +11b5e96a +25b766bc +79b0f6c6 +4db27910 +c1bfd632 +f5bd59e4 +a9bac99e +9db84648 +53b295f5 +67b01a23 +3bb78a59 +0fb5058f +83b8aaad +b7ba257b +ebbdb501 +dfbf3ad7 +f767f6f2 +c3657924 +9f62e95e +ab606688 +276dc9aa +136f467c +4f68d606 +7b6a59d0 +1ed94e4c +2adbc19a +76dc51e0 +42dede36 +ced37114 +fad1fec2 +a6d66eb8 +92d4e16e +ba0c2d4b +8e0ea29d +d20932e7 +e60bbd31 +6a061213 +5e049dc5 +02030dbf +36018269 +c9652287 +fd67ad51 +a1603d2b +9562b2fd +196f1ddf +2d6d9209 +716a0273 +45688da5 +6db04180 +59b2ce56 +05b55e2c +31b7d1fa +bdba7ed8 +89b8f10e +d5bf6174 +e1bdeea2 +840ef93e +b00c76e8 +ec0be692 +d8096944 +5404c666 +600649b0 +3c01d9ca +0803561c +20db9a39 +14d915ef +48de8595 +7cdc0a43 +f0d1a561 +c4d32ab7 +98d4bacd +acd6351b diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_24.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_24.mem new file mode 100644 index 0000000000..cc5f4ab364 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_24.mem @@ -0,0 +1,256 @@ +00000000 +c5b9cd4c +8fb2872f +4a0b4a63 +1ba413e9 +de1ddea5 +941694c6 +51af598a +374827d2 +f2f1ea9e +b8faa0fd +7d436db1 +2cec343b +e955f977 +a35eb314 +66e77e58 +6e904fa4 +ab2982e8 +e122c88b +249b05c7 +75345c4d +b08d9101 +fa86db62 +3f3f162e +59d86876 +9c61a53a +d66aef59 +13d32215 +427c7b9f +87c5b6d3 +cdcefcb0 +087731fc +dd209f48 +18995204 +52921867 +972bd52b +c6848ca1 +033d41ed +49360b8e +8c8fc6c2 +ea68b89a +2fd175d6 +65da3fb5 +a063f2f9 +f1ccab73 +3475663f +7e7e2c5c +bbc7e110 +b3b0d0ec +76091da0 +3c0257c3 +f9bb9a8f +a814c305 +6dad0e49 +27a6442a +e21f8966 +84f8f73e +41413a72 +0b4a7011 +cef3bd5d +9f5ce4d7 +5ae5299b +10ee63f8 +d557aeb4 +be802327 +7b39ee6b +3132a408 +f48b6944 +a52430ce +609dfd82 +2a96b7e1 +ef2f7aad +89c804f5 +4c71c9b9 +067a83da +c3c34e96 +926c171c +57d5da50 +1dde9033 +d8675d7f +d0106c83 +15a9a1cf +5fa2ebac +9a1b26e0 +cbb47f6a +0e0db226 +4406f845 +81bf3509 +e7584b51 +22e1861d +68eacc7e +ad530132 +fcfc58b8 +394595f4 +734edf97 +b6f712db +63a0bc6f +a6197123 +ec123b40 +29abf60c +7804af86 +bdbd62ca +f7b628a9 +320fe5e5 +54e89bbd +915156f1 +db5a1c92 +1ee3d1de +4f4c8854 +8af54518 +c0fe0f7b +0547c237 +0d30f3cb +c8893e87 +828274e4 +473bb9a8 +1694e022 +d32d2d6e +9926670d +5c9faa41 +3a78d419 +ffc11955 +b5ca5336 +70739e7a +21dcc7f0 +e4650abc +ae6e40df +6bd78d93 +79c15bf9 +bc7896b5 +f673dcd6 +33ca119a +62654810 +a7dc855c +edd7cf3f +286e0273 +4e897c2b +8b30b167 +c13bfb04 +04823648 +552d6fc2 +9094a28e +da9fe8ed +1f2625a1 +1751145d +d2e8d911 +98e39372 +5d5a5e3e +0cf507b4 +c94ccaf8 +8347809b +46fe4dd7 +2019338f +e5a0fec3 +afabb4a0 +6a1279ec +3bbd2066 +fe04ed2a +b40fa749 +71b66a05 +a4e1c4b1 +615809fd +2b53439e +eeea8ed2 +bf45d758 +7afc1a14 +30f75077 +f54e9d3b +93a9e363 +56102e2f +1c1b644c +d9a2a900 +880df08a +4db43dc6 +07bf77a5 +c206bae9 +ca718b15 +0fc84659 +45c30c3a +807ac176 +d1d598fc +146c55b0 +5e671fd3 +9bded29f +fd39acc7 +3880618b +728b2be8 +b732e6a4 +e69dbf2e +23247262 +692f3801 +ac96f54d +c74178de +02f8b592 +48f3fff1 +8d4a32bd +dce56b37 +195ca67b +5357ec18 +96ee2154 +f0095f0c +35b09240 +7fbbd823 +ba02156f +ebad4ce5 +2e1481a9 +641fcbca +a1a60686 +a9d1377a +6c68fa36 +2663b055 +e3da7d19 +b2752493 +77cce9df +3dc7a3bc +f87e6ef0 +9e9910a8 +5b20dde4 +112b9787 +d4925acb +853d0341 +4084ce0d +0a8f846e +cf364922 +1a61e796 +dfd82ada +95d360b9 +506aadf5 +01c5f47f +c47c3933 +8e777350 +4bcebe1c +2d29c044 +e8900d08 +a29b476b +67228a27 +368dd3ad +f3341ee1 +b93f5482 +7c8699ce +74f1a832 +b148657e +fb432f1d +3efae251 +6f55bbdb +aaec7697 +e0e73cf4 +255ef1b8 +43b98fe0 +860042ac +cc0b08cf +09b2c583 +581d9c09 +9da45145 +d7af1b26 +1216d66a diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_25.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_25.mem new file mode 100644 index 0000000000..6f0b7d9c66 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_25.mem @@ -0,0 +1,256 @@ +00000000 +f382b7f2 +e3c47253 +1046c5a1 +c349f911 +30cb4ee3 +208d8b42 +d30f3cb0 +8252ef95 +71d05867 +61969dc6 +92142a34 +411b1684 +b299a176 +a2df64d7 +515dd325 +0064c29d +f3e6756f +e3a0b0ce +1022073c +c32d3b8c +30af8c7e +20e949df +d36bfe2d +82362d08 +71b49afa +61f25f5b +9270e8a9 +417fd419 +b2fd63eb +a2bba64a +513911b8 +00c9853a +f34b32c8 +e30df769 +108f409b +c3807c2b +3002cbd9 +20440e78 +d3c6b98a +829b6aaf +7119dd5d +615f18fc +92ddaf0e +41d293be +b250244c +a216e1ed +5194561f +00ad47a7 +f32ff055 +e36935f4 +10eb8206 +c3e4beb6 +30660944 +2020cce5 +d3a27b17 +82ffa832 +717d1fc0 +613bda61 +92b96d93 +41b65123 +b234e6d1 +a2722370 +51f09482 +01930a74 +f211bd86 +e2577827 +11d5cfd5 +c2daf365 +31584497 +211e8136 +d29c36c4 +83c1e5e1 +70435213 +600597b2 +93872040 +40881cf0 +b30aab02 +a34c6ea3 +50ced951 +01f7c8e9 +f2757f1b +e233baba +11b10d48 +c2be31f8 +313c860a +217a43ab +d2f8f459 +83a5277c +7027908e +6061552f +93e3e2dd +40ecde6d +b36e699f +a328ac3e +50aa1bcc +015a8f4e +f2d838bc +e29efd1d +111c4aef +c213765f +3191c1ad +21d7040c +d255b3fe +830860db +708ad729 +60cc1288 +934ea57a +404199ca +b3c32e38 +a385eb99 +50075c6b +013e4dd3 +f2bcfa21 +e2fa3f80 +11788872 +c277b4c2 +31f50330 +21b3c691 +d2317163 +836ca246 +70ee15b4 +60a8d015 +932a67e7 +40255b57 +b3a7eca5 +a3e12904 +50639ef6 +032614e8 +f0a4a31a +e0e266bb +1360d149 +c06fedf9 +33ed5a0b +23ab9faa +d0292858 +8174fb7d +72f64c8f +62b0892e +91323edc +423d026c +b1bfb59e +a1f9703f +527bc7cd +0342d675 +f0c06187 +e086a426 +130413d4 +c00b2f64 +33899896 +23cf5d37 +d04deac5 +811039e0 +72928e12 +62d44bb3 +9156fc41 +4259c0f1 +b1db7703 +a19db2a2 +521f0550 +03ef91d2 +f06d2620 +e02be381 +13a95473 +c0a668c3 +3324df31 +23621a90 +d0e0ad62 +81bd7e47 +723fc9b5 +62790c14 +91fbbbe6 +42f48756 +b17630a4 +a130f505 +52b242f7 +038b534f +f009e4bd +e04f211c +13cd96ee +c0c2aa5e +33401dac +2306d80d +d0846fff +81d9bcda +725b0b28 +621dce89 +919f797b +429045cb +b112f239 +a1543798 +52d6806a +02b51e9c +f137a96e +e1716ccf +12f3db3d +c1fce78d +327e507f +223895de +d1ba222c +80e7f109 +736546fb +6323835a +90a134a8 +43ae0818 +b02cbfea +a06a7a4b +53e8cdb9 +02d1dc01 +f1536bf3 +e115ae52 +129719a0 +c1982510 +321a92e2 +225c5743 +d1dee0b1 +80833394 +73018466 +634741c7 +90c5f635 +43caca85 +b0487d77 +a00eb8d6 +538c0f24 +027c9ba6 +f1fe2c54 +e1b8e9f5 +123a5e07 +c13562b7 +32b7d545 +22f110e4 +d173a716 +802e7433 +73acc3c1 +63ea0660 +9068b192 +43678d22 +b0e53ad0 +a0a3ff71 +53214883 +0218593b +f19aeec9 +e1dc2b68 +125e9c9a +c151a02a +32d317d8 +2295d279 +d117658b +804ab6ae +73c8015c +638ec4fd +900c730f +43034fbf +b081f84d +a0c73dec +53458a1e diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_26.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_26.mem new file mode 100644 index 0000000000..4a84f9ad7f --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_26.mem @@ -0,0 +1,256 @@ +00000000 +064c29d0 +0c9853a0 +0ad47a70 +1930a740 +1f7c8e90 +15a8f4e0 +13e4dd30 +32614e80 +342d6750 +3ef91d20 +38b534f0 +2b51e9c0 +2d1dc010 +27c9ba60 +218593b0 +64c29d00 +628eb4d0 +685acea0 +6e16e770 +7df23a40 +7bbe1390 +716a69e0 +77264030 +56a3d380 +50effa50 +5a3b8020 +5c77a9f0 +4f9374c0 +49df5d10 +430b2760 +45470eb0 +c9853a00 +cfc913d0 +c51d69a0 +c3514070 +d0b59d40 +d6f9b490 +dc2dcee0 +da61e730 +fbe47480 +fda85d50 +f77c2720 +f1300ef0 +e2d4d3c0 +e498fa10 +ee4c8060 +e800a9b0 +ad47a700 +ab0b8ed0 +a1dff4a0 +a793dd70 +b4770040 +b23b2990 +b8ef53e0 +bea37a30 +9f26e980 +996ac050 +93beba20 +95f293f0 +86164ec0 +805a6710 +8a8e1d60 +8cc234b0 +97cb69b7 +91874067 +9b533a17 +9d1f13c7 +8efbcef7 +88b7e727 +82639d57 +842fb487 +a5aa2737 +a3e60ee7 +a9327497 +af7e5d47 +bc9a8077 +bad6a9a7 +b002d3d7 +b64efa07 +f309f4b7 +f545dd67 +ff91a717 +f9dd8ec7 +ea3953f7 +ec757a27 +e6a10057 +e0ed2987 +c168ba37 +c72493e7 +cdf0e997 +cbbcc047 +d8581d77 +de1434a7 +d4c04ed7 +d28c6707 +5e4e53b7 +58027a67 +52d60017 +549a29c7 +477ef4f7 +4132dd27 +4be6a757 +4daa8e87 +6c2f1d37 +6a6334e7 +60b74e97 +66fb6747 +751fba77 +735393a7 +7987e9d7 +7fcbc007 +3a8cceb7 +3cc0e767 +36149d17 +3058b4c7 +23bc69f7 +25f04027 +2f243a57 +29681387 +08ed8037 +0ea1a9e7 +0475d397 +0239fa47 +11dd2777 +17910ea7 +1d4574d7 +1b095d07 +2b57ced9 +2d1be709 +27cf9d79 +2183b4a9 +32676999 +342b4049 +3eff3a39 +38b313e9 +19368059 +1f7aa989 +15aed3f9 +13e2fa29 +00062719 +064a0ec9 +0c9e74b9 +0ad25d69 +4f9553d9 +49d97a09 +430d0079 +454129a9 +56a5f499 +50e9dd49 +5a3da739 +5c718ee9 +7df41d59 +7bb83489 +716c4ef9 +77206729 +64c4ba19 +628893c9 +685ce9b9 +6e10c069 +e2d2f4d9 +e49edd09 +ee4aa779 +e8068ea9 +fbe25399 +fdae7a49 +f77a0039 +f13629e9 +d0b3ba59 +d6ff9389 +dc2be9f9 +da67c029 +c9831d19 +cfcf34c9 +c51b4eb9 +c3576769 +861069d9 +805c4009 +8a883a79 +8cc413a9 +9f20ce99 +996ce749 +93b89d39 +95f4b4e9 +b4712759 +b23d0e89 +b8e974f9 +bea55d29 +ad418019 +ab0da9c9 +a1d9d3b9 +a795fa69 +bc9ca76e +bad08ebe +b004f4ce +b648dd1e +a5ac002e +a3e029fe +a934538e +af787a5e +8efde9ee +88b1c03e +8265ba4e +8429939e +97cd4eae +9181677e +9b551d0e +9d1934de +d85e3a6e +de1213be +d4c669ce +d28a401e +c16e9d2e +c722b4fe +cdf6ce8e +cbbae75e +ea3f74ee +ec735d3e +e6a7274e +e0eb0e9e +f30fd3ae +f543fa7e +ff97800e +f9dba9de +75199d6e +7355b4be +7981cece +7fcde71e +6c293a2e +6a6513fe +60b1698e +66fd405e +4778d3ee +4134fa3e +4be0804e +4daca99e +5e4874ae +58045d7e +52d0270e +549c0ede +11db006e +179729be +1d4353ce +1b0f7a1e +08eba72e +0ea78efe +0473f48e +023fdd5e +23ba4eee +25f6673e +2f221d4e +296e349e +3a8ae9ae +3cc6c07e +3612ba0e +305e93de diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_27.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_27.mem new file mode 100644 index 0000000000..0d09044bc1 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_27.mem @@ -0,0 +1,256 @@ +00000000 +56af9db2 +ad5f3b64 +fbf0a6d6 +5e7f6b7f +08d0f6cd +f320501b +a58fcda9 +bcfed6fe +ea514b4c +11a1ed9a +470e7028 +e281bd81 +b42e2033 +4fde86e5 +19711b57 +7d3cb04b +2b932df9 +d0638b2f +86cc169d +2343db34 +75ec4686 +8e1ce050 +d8b37de2 +c1c266b5 +976dfb07 +6c9d5dd1 +3a32c063 +9fbd0dca +c9129078 +32e236ae +644dab1c +fa796096 +acd6fd24 +57265bf2 +0189c640 +a4060be9 +f2a9965b +0959308d +5ff6ad3f +4687b668 +10282bda +ebd88d0c +bd7710be +18f8dd17 +4e5740a5 +b5a7e673 +e3087bc1 +8745d0dd +d1ea4d6f +2a1aebb9 +7cb5760b +d93abba2 +8f952610 +746580c6 +22ca1d74 +3bbb0623 +6d149b91 +96e43d47 +c04ba0f5 +65c46d5c +336bf0ee +c89b5638 +9e34cb8a +f033dc9b +a69c4129 +5d6ce7ff +0bc37a4d +ae4cb7e4 +f8e32a56 +03138c80 +55bc1132 +4ccd0a65 +1a6297d7 +e1923101 +b73dacb3 +12b2611a +441dfca8 +bfed5a7e +e942c7cc +8d0f6cd0 +dba0f162 +205057b4 +76ffca06 +d37007af +85df9a1d +7e2f3ccb +2880a179 +31f1ba2e +675e279c +9cae814a +ca011cf8 +6f8ed151 +39214ce3 +c2d1ea35 +947e7787 +0a4abc0d +5ce521bf +a7158769 +f1ba1adb +5435d772 +029a4ac0 +f96aec16 +afc571a4 +b6b46af3 +e01bf741 +1beb5197 +4d44cc25 +e8cb018c +be649c3e +45943ae8 +133ba75a +77760c46 +21d991f4 +da293722 +8c86aa90 +29096739 +7fa6fa8b +84565c5d +d2f9c1ef +cb88dab8 +9d27470a +66d7e1dc +30787c6e +95f7b1c7 +c3582c75 +38a88aa3 +6e071711 +e4a6a481 +b2093933 +49f99fe5 +1f560257 +bad9cffe +ec76524c +1786f49a +41296928 +5858727f +0ef7efcd +f507491b +a3a8d4a9 +06271900 +508884b2 +ab782264 +fdd7bfd6 +999a14ca +cf358978 +34c52fae +626ab21c +c7e57fb5 +914ae207 +6aba44d1 +3c15d963 +2564c234 +73cb5f86 +883bf950 +de9464e2 +7b1ba94b +2db434f9 +d644922f +80eb0f9d +1edfc417 +487059a5 +b380ff73 +e52f62c1 +40a0af68 +160f32da +edff940c +bb5009be +a22112e9 +f48e8f5b +0f7e298d +59d1b43f +fc5e7996 +aaf1e424 +510142f2 +07aedf40 +63e3745c +354ce9ee +cebc4f38 +9813d28a +3d9c1f23 +6b338291 +90c32447 +c66cb9f5 +df1da2a2 +89b23f10 +724299c6 +24ed0474 +8162c9dd +d7cd546f +2c3df2b9 +7a926f0b +1495781a +423ae5a8 +b9ca437e +ef65decc +4aea1365 +1c458ed7 +e7b52801 +b11ab5b3 +a86baee4 +fec43356 +05349580 +539b0832 +f614c59b +a0bb5829 +5b4bfeff +0de4634d +69a9c851 +3f0655e3 +c4f6f335 +92596e87 +37d6a32e +61793e9c +9a89984a +cc2605f8 +d5571eaf +83f8831d +780825cb +2ea7b879 +8b2875d0 +dd87e862 +26774eb4 +70d8d306 +eeec188c +b843853e +43b323e8 +151cbe5a +b09373f3 +e63cee41 +1dcc4897 +4b63d525 +5212ce72 +04bd53c0 +ff4df516 +a9e268a4 +0c6da50d +5ac238bf +a1329e69 +f79d03db +93d0a8c7 +c57f3575 +3e8f93a3 +68200e11 +cdafc3b8 +9b005e0a +60f0f8dc +365f656e +2f2e7e39 +7981e38b +8271455d +d4ded8ef +71511546 +27fe88f4 +dc0e2e22 +8aa1b390 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_28.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_28.mem new file mode 100644 index 0000000000..bbebd64fe5 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_28.mem @@ -0,0 +1,256 @@ +00000000 +cd8c54b5 +9fd9b4dd +5255e068 +3b72740d +f6fe20b8 +a4abc0d0 +69279465 +76e4e81a +bb68bcaf +e93d5cc7 +24b10872 +4d969c17 +801ac8a2 +d24f28ca +1fc37c7f +edc9d034 +20458481 +721064e9 +bf9c305c +d6bba439 +1b37f08c +496210e4 +84ee4451 +9b2d382e +56a16c9b +04f48cf3 +c978d846 +a05f4c23 +6dd31896 +3f86f8fe +f20aac4b +df52bddf +12dee96a +408b0902 +8d075db7 +e420c9d2 +29ac9d67 +7bf97d0f +b67529ba +a9b655c5 +643a0170 +366fe118 +fbe3b5ad +92c421c8 +5f48757d +0d1d9515 +c091c1a0 +329b6deb +ff17395e +ad42d936 +60ce8d83 +09e919e6 +c4654d53 +9630ad3b +5bbcf98e +447f85f1 +89f3d144 +dba6312c +162a6599 +7f0df1fc +b281a549 +e0d44521 +2d581194 +ba646609 +77e832bc +25bdd2d4 +e8318661 +81161204 +4c9a46b1 +1ecfa6d9 +d343f26c +cc808e13 +010cdaa6 +53593ace +9ed56e7b +f7f2fa1e +3a7eaeab +682b4ec3 +a5a71a76 +57adb63d +9a21e288 +c87402e0 +05f85655 +6cdfc230 +a1539685 +f30676ed +3e8a2258 +21495e27 +ecc50a92 +be90eafa +731cbe4f +1a3b2a2a +d7b77e9f +85e29ef7 +486eca42 +6536dbd6 +a8ba8f63 +faef6f0b +37633bbe +5e44afdb +93c8fb6e +c19d1b06 +0c114fb3 +13d233cc +de5e6779 +8c0b8711 +4187d3a4 +28a047c1 +e52c1374 +b779f31c +7af5a7a9 +88ff0be2 +45735f57 +1726bf3f +daaaeb8a +b38d7fef +7e012b5a +2c54cb32 +e1d89f87 +fe1be3f8 +3397b74d +61c25725 +ac4e0390 +c56997f5 +08e5c340 +5ab02328 +973c779d +7009d1a5 +bd858510 +efd06578 +225c31cd +4b7ba5a8 +86f7f11d +d4a21175 +192e45c0 +06ed39bf +cb616d0a +99348d62 +54b8d9d7 +3d9f4db2 +f0131907 +a246f96f +6fcaadda +9dc00191 +504c5524 +0219b54c +cf95e1f9 +a6b2759c +6b3e2129 +396bc141 +f4e795f4 +eb24e98b +26a8bd3e +74fd5d56 +b97109e3 +d0569d86 +1ddac933 +4f8f295b +82037dee +af5b6c7a +62d738cf +3082d8a7 +fd0e8c12 +94291877 +59a54cc2 +0bf0acaa +c67cf81f +d9bf8460 +1433d0d5 +466630bd +8bea6408 +e2cdf06d +2f41a4d8 +7d1444b0 +b0981005 +4292bc4e +8f1ee8fb +dd4b0893 +10c75c26 +79e0c843 +b46c9cf6 +e6397c9e +2bb5282b +34765454 +f9fa00e1 +abafe089 +6623b43c +0f042059 +c28874ec +90dd9484 +5d51c031 +ca6db7ac +07e1e319 +55b40371 +983857c4 +f11fc3a1 +3c939714 +6ec6777c +a34a23c9 +bc895fb6 +71050b03 +2350eb6b +eedcbfde +87fb2bbb +4a777f0e +18229f66 +d5aecbd3 +27a46798 +ea28332d +b87dd345 +75f187f0 +1cd61395 +d15a4720 +830fa748 +4e83f3fd +51408f82 +9cccdb37 +ce993b5f +03156fea +6a32fb8f +a7beaf3a +f5eb4f52 +38671be7 +153f0a73 +d8b35ec6 +8ae6beae +476aea1b +2e4d7e7e +e3c12acb +b194caa3 +7c189e16 +63dbe269 +ae57b6dc +fc0256b4 +318e0201 +58a99664 +9525c2d1 +c77022b9 +0afc760c +f8f6da47 +357a8ef2 +672f6e9a +aaa33a2f +c384ae4a +0e08faff +5c5d1a97 +91d14e22 +8e12325d +439e66e8 +11cb8680 +dc47d235 +b5604650 +78ec12e5 +2ab9f28d +e735a638 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_29.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_29.mem new file mode 100644 index 0000000000..d2c9473014 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_29.mem @@ -0,0 +1,256 @@ +00000000 +e013a34a +c4e65b23 +24f5f869 +8d0dabf1 +6d1e08bb +49ebf0d2 +a9f85398 +1eda4a55 +fec9e91f +da3c1176 +3a2fb23c +93d7e1a4 +73c442ee +5731ba87 +b72219cd +3db494aa +dda737e0 +f952cf89 +19416cc3 +b0b93f5b +50aa9c11 +745f6478 +944cc732 +236edeff +c37d7db5 +e78885dc +079b2696 +ae63750e +4e70d644 +6a852e2d +8a968d67 +7b692954 +9b7a8a1e +bf8f7277 +5f9cd13d +f66482a5 +167721ef +3282d986 +d2917acc +65b36301 +85a0c04b +a1553822 +41469b68 +e8bec8f0 +08ad6bba +2c5893d3 +cc4b3099 +46ddbdfe +a6ce1eb4 +823be6dd +62284597 +cbd0160f +2bc3b545 +0f364d2c +ef25ee66 +5807f7ab +b81454e1 +9ce1ac88 +7cf20fc2 +d50a5c5a +3519ff10 +11ec0779 +f1ffa433 +f6d252a8 +16c1f1e2 +3234098b +d227aac1 +7bdff959 +9bcc5a13 +bf39a27a +5f2a0130 +e80818fd +081bbbb7 +2cee43de +ccfde094 +6505b30c +85161046 +a1e3e82f +41f04b65 +cb66c602 +2b756548 +0f809d21 +ef933e6b +466b6df3 +a678ceb9 +828d36d0 +629e959a +d5bc8c57 +35af2f1d +115ad774 +f149743e +58b127a6 +b8a284ec +9c577c85 +7c44dfcf +8dbb7bfc +6da8d8b6 +495d20df +a94e8395 +00b6d00d +e0a57347 +c4508b2e +24432864 +936131a9 +737292e3 +57876a8a +b794c9c0 +1e6c9a58 +fe7f3912 +da8ac17b +3a996231 +b00fef56 +501c4c1c +74e9b475 +94fa173f +3d0244a7 +dd11e7ed +f9e41f84 +19f7bcce +aed5a503 +4ec60649 +6a33fe20 +8a205d6a +23d80ef2 +c3cbadb8 +e73e55d1 +072df69b +e965b8e7 +09761bad +2d83e3c4 +cd90408e +64681316 +847bb05c +a08e4835 +409deb7f +f7bff2b2 +17ac51f8 +3359a991 +d34a0adb +7ab25943 +9aa1fa09 +be540260 +5e47a12a +d4d12c4d +34c28f07 +1037776e +f024d424 +59dc87bc +b9cf24f6 +9d3adc9f +7d297fd5 +ca0b6618 +2a18c552 +0eed3d3b +eefe9e71 +4706cde9 +a7156ea3 +83e096ca +63f33580 +920c91b3 +721f32f9 +56eaca90 +b6f969da +1f013a42 +ff129908 +dbe76161 +3bf4c22b +8cd6dbe6 +6cc578ac +483080c5 +a823238f +01db7017 +e1c8d35d +c53d2b34 +252e887e +afb80519 +4faba653 +6b5e5e3a +8b4dfd70 +22b5aee8 +c2a60da2 +e653f5cb +06405681 +b1624f4c +5171ec06 +7584146f +9597b725 +3c6fe4bd +dc7c47f7 +f889bf9e +189a1cd4 +1fb7ea4f +ffa44905 +db51b16c +3b421226 +92ba41be +72a9e2f4 +565c1a9d +b64fb9d7 +016da01a +e17e0350 +c58bfb39 +25985873 +8c600beb +6c73a8a1 +488650c8 +a895f382 +22037ee5 +c210ddaf +e6e525c6 +06f6868c +af0ed514 +4f1d765e +6be88e37 +8bfb2d7d +3cd934b0 +dcca97fa +f83f6f93 +182cccd9 +b1d49f41 +51c73c0b +7532c462 +95216728 +64dec31b +84cd6051 +a0389838 +402b3b72 +e9d368ea +09c0cba0 +2d3533c9 +cd269083 +7a04894e +9a172a04 +bee2d26d +5ef17127 +f70922bf +171a81f5 +33ef799c +d3fcdad6 +596a57b1 +b979f4fb +9d8c0c92 +7d9fafd8 +d467fc40 +34745f0a +1081a763 +f0920429 +47b01de4 +a7a3beae +835646c7 +6345e58d +cabdb615 +2aae155f +0e5bed36 +ee484e7c diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_3.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_3.mem new file mode 100644 index 0000000000..260e2078c0 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_3.mem @@ -0,0 +1,256 @@ +00000000 +01000000 +02000000 +03000000 +04000000 +05000000 +06000000 +07000000 +08000000 +09000000 +0a000000 +0b000000 +0c000000 +0d000000 +0e000000 +0f000000 +10000000 +11000000 +12000000 +13000000 +14000000 +15000000 +16000000 +17000000 +18000000 +19000000 +1a000000 +1b000000 +1c000000 +1d000000 +1e000000 +1f000000 +20000000 +21000000 +22000000 +23000000 +24000000 +25000000 +26000000 +27000000 +28000000 +29000000 +2a000000 +2b000000 +2c000000 +2d000000 +2e000000 +2f000000 +30000000 +31000000 +32000000 +33000000 +34000000 +35000000 +36000000 +37000000 +38000000 +39000000 +3a000000 +3b000000 +3c000000 +3d000000 +3e000000 +3f000000 +40000000 +41000000 +42000000 +43000000 +44000000 +45000000 +46000000 +47000000 +48000000 +49000000 +4a000000 +4b000000 +4c000000 +4d000000 +4e000000 +4f000000 +50000000 +51000000 +52000000 +53000000 +54000000 +55000000 +56000000 +57000000 +58000000 +59000000 +5a000000 +5b000000 +5c000000 +5d000000 +5e000000 +5f000000 +60000000 +61000000 +62000000 +63000000 +64000000 +65000000 +66000000 +67000000 +68000000 +69000000 +6a000000 +6b000000 +6c000000 +6d000000 +6e000000 +6f000000 +70000000 +71000000 +72000000 +73000000 +74000000 +75000000 +76000000 +77000000 +78000000 +79000000 +7a000000 +7b000000 +7c000000 +7d000000 +7e000000 +7f000000 +80000000 +81000000 +82000000 +83000000 +84000000 +85000000 +86000000 +87000000 +88000000 +89000000 +8a000000 +8b000000 +8c000000 +8d000000 +8e000000 +8f000000 +90000000 +91000000 +92000000 +93000000 +94000000 +95000000 +96000000 +97000000 +98000000 +99000000 +9a000000 +9b000000 +9c000000 +9d000000 +9e000000 +9f000000 +a0000000 +a1000000 +a2000000 +a3000000 +a4000000 +a5000000 +a6000000 +a7000000 +a8000000 +a9000000 +aa000000 +ab000000 +ac000000 +ad000000 +ae000000 +af000000 +b0000000 +b1000000 +b2000000 +b3000000 +b4000000 +b5000000 +b6000000 +b7000000 +b8000000 +b9000000 +ba000000 +bb000000 +bc000000 +bd000000 +be000000 +bf000000 +c0000000 +c1000000 +c2000000 +c3000000 +c4000000 +c5000000 +c6000000 +c7000000 +c8000000 +c9000000 +ca000000 +cb000000 +cc000000 +cd000000 +ce000000 +cf000000 +d0000000 +d1000000 +d2000000 +d3000000 +d4000000 +d5000000 +d6000000 +d7000000 +d8000000 +d9000000 +da000000 +db000000 +dc000000 +dd000000 +de000000 +df000000 +e0000000 +e1000000 +e2000000 +e3000000 +e4000000 +e5000000 +e6000000 +e7000000 +e8000000 +e9000000 +ea000000 +eb000000 +ec000000 +ed000000 +ee000000 +ef000000 +f0000000 +f1000000 +f2000000 +f3000000 +f4000000 +f5000000 +f6000000 +f7000000 +f8000000 +f9000000 +fa000000 +fb000000 +fc000000 +fd000000 +fe000000 +ff000000 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_30.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_30.mem new file mode 100644 index 0000000000..27f8dcd3da --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_30.mem @@ -0,0 +1,256 @@ +00000000 +d60a6c79 +a8d5c545 +7edfa93c +556a973d +8360fb44 +fdbf5278 +2bb53e01 +aad52e7a +7cdf4203 +0200eb3f +d40a8746 +ffbfb947 +29b5d53e +576a7c02 +8160107b +516b4143 +87612d3a +f9be8406 +2fb4e87f +0401d67e +d20bba07 +acd4133b +7ade7f42 +fbbe6f39 +2db40340 +536baa7c +8561c605 +aed4f804 +78de947d +06013d41 +d00b5138 +a2d68286 +74dceeff +0a0347c3 +dc092bba +f7bc15bb +21b679c2 +5f69d0fe +8963bc87 +0803acfc +de09c085 +a0d669b9 +76dc05c0 +5d693bc1 +8b6357b8 +f5bcfe84 +23b692fd +f3bdc3c5 +25b7afbc +5b680680 +8d626af9 +a6d754f8 +70dd3881 +0e0291bd +d808fdc4 +5968edbf +8f6281c6 +f1bd28fa +27b74483 +0c027a82 +da0816fb +a4d7bfc7 +72ddd3be +416c18bb +976674c2 +e9b9ddfe +3fb3b187 +14068f86 +c20ce3ff +bcd34ac3 +6ad926ba +ebb936c1 +3db35ab8 +436cf384 +95669ffd +bed3a1fc +68d9cd85 +160664b9 +c00c08c0 +100759f8 +c60d3581 +b8d29cbd +6ed8f0c4 +456dcec5 +9367a2bc +edb80b80 +3bb267f9 +bad27782 +6cd81bfb +1207b2c7 +c40ddebe +efb8e0bf +39b28cc6 +476d25fa +91674983 +e3ba9a3d +35b0f644 +4b6f5f78 +9d653301 +b6d00d00 +60da6179 +1e05c845 +c80fa43c +496fb447 +9f65d83e +e1ba7102 +37b01d7b +1c05237a +ca0f4f03 +b4d0e63f +62da8a46 +b2d1db7e +64dbb707 +1a041e3b +cc0e7242 +e7bb4c43 +31b1203a +4f6e8906 +9964e57f +1804f504 +ce0e997d +b0d13041 +66db5c38 +4d6e6239 +9b640e40 +e5bba77c +33b1cb05 +82d83176 +54d25d0f +2a0df433 +fc07984a +d7b2a64b +01b8ca32 +7f67630e +a96d0f77 +280d1f0c +fe077375 +80d8da49 +56d2b630 +7d678831 +ab6de448 +d5b24d74 +03b8210d +d3b37035 +05b91c4c +7b66b570 +ad6cd909 +86d9e708 +50d38b71 +2e0c224d +f8064e34 +79665e4f +af6c3236 +d1b39b0a +07b9f773 +2c0cc972 +fa06a50b +84d90c37 +52d3604e +200eb3f0 +f604df89 +88db76b5 +5ed11acc +756424cd +a36e48b4 +ddb1e188 +0bbb8df1 +8adb9d8a +5cd1f1f3 +220e58cf +f40434b6 +dfb10ab7 +09bb66ce +7764cff2 +a16ea38b +7165f2b3 +a76f9eca +d9b037f6 +0fba5b8f +240f658e +f20509f7 +8cdaa0cb +5ad0ccb2 +dbb0dcc9 +0dbab0b0 +7365198c +a56f75f5 +8eda4bf4 +58d0278d +260f8eb1 +f005e2c8 +c3b429cd +15be45b4 +6b61ec88 +bd6b80f1 +96debef0 +40d4d289 +3e0b7bb5 +e80117cc +696107b7 +bf6b6bce +c1b4c2f2 +17beae8b +3c0b908a +ea01fcf3 +94de55cf +42d439b6 +92df688e +44d504f7 +3a0aadcb +ec00c1b2 +c7b5ffb3 +11bf93ca +6f603af6 +b96a568f +380a46f4 +ee002a8d +90df83b1 +46d5efc8 +6d60d1c9 +bb6abdb0 +c5b5148c +13bf78f5 +6162ab4b +b768c732 +c9b76e0e +1fbd0277 +34083c76 +e202500f +9cddf933 +4ad7954a +cbb78531 +1dbde948 +63624074 +b5682c0d +9edd120c +48d77e75 +3608d749 +e002bb30 +3009ea08 +e6038671 +98dc2f4d +4ed64334 +65637d35 +b369114c +cdb6b870 +1bbcd409 +9adcc472 +4cd6a80b +32090137 +e4036d4e +cfb6534f +19bc3f36 +6763960a +b169fa73 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_31.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_31.mem new file mode 100644 index 0000000000..58b9d4835f --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_31.mem @@ -0,0 +1,256 @@ +00000000 +01717f5b +02e2feb6 +039381ed +05c5fd6c +04b48237 +072703da +06567c81 +0b8bfad8 +0afa8583 +0969046e +08187b35 +0e4e07b4 +0f3f78ef +0cacf902 +0ddd8659 +1717f5b0 +16668aeb +15f50b06 +1484745d +12d208dc +13a37787 +1030f66a +11418931 +1c9c0f68 +1ded7033 +1e7ef1de +1f0f8e85 +1959f204 +18288d5f +1bbb0cb2 +1aca73e9 +2e2feb60 +2f5e943b +2ccd15d6 +2dbc6a8d +2bea160c +2a9b6957 +2908e8ba +287997e1 +25a411b8 +24d56ee3 +2746ef0e +26379055 +2061ecd4 +2110938f +22831262 +23f26d39 +39381ed0 +3849618b +3bdae066 +3aab9f3d +3cfde3bc +3d8c9ce7 +3e1f1d0a +3f6e6251 +32b3e408 +33c29b53 +30511abe +312065e5 +37761964 +3607663f +3594e7d2 +34e59889 +5c5fd6c0 +5d2ea99b +5ebd2876 +5fcc572d +599a2bac +58eb54f7 +5b78d51a +5a09aa41 +57d42c18 +56a55343 +5536d2ae +5447adf5 +5211d174 +5360ae2f +50f32fc2 +51825099 +4b482370 +4a395c2b +49aaddc6 +48dba29d +4e8dde1c +4ffca147 +4c6f20aa +4d1e5ff1 +40c3d9a8 +41b2a6f3 +4221271e +43505845 +450624c4 +44775b9f +47e4da72 +4695a529 +72703da0 +730142fb +7092c316 +71e3bc4d +77b5c0cc +76c4bf97 +75573e7a +74264121 +79fbc778 +788ab823 +7b1939ce +7a684695 +7c3e3a14 +7d4f454f +7edcc4a2 +7fadbbf9 +6567c810 +6416b74b +678536a6 +66f449fd +60a2357c +61d34a27 +6240cbca +6331b491 +6eec32c8 +6f9d4d93 +6c0ecc7e +6d7fb325 +6b29cfa4 +6a58b0ff +69cb3112 +68ba4e49 +b8bfad80 +b9ced2db +ba5d5336 +bb2c2c6d +bd7a50ec +bc0b2fb7 +bf98ae5a +bee9d101 +b3345758 +b2452803 +b1d6a9ee +b0a7d6b5 +b6f1aa34 +b780d56f +b4135482 +b5622bd9 +afa85830 +aed9276b +ad4aa686 +ac3bd9dd +aa6da55c +ab1cda07 +a88f5bea +a9fe24b1 +a423a2e8 +a552ddb3 +a6c15c5e +a7b02305 +a1e65f84 +a09720df +a304a132 +a275de69 +969046e0 +97e139bb +9472b856 +9503c70d +9355bb8c +9224c4d7 +91b7453a +90c63a61 +9d1bbc38 +9c6ac363 +9ff9428e +9e883dd5 +98de4154 +99af3e0f +9a3cbfe2 +9b4dc0b9 +8187b350 +80f6cc0b +83654de6 +821432bd +84424e3c +85333167 +86a0b08a +87d1cfd1 +8a0c4988 +8b7d36d3 +88eeb73e +899fc865 +8fc9b4e4 +8eb8cbbf +8d2b4a52 +8c5a3509 +e4e07b40 +e591041b +e60285f6 +e773faad +e125862c +e054f977 +e3c7789a +e2b607c1 +ef6b8198 +ee1afec3 +ed897f2e +ecf80075 +eaae7cf4 +ebdf03af +e84c8242 +e93dfd19 +f3f78ef0 +f286f1ab +f1157046 +f0640f1d +f632739c +f7430cc7 +f4d08d2a +f5a1f271 +f87c7428 +f90d0b73 +fa9e8a9e +fbeff5c5 +fdb98944 +fcc8f61f +ff5b77f2 +fe2a08a9 +cacf9020 +cbbeef7b +c82d6e96 +c95c11cd +cf0a6d4c +ce7b1217 +cde893fa +cc99eca1 +c1446af8 +c03515a3 +c3a6944e +c2d7eb15 +c4819794 +c5f0e8cf +c6636922 +c7121679 +ddd86590 +dca91acb +df3a9b26 +de4be47d +d81d98fc +d96ce7a7 +daff664a +db8e1911 +d6539f48 +d722e013 +d4b161fe +d5c01ea5 +d3966224 +d2e71d7f +d1749c92 +d005e3c9 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_32.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_32.mem new file mode 100644 index 0000000000..96ae76f1de --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_32.mem @@ -0,0 +1,256 @@ +00000000 +75be46b7 +eb7c8d6e +9ec2cbd9 +d238076b +a78641dc +39448a05 +4cfaccb2 +a0b11361 +d50f55d6 +4bcd9e0f +3e73d8b8 +7289140a +073752bd +99f59964 +ec4bdfd3 +45a33b75 +301d7dc2 +aedfb61b +db61f0ac +979b3c1e +e2257aa9 +7ce7b170 +0959f7c7 +e5122814 +90ac6ea3 +0e6ea57a +7bd0e3cd +372a2f7f +429469c8 +dc56a211 +a9e8e4a6 +8b4676ea +fef8305d +603afb84 +1584bd33 +597e7181 +2cc03736 +b202fcef +c7bcba58 +2bf7658b +5e49233c +c08be8e5 +b535ae52 +f9cf62e0 +8c712457 +12b3ef8e +670da939 +cee54d9f +bb5b0b28 +2599c0f1 +50278646 +1cdd4af4 +69630c43 +f7a1c79a +821f812d +6e545efe +1bea1849 +8528d390 +f0969527 +bc6c5995 +c9d21f22 +5710d4fb +22ae924c +124df063 +67f3b6d4 +f9317d0d +8c8f3bba +c075f708 +b5cbb1bf +2b097a66 +5eb73cd1 +b2fce302 +c742a5b5 +59806e6c +2c3e28db +60c4e469 +157aa2de +8bb86907 +fe062fb0 +57eecb16 +22508da1 +bc924678 +c92c00cf +85d6cc7d +f0688aca +6eaa4113 +1b1407a4 +f75fd877 +82e19ec0 +1c235519 +699d13ae +2567df1c +50d999ab +ce1b5272 +bba514c5 +990b8689 +ecb5c03e +72770be7 +07c94d50 +4b3381e2 +3e8dc755 +a04f0c8c +d5f14a3b +39ba95e8 +4c04d35f +d2c61886 +a7785e31 +eb829283 +9e3cd434 +00fe1fed +7540595a +dca8bdfc +a916fb4b +37d43092 +426a7625 +0e90ba97 +7b2efc20 +e5ec37f9 +9052714e +7c19ae9d +09a7e82a +976523f3 +e2db6544 +ae21a9f6 +db9fef41 +455d2498 +30e3622f +249be0c6 +5125a671 +cfe76da8 +ba592b1f +f6a3e7ad +831da11a +1ddf6ac3 +68612c74 +842af3a7 +f194b510 +6f567ec9 +1ae8387e +5612f4cc +23acb27b +bd6e79a2 +c8d03f15 +6138dbb3 +14869d04 +8a4456dd +fffa106a +b300dcd8 +c6be9a6f +587c51b6 +2dc21701 +c189c8d2 +b4378e65 +2af545bc +5f4b030b +13b1cfb9 +660f890e +f8cd42d7 +8d730460 +afdd962c +da63d09b +44a11b42 +311f5df5 +7de59147 +085bd7f0 +96991c29 +e3275a9e +0f6c854d +7ad2c3fa +e4100823 +91ae4e94 +dd548226 +a8eac491 +36280f48 +439649ff +ea7ead59 +9fc0ebee +01022037 +74bc6680 +3846aa32 +4df8ec85 +d33a275c +a68461eb +4acfbe38 +3f71f88f +a1b33356 +d40d75e1 +98f7b953 +ed49ffe4 +738b343d +0635728a +36d610a5 +43685612 +ddaa9dcb +a814db7c +e4ee17ce +91505179 +0f929aa0 +7a2cdc17 +966703c4 +e3d94573 +7d1b8eaa +08a5c81d +445f04af +31e14218 +af2389c1 +da9dcf76 +73752bd0 +06cb6d67 +9809a6be +edb7e009 +a14d2cbb +d4f36a0c +4a31a1d5 +3f8fe762 +d3c438b1 +a67a7e06 +38b8b5df +4d06f368 +01fc3fda +7442796d +ea80b2b4 +9f3ef403 +bd90664f +c82e20f8 +56eceb21 +2352ad96 +6fa86124 +1a162793 +84d4ec4a +f16aaafd +1d21752e +689f3399 +f65df840 +83e3bef7 +cf197245 +baa734f2 +2465ff2b +51dbb99c +f8335d3a +8d8d1b8d +134fd054 +66f196e3 +2a0b5a51 +5fb51ce6 +c177d73f +b4c99188 +58824e5b +2d3c08ec +b3fec335 +c6408582 +8aba4930 +ff040f87 +61c6c45e +147882e9 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_33.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_33.mem new file mode 100644 index 0000000000..96344be966 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_33.mem @@ -0,0 +1,256 @@ +00000000 +4937c18c +926f8318 +db584294 +201e1b87 +6929da0b +b271989f +fb465913 +403c370e +090bf682 +d253b416 +9b64759a +60222c89 +2915ed05 +f24daf91 +bb7a6e1d +80786e1c +c94faf90 +1217ed04 +5b202c88 +a066759b +e951b417 +3209f683 +7b3e370f +c0445912 +8973989e +522bda0a +1b1c1b86 +e05a4295 +a96d8319 +7235c18d +3b020001 +0431c18f +4d060003 +965e4297 +df69831b +242fda08 +6d181b84 +b6405910 +ff77989c +440df681 +0d3a370d +d6627599 +9f55b415 +6413ed06 +2d242c8a +f67c6e1e +bf4baf92 +8449af93 +cd7e6e1f +16262c8b +5f11ed07 +a457b414 +ed607598 +3638370c +7f0ff680 +c475989d +8d425911 +561a1b85 +1f2dda09 +e46b831a +ad5c4296 +76040002 +3f33c18e +0863831e +41544292 +9a0c0006 +d33bc18a +287d9899 +614a5915 +ba121b81 +f325da0d +485fb410 +0168759c +da303708 +9307f684 +6841af97 +21766e1b +fa2e2c8f +b319ed03 +881bed02 +c12c2c8e +1a746e1a +5343af96 +a805f685 +e1323709 +3a6a759d +735db411 +c827da0c +81101b80 +5a485914 +137f9898 +e839c18b +a10e0007 +7a564293 +3361831f +0c524291 +4565831d +9e3dc189 +d70a0005 +2c4c5916 +657b989a +be23da0e +f7141b82 +4c6e759f +0559b413 +de01f687 +9736370b +6c706e18 +2547af94 +fe1fed00 +b7282c8c +8c2a2c8d +c51ded01 +1e45af95 +57726e19 +ac34370a +e503f686 +3e5bb412 +776c759e +cc161b83 +8521da0f +5e79989b +174e5917 +ec080004 +a53fc188 +7e67831c +37504290 +10c7063c +59f0c7b0 +82a88524 +cb9f44a8 +30d91dbb +79eedc37 +a2b69ea3 +eb815f2f +50fb3132 +19ccf0be +c294b22a +8ba373a6 +70e52ab5 +39d2eb39 +e28aa9ad +abbd6821 +90bf6820 +d988a9ac +02d0eb38 +4be72ab4 +b0a173a7 +f996b22b +22cef0bf +6bf93133 +d0835f2e +99b49ea2 +42ecdc36 +0bdb1dba +f09d44a9 +b9aa8525 +62f2c7b1 +2bc5063d +14f6c7b3 +5dc1063f +869944ab +cfae8527 +34e8dc34 +7ddf1db8 +a6875f2c +efb09ea0 +54caf0bd +1dfd3131 +c6a573a5 +8f92b229 +74d4eb3a +3de32ab6 +e6bb6822 +af8ca9ae +948ea9af +ddb96823 +06e12ab7 +4fd6eb3b +b490b228 +fda773a4 +26ff3130 +6fc8f0bc +d4b29ea1 +9d855f2d +46dd1db9 +0feadc35 +f4ac8526 +bd9b44aa +66c3063e +2ff4c7b2 +18a48522 +519344ae +8acb063a +c3fcc7b6 +38ba9ea5 +718d5f29 +aad51dbd +e3e2dc31 +5898b22c +11af73a0 +caf73134 +83c0f0b8 +7886a9ab +31b16827 +eae92ab3 +a3deeb3f +98dceb3e +d1eb2ab2 +0ab36826 +4384a9aa +b8c2f0b9 +f1f53135 +2aad73a1 +639ab22d +d8e0dc30 +91d71dbc +4a8f5f28 +03b89ea4 +f8fec7b7 +b1c9063b +6a9144af +23a68523 +1c9544ad +55a28521 +8efac7b5 +c7cd0639 +3c8b5f2a +75bc9ea6 +aee4dc32 +e7d31dbe +5ca973a3 +159eb22f +cec6f0bb +87f13137 +7cb76824 +3580a9a8 +eed8eb3c +a7ef2ab0 +9ced2ab1 +d5daeb3d +0e82a9a9 +47b56825 +bcf33136 +f5c4f0ba +2e9cb22e +67ab73a2 +dcd11dbf +95e6dc33 +4ebe9ea7 +07895f2b +fccf0638 +b5f8c7b4 +6ea08520 +279744ac diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_34.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_34.mem new file mode 100644 index 0000000000..53906a9f68 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_34.mem @@ -0,0 +1,256 @@ +00000000 +218e0c78 +431c18f0 +62921488 +863831e0 +a7b63d98 +c5242910 +e4aa2568 +08b17e77 +293f720f +4bad6687 +6a236aff +8e894f97 +af0743ef +cd955767 +ec1b5b1f +1162fcee +30ecf096 +527ee41e +73f0e866 +975acd0e +b6d4c176 +d446d5fe +f5c8d986 +19d38299 +385d8ee1 +5acf9a69 +7b419611 +9febb379 +be65bf01 +dcf7ab89 +fd79a7f1 +22c5f9dc +034bf5a4 +61d9e12c +4057ed54 +a4fdc83c +8573c444 +e7e1d0cc +c66fdcb4 +2a7487ab +0bfa8bd3 +69689f5b +48e69323 +ac4cb64b +8dc2ba33 +ef50aebb +cedea2c3 +33a70532 +1229094a +70bb1dc2 +513511ba +b59f34d2 +941138aa +f6832c22 +d70d205a +3b167b45 +1a98773d +780a63b5 +59846fcd +bd2e4aa5 +9ca046dd +fe325255 +dfbc5e2d +458bf3b8 +6405ffc0 +0697eb48 +2719e730 +c3b3c258 +e23dce20 +80afdaa8 +a121d6d0 +4d3a8dcf +6cb481b7 +0e26953f +2fa89947 +cb02bc2f +ea8cb057 +881ea4df +a990a8a7 +54e90f56 +7567032e +17f517a6 +367b1bde +d2d13eb6 +f35f32ce +91cd2646 +b0432a3e +5c587121 +7dd67d59 +1f4469d1 +3eca65a9 +da6040c1 +fbee4cb9 +997c5831 +b8f25449 +674e0a64 +46c0061c +24521294 +05dc1eec +e1763b84 +c0f837fc +a26a2374 +83e42f0c +6fff7413 +4e71786b +2ce36ce3 +0d6d609b +e9c745f3 +c849498b +aadb5d03 +8b55517b +762cf68a +57a2faf2 +3530ee7a +14bee202 +f014c76a +d19acb12 +b308df9a +9286d3e2 +7e9d88fd +5f138485 +3d81900d +1c0f9c75 +f8a5b91d +d92bb565 +bbb9a1ed +9a37ad95 +8b17e770 +aa99eb08 +c80bff80 +e985f3f8 +0d2fd690 +2ca1dae8 +4e33ce60 +6fbdc218 +83a69907 +a228957f +c0ba81f7 +e1348d8f +059ea8e7 +2410a49f +4682b017 +670cbc6f +9a751b9e +bbfb17e6 +d969036e +f8e70f16 +1c4d2a7e +3dc32606 +5f51328e +7edf3ef6 +92c465e9 +b34a6991 +d1d87d19 +f0567161 +14fc5409 +35725871 +57e04cf9 +766e4081 +a9d21eac +885c12d4 +eace065c +cb400a24 +2fea2f4c +0e642334 +6cf637bc +4d783bc4 +a16360db +80ed6ca3 +e27f782b +c3f17453 +275b513b +06d55d43 +644749cb +45c945b3 +b8b0e242 +993eee3a +fbacfab2 +da22f6ca +3e88d3a2 +1f06dfda +7d94cb52 +5c1ac72a +b0019c35 +918f904d +f31d84c5 +d29388bd +3639add5 +17b7a1ad +7525b525 +54abb95d +ce9c14c8 +ef1218b0 +8d800c38 +ac0e0040 +48a42528 +692a2950 +0bb83dd8 +2a3631a0 +c62d6abf +e7a366c7 +8531724f +a4bf7e37 +40155b5f +619b5727 +030943af +22874fd7 +dffee826 +fe70e45e +9ce2f0d6 +bd6cfcae +59c6d9c6 +7848d5be +1adac136 +3b54cd4e +d74f9651 +f6c19a29 +94538ea1 +b5dd82d9 +5177a7b1 +70f9abc9 +126bbf41 +33e5b339 +ec59ed14 +cdd7e16c +af45f5e4 +8ecbf99c +6a61dcf4 +4befd08c +297dc404 +08f3c87c +e4e89363 +c5669f1b +a7f48b93 +867a87eb +62d0a283 +435eaefb +21ccba73 +0042b60b +fd3b11fa +dcb51d82 +be27090a +9fa90572 +7b03201a +5a8d2c62 +381f38ea +19913492 +f58a6f8d +d40463f5 +b696777d +97187b05 +73b25e6d +523c5215 +30ae469d +11204ae5 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_35.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_35.mem new file mode 100644 index 0000000000..a44020d3d7 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_35.mem @@ -0,0 +1,256 @@ +00000000 +12eed357 +25dda6ae +373375f9 +4bbb4d5c +59559e0b +6e66ebf2 +7c8838a5 +97769ab8 +859849ef +b2ab3c16 +a045ef41 +dccdd7e4 +ce2304b3 +f910714a +ebfea21d +2a2c28c7 +38c2fb90 +0ff18e69 +1d1f5d3e +6197659b +7379b6cc +444ac335 +56a41062 +bd5ab27f +afb46128 +988714d1 +8a69c786 +f6e1ff23 +e40f2c74 +d33c598d +c1d28ada +5458518e +46b682d9 +7185f720 +636b2477 +1fe31cd2 +0d0dcf85 +3a3eba7c +28d0692b +c32ecb36 +d1c01861 +e6f36d98 +f41dbecf +8895866a +9a7b553d +ad4820c4 +bfa6f393 +7e747949 +6c9aaa1e +5ba9dfe7 +49470cb0 +35cf3415 +2721e742 +101292bb +02fc41ec +e902e3f1 +fbec30a6 +ccdf455f +de319608 +a2b9aead +b0577dfa +87640803 +958adb54 +a8b0a31c +ba5e704b +8d6d05b2 +9f83d6e5 +e30bee40 +f1e53d17 +c6d648ee +d4389bb9 +3fc639a4 +2d28eaf3 +1a1b9f0a +08f54c5d +747d74f8 +6693a7af +51a0d256 +434e0101 +829c8bdb +9072588c +a7412d75 +b5affe22 +c927c687 +dbc915d0 +ecfa6029 +fe14b37e +15ea1163 +0704c234 +3037b7cd +22d9649a +5e515c3f +4cbf8f68 +7b8cfa91 +696229c6 +fce8f292 +ee0621c5 +d935543c +cbdb876b +b753bfce +a5bd6c99 +928e1960 +8060ca37 +6b9e682a +7970bb7d +4e43ce84 +5cad1dd3 +20252576 +32cbf621 +05f883d8 +1716508f +d6c4da55 +c42a0902 +f3197cfb +e1f7afac +9d7f9709 +8f91445e +b8a231a7 +aa4ce2f0 +41b240ed +535c93ba +646fe643 +76813514 +0a090db1 +18e7dee6 +2fd4ab1f +3d3a7848 +55a05b8f +474e88d8 +707dfd21 +62932e76 +1e1b16d3 +0cf5c584 +3bc6b07d +2928632a +c2d6c137 +d0381260 +e70b6799 +f5e5b4ce +896d8c6b +9b835f3c +acb02ac5 +be5ef992 +7f8c7348 +6d62a01f +5a51d5e6 +48bf06b1 +34373e14 +26d9ed43 +11ea98ba +03044bed +e8fae9f0 +fa143aa7 +cd274f5e +dfc99c09 +a341a4ac +b1af77fb +869c0202 +9472d155 +01f80a01 +1316d956 +2425acaf +36cb7ff8 +4a43475d +58ad940a +6f9ee1f3 +7d7032a4 +968e90b9 +846043ee +b3533617 +a1bde540 +dd35dde5 +cfdb0eb2 +f8e87b4b +ea06a81c +2bd422c6 +393af191 +0e098468 +1ce7573f +606f6f9a +7281bccd +45b2c934 +575c1a63 +bca2b87e +ae4c6b29 +997f1ed0 +8b91cd87 +f719f522 +e5f72675 +d2c4538c +c02a80db +fd10f893 +effe2bc4 +d8cd5e3d +ca238d6a +b6abb5cf +a4456698 +93761361 +8198c036 +6a66622b +7888b17c +4fbbc485 +5d5517d2 +21dd2f77 +3333fc20 +040089d9 +16ee5a8e +d73cd054 +c5d20303 +f2e176fa +e00fa5ad +9c879d08 +8e694e5f +b95a3ba6 +abb4e8f1 +404a4aec +52a499bb +6597ec42 +77793f15 +0bf107b0 +191fd4e7 +2e2ca11e +3cc27249 +a948a91d +bba67a4a +8c950fb3 +9e7bdce4 +e2f3e441 +f01d3716 +c72e42ef +d5c091b8 +3e3e33a5 +2cd0e0f2 +1be3950b +090d465c +75857ef9 +676badae +5058d857 +42b60b00 +836481da +918a528d +a6b92774 +b457f423 +c8dfcc86 +da311fd1 +ed026a28 +ffecb97f +14121b62 +06fcc835 +31cfbdcc +23216e9b +5fa9563e +4d478569 +7a74f090 +689a23c7 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_4.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_4.mem new file mode 100644 index 0000000000..3e21f0580c --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_4.mem @@ -0,0 +1,256 @@ +00000000 +04c11db7 +09823b6e +0d4326d9 +130476dc +17c56b6b +1a864db2 +1e475005 +2608edb8 +22c9f00f +2f8ad6d6 +2b4bcb61 +350c9b64 +31cd86d3 +3c8ea00a +384fbdbd +4c11db70 +48d0c6c7 +4593e01e +4152fda9 +5f15adac +5bd4b01b +569796c2 +52568b75 +6a1936c8 +6ed82b7f +639b0da6 +675a1011 +791d4014 +7ddc5da3 +709f7b7a +745e66cd +9823b6e0 +9ce2ab57 +91a18d8e +95609039 +8b27c03c +8fe6dd8b +82a5fb52 +8664e6e5 +be2b5b58 +baea46ef +b7a96036 +b3687d81 +ad2f2d84 +a9ee3033 +a4ad16ea +a06c0b5d +d4326d90 +d0f37027 +ddb056fe +d9714b49 +c7361b4c +c3f706fb +ceb42022 +ca753d95 +f23a8028 +f6fb9d9f +fbb8bb46 +ff79a6f1 +e13ef6f4 +e5ffeb43 +e8bccd9a +ec7dd02d +34867077 +30476dc0 +3d044b19 +39c556ae +278206ab +23431b1c +2e003dc5 +2ac12072 +128e9dcf +164f8078 +1b0ca6a1 +1fcdbb16 +018aeb13 +054bf6a4 +0808d07d +0cc9cdca +7897ab07 +7c56b6b0 +71159069 +75d48dde +6b93dddb +6f52c06c +6211e6b5 +66d0fb02 +5e9f46bf +5a5e5b08 +571d7dd1 +53dc6066 +4d9b3063 +495a2dd4 +44190b0d +40d816ba +aca5c697 +a864db20 +a527fdf9 +a1e6e04e +bfa1b04b +bb60adfc +b6238b25 +b2e29692 +8aad2b2f +8e6c3698 +832f1041 +87ee0df6 +99a95df3 +9d684044 +902b669d +94ea7b2a +e0b41de7 +e4750050 +e9362689 +edf73b3e +f3b06b3b +f771768c +fa325055 +fef34de2 +c6bcf05f +c27dede8 +cf3ecb31 +cbffd686 +d5b88683 +d1799b34 +dc3abded +d8fba05a +690ce0ee +6dcdfd59 +608edb80 +644fc637 +7a089632 +7ec98b85 +738aad5c +774bb0eb +4f040d56 +4bc510e1 +46863638 +42472b8f +5c007b8a +58c1663d +558240e4 +51435d53 +251d3b9e +21dc2629 +2c9f00f0 +285e1d47 +36194d42 +32d850f5 +3f9b762c +3b5a6b9b +0315d626 +07d4cb91 +0a97ed48 +0e56f0ff +1011a0fa +14d0bd4d +19939b94 +1d528623 +f12f560e +f5ee4bb9 +f8ad6d60 +fc6c70d7 +e22b20d2 +e6ea3d65 +eba91bbc +ef68060b +d727bbb6 +d3e6a601 +dea580d8 +da649d6f +c423cd6a +c0e2d0dd +cda1f604 +c960ebb3 +bd3e8d7e +b9ff90c9 +b4bcb610 +b07daba7 +ae3afba2 +aafbe615 +a7b8c0cc +a379dd7b +9b3660c6 +9ff77d71 +92b45ba8 +9675461f +8832161a +8cf30bad +81b02d74 +857130c3 +5d8a9099 +594b8d2e +5408abf7 +50c9b640 +4e8ee645 +4a4ffbf2 +470cdd2b +43cdc09c +7b827d21 +7f436096 +7200464f +76c15bf8 +68860bfd +6c47164a +61043093 +65c52d24 +119b4be9 +155a565e +18197087 +1cd86d30 +029f3d35 +065e2082 +0b1d065b +0fdc1bec +3793a651 +3352bbe6 +3e119d3f +3ad08088 +2497d08d +2056cd3a +2d15ebe3 +29d4f654 +c5a92679 +c1683bce +cc2b1d17 +c8ea00a0 +d6ad50a5 +d26c4d12 +df2f6bcb +dbee767c +e3a1cbc1 +e760d676 +ea23f0af +eee2ed18 +f0a5bd1d +f464a0aa +f9278673 +fde69bc4 +89b8fd09 +8d79e0be +803ac667 +84fbdbd0 +9abc8bd5 +9e7d9662 +933eb0bb +97ffad0c +afb010b1 +ab710d06 +a6322bdf +a2f33668 +bcb4666d +b8757bda +b5365d03 +b1f740b4 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_5.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_5.mem new file mode 100644 index 0000000000..25c11903b8 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_5.mem @@ -0,0 +1,256 @@ +00000000 +d219c1dc +a0f29e0f +72eb5fd3 +452421a9 +973de075 +e5d6bfa6 +37cf7e7a +8a484352 +5851828e +2abadd5d +f8a31c81 +cf6c62fb +1d75a327 +6f9efcf4 +bd873d28 +10519b13 +c2485acf +b0a3051c +62bac4c0 +5575baba +876c7b66 +f58724b5 +279ee569 +9a19d841 +4800199d +3aeb464e +e8f28792 +df3df9e8 +0d243834 +7fcf67e7 +add6a63b +20a33626 +f2baf7fa +8051a829 +524869f5 +6587178f +b79ed653 +c5758980 +176c485c +aaeb7574 +78f2b4a8 +0a19eb7b +d8002aa7 +efcf54dd +3dd69501 +4f3dcad2 +9d240b0e +30f2ad35 +e2eb6ce9 +9000333a +4219f2e6 +75d68c9c +a7cf4d40 +d5241293 +073dd34f +babaee67 +68a32fbb +1a487068 +c851b1b4 +ff9ecfce +2d870e12 +5f6c51c1 +8d75901d +41466c4c +935fad90 +e1b4f243 +33ad339f +04624de5 +d67b8c39 +a490d3ea +76891236 +cb0e2f1e +1917eec2 +6bfcb111 +b9e570cd +8e2a0eb7 +5c33cf6b +2ed890b8 +fcc15164 +5117f75f +830e3683 +f1e56950 +23fca88c +1433d6f6 +c62a172a +b4c148f9 +66d88925 +db5fb40d +094675d1 +7bad2a02 +a9b4ebde +9e7b95a4 +4c625478 +3e890bab +ec90ca77 +61e55a6a +b3fc9bb6 +c117c465 +130e05b9 +24c17bc3 +f6d8ba1f +8433e5cc +562a2410 +ebad1938 +39b4d8e4 +4b5f8737 +994646eb +ae893891 +7c90f94d +0e7ba69e +dc626742 +71b4c179 +a3ad00a5 +d1465f76 +035f9eaa +3490e0d0 +e689210c +94627edf +467bbf03 +fbfc822b +29e543f7 +5b0e1c24 +8917ddf8 +bed8a382 +6cc1625e +1e2a3d8d +cc33fc51 +828cd898 +50951944 +227e4697 +f067874b +c7a8f931 +15b138ed +675a673e +b543a6e2 +08c49bca +dadd5a16 +a83605c5 +7a2fc419 +4de0ba63 +9ff97bbf +ed12246c +3f0be5b0 +92dd438b +40c48257 +322fdd84 +e0361c58 +d7f96222 +05e0a3fe +770bfc2d +a5123df1 +189500d9 +ca8cc105 +b8679ed6 +6a7e5f0a +5db12170 +8fa8e0ac +fd43bf7f +2f5a7ea3 +a22feebe +70362f62 +02dd70b1 +d0c4b16d +e70bcf17 +35120ecb +47f95118 +95e090c4 +2867adec +fa7e6c30 +889533e3 +5a8cf23f +6d438c45 +bf5a4d99 +cdb1124a +1fa8d396 +b27e75ad +6067b471 +128ceba2 +c0952a7e +f75a5404 +254395d8 +57a8ca0b +85b10bd7 +383636ff +ea2ff723 +98c4a8f0 +4add692c +7d121756 +af0bd68a +dde08959 +0ff94885 +c3cab4d4 +11d37508 +63382adb +b121eb07 +86ee957d +54f754a1 +261c0b72 +f405caae +4982f786 +9b9b365a +e9706989 +3b69a855 +0ca6d62f +debf17f3 +ac544820 +7e4d89fc +d39b2fc7 +0182ee1b +7369b1c8 +a1707014 +96bf0e6e +44a6cfb2 +364d9061 +e45451bd +59d36c95 +8bcaad49 +f921f29a +2b383346 +1cf74d3c +ceee8ce0 +bc05d333 +6e1c12ef +e36982f2 +3170432e +439b1cfd +9182dd21 +a64da35b +74546287 +06bf3d54 +d4a6fc88 +6921c1a0 +bb38007c +c9d35faf +1bca9e73 +2c05e009 +fe1c21d5 +8cf77e06 +5eeebfda +f33819e1 +2121d83d +53ca87ee +81d34632 +b61c3848 +6405f994 +16eea647 +c4f7679b +79705ab3 +ab699b6f +d982c4bc +0b9b0560 +3c547b1a +ee4dbac6 +9ca6e515 +4ebf24c9 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_6.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_6.mem new file mode 100644 index 0000000000..7e3f3fc647 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_6.mem @@ -0,0 +1,256 @@ +00000000 +01d8ac87 +03b1590e +0269f589 +0762b21c +06ba1e9b +04d3eb12 +050b4795 +0ec56438 +0f1dc8bf +0d743d36 +0cac91b1 +09a7d624 +087f7aa3 +0a168f2a +0bce23ad +1d8ac870 +1c5264f7 +1e3b917e +1fe33df9 +1ae87a6c +1b30d6eb +19592362 +18818fe5 +134fac48 +129700cf +10fef546 +112659c1 +142d1e54 +15f5b2d3 +179c475a +1644ebdd +3b1590e0 +3acd3c67 +38a4c9ee +397c6569 +3c7722fc +3daf8e7b +3fc67bf2 +3e1ed775 +35d0f4d8 +3408585f +3661add6 +37b90151 +32b246c4 +336aea43 +31031fca +30dbb34d +269f5890 +2747f417 +252e019e +24f6ad19 +21fdea8c +2025460b +224cb382 +23941f05 +285a3ca8 +2982902f +2beb65a6 +2a33c921 +2f388eb4 +2ee02233 +2c89d7ba +2d517b3d +762b21c0 +77f38d47 +759a78ce +7442d449 +714993dc +70913f5b +72f8cad2 +73206655 +78ee45f8 +7936e97f +7b5f1cf6 +7a87b071 +7f8cf7e4 +7e545b63 +7c3daeea +7de5026d +6ba1e9b0 +6a794537 +6810b0be +69c81c39 +6cc35bac +6d1bf72b +6f7202a2 +6eaaae25 +65648d88 +64bc210f +66d5d486 +670d7801 +62063f94 +63de9313 +61b7669a +606fca1d +4d3eb120 +4ce61da7 +4e8fe82e +4f5744a9 +4a5c033c +4b84afbb +49ed5a32 +4835f6b5 +43fbd518 +4223799f +404a8c16 +41922091 +44996704 +4541cb83 +47283e0a +46f0928d +50b47950 +516cd5d7 +5305205e +52dd8cd9 +57d6cb4c +560e67cb +54679242 +55bf3ec5 +5e711d68 +5fa9b1ef +5dc04466 +5c18e8e1 +5913af74 +58cb03f3 +5aa2f67a +5b7a5afd +ec564380 +ed8eef07 +efe71a8e +ee3fb609 +eb34f19c +eaec5d1b +e885a892 +e95d0415 +e29327b8 +e34b8b3f +e1227eb6 +e0fad231 +e5f195a4 +e4293923 +e640ccaa +e798602d +f1dc8bf0 +f0042777 +f26dd2fe +f3b57e79 +f6be39ec +f766956b +f50f60e2 +f4d7cc65 +ff19efc8 +fec1434f +fca8b6c6 +fd701a41 +f87b5dd4 +f9a3f153 +fbca04da +fa12a85d +d743d360 +d69b7fe7 +d4f28a6e +d52a26e9 +d021617c +d1f9cdfb +d3903872 +d24894f5 +d986b758 +d85e1bdf +da37ee56 +dbef42d1 +dee40544 +df3ca9c3 +dd555c4a +dc8df0cd +cac91b10 +cb11b797 +c978421e +c8a0ee99 +cdaba90c +cc73058b +ce1af002 +cfc25c85 +c40c7f28 +c5d4d3af +c7bd2626 +c6658aa1 +c36ecd34 +c2b661b3 +c0df943a +c10738bd +9a7d6240 +9ba5cec7 +99cc3b4e +981497c9 +9d1fd05c +9cc77cdb +9eae8952 +9f7625d5 +94b80678 +9560aaff +97095f76 +96d1f3f1 +93dab464 +920218e3 +906bed6a +91b341ed +87f7aa30 +862f06b7 +8446f33e +859e5fb9 +8095182c +814db4ab +83244122 +82fceda5 +8932ce08 +88ea628f +8a839706 +8b5b3b81 +8e507c14 +8f88d093 +8de1251a +8c39899d +a168f2a0 +a0b05e27 +a2d9abae +a3010729 +a60a40bc +a7d2ec3b +a5bb19b2 +a463b535 +afad9698 +ae753a1f +ac1ccf96 +adc46311 +a8cf2484 +a9178803 +ab7e7d8a +aaa6d10d +bce23ad0 +bd3a9657 +bf5363de +be8bcf59 +bb8088cc +ba58244b +b831d1c2 +b9e97d45 +b2275ee8 +b3fff26f +b19607e6 +b04eab61 +b545ecf4 +b49d4073 +b6f4b5fa +b72c197d diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_7.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_7.mem new file mode 100644 index 0000000000..b1ed775607 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_7.mem @@ -0,0 +1,256 @@ +00000000 +dc6d9ab7 +bc1a28d9 +6077b26e +7cf54c05 +a098d6b2 +c0ef64dc +1c82fe6b +f9ea980a +258702bd +45f0b0d3 +999d2a64 +851fd40f +59724eb8 +3905fcd6 +e5686661 +f7142da3 +2b79b714 +4b0e057a +97639fcd +8be161a6 +578cfb11 +37fb497f +eb96d3c8 +0efeb5a9 +d2932f1e +b2e49d70 +6e8907c7 +720bf9ac +ae66631b +ce11d175 +127c4bc2 +eae946f1 +3684dc46 +56f36e28 +8a9ef49f +961c0af4 +4a719043 +2a06222d +f66bb89a +1303defb +cf6e444c +af19f622 +73746c95 +6ff692fe +b39b0849 +d3ecba27 +0f812090 +1dfd6b52 +c190f1e5 +a1e7438b +7d8ad93c +61082757 +bd65bde0 +dd120f8e +017f9539 +e417f358 +387a69ef +580ddb81 +84604136 +98e2bf5d +448f25ea +24f89784 +f8950d33 +d1139055 +0d7e0ae2 +6d09b88c +b164223b +ade6dc50 +718b46e7 +11fcf489 +cd916e3e +28f9085f +f49492e8 +94e32086 +488eba31 +540c445a +8861deed +e8166c83 +347bf634 +2607bdf6 +fa6a2741 +9a1d952f +46700f98 +5af2f1f3 +869f6b44 +e6e8d92a +3a85439d +dfed25fc +0380bf4b +63f70d25 +bf9a9792 +a31869f9 +7f75f34e +1f024120 +c36fdb97 +3bfad6a4 +e7974c13 +87e0fe7d +5b8d64ca +470f9aa1 +9b620016 +fb15b278 +277828cf +c2104eae +1e7dd419 +7e0a6677 +a267fcc0 +bee502ab +6288981c +02ff2a72 +de92b0c5 +cceefb07 +108361b0 +70f4d3de +ac994969 +b01bb702 +6c762db5 +0c019fdb +d06c056c +3504630d +e969f9ba +891e4bd4 +5573d163 +49f12f08 +959cb5bf +f5eb07d1 +29869d66 +a6e63d1d +7a8ba7aa +1afc15c4 +c6918f73 +da137118 +067eebaf +660959c1 +ba64c376 +5f0ca517 +83613fa0 +e3168dce +3f7b1779 +23f9e912 +ff9473a5 +9fe3c1cb +438e5b7c +51f210be +8d9f8a09 +ede83867 +3185a2d0 +2d075cbb +f16ac60c +911d7462 +4d70eed5 +a81888b4 +74751203 +1402a06d +c86f3ada +d4edc4b1 +08805e06 +68f7ec68 +b49a76df +4c0f7bec +9062e15b +f0155335 +2c78c982 +30fa37e9 +ec97ad5e +8ce01f30 +508d8587 +b5e5e3e6 +69887951 +09ffcb3f +d5925188 +c910afe3 +157d3554 +750a873a +a9671d8d +bb1b564f +6776ccf8 +07017e96 +db6ce421 +c7ee1a4a +1b8380fd +7bf43293 +a799a824 +42f1ce45 +9e9c54f2 +feebe69c +22867c2b +3e048240 +e26918f7 +821eaa99 +5e73302e +77f5ad48 +ab9837ff +cbef8591 +17821f26 +0b00e14d +d76d7bfa +b71ac994 +6b775323 +8e1f3542 +5272aff5 +32051d9b +ee68872c +f2ea7947 +2e87e3f0 +4ef0519e +929dcb29 +80e180eb +5c8c1a5c +3cfba832 +e0963285 +fc14ccee +20795659 +400ee437 +9c637e80 +790b18e1 +a5668256 +c5113038 +197caa8f +05fe54e4 +d993ce53 +b9e47c3d +6589e68a +9d1cebb9 +4171710e +2106c360 +fd6b59d7 +e1e9a7bc +3d843d0b +5df38f65 +819e15d2 +64f673b3 +b89be904 +d8ec5b6a +0481c1dd +18033fb6 +c46ea501 +a419176f +78748dd8 +6a08c61a +b6655cad +d612eec3 +0a7f7474 +16fd8a1f +ca9010a8 +aae7a2c6 +768a3871 +93e25e10 +4f8fc4a7 +2ff876c9 +f395ec7e +ef171215 +337a88a2 +530d3acc +8f60a07b diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_8.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_8.mem new file mode 100644 index 0000000000..ababddec9b --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_8.mem @@ -0,0 +1,256 @@ +00000000 +490d678d +921acf1a +db17a897 +20f48383 +69f9e40e +b2ee4c99 +fbe32b14 +41e90706 +08e4608b +d3f3c81c +9afeaf91 +611d8485 +2810e308 +f3074b9f +ba0a2c12 +83d20e0c +cadf6981 +11c8c116 +58c5a69b +a3268d8f +ea2bea02 +313c4295 +78312518 +c23b090a +8b366e87 +5021c610 +192ca19d +e2cf8a89 +abc2ed04 +70d54593 +39d8221e +036501af +4a686622 +917fceb5 +d872a938 +2391822c +6a9ce5a1 +b18b4d36 +f8862abb +428c06a9 +0b816124 +d096c9b3 +999bae3e +6278852a +2b75e2a7 +f0624a30 +b96f2dbd +80b70fa3 +c9ba682e +12adc0b9 +5ba0a734 +a0438c20 +e94eebad +3259433a +7b5424b7 +c15e08a5 +88536f28 +5344c7bf +1a49a032 +e1aa8b26 +a8a7ecab +73b0443c +3abd23b1 +06ca035e +4fc764d3 +94d0cc44 +ddddabc9 +263e80dd +6f33e750 +b4244fc7 +fd29284a +47230458 +0e2e63d5 +d539cb42 +9c34accf +67d787db +2edae056 +f5cd48c1 +bcc02f4c +85180d52 +cc156adf +1702c248 +5e0fa5c5 +a5ec8ed1 +ece1e95c +37f641cb +7efb2646 +c4f10a54 +8dfc6dd9 +56ebc54e +1fe6a2c3 +e40589d7 +ad08ee5a +761f46cd +3f122140 +05af02f1 +4ca2657c +97b5cdeb +deb8aa66 +255b8172 +6c56e6ff +b7414e68 +fe4c29e5 +444605f7 +0d4b627a +d65ccaed +9f51ad60 +64b28674 +2dbfe1f9 +f6a8496e +bfa52ee3 +867d0cfd +cf706b70 +1467c3e7 +5d6aa46a +a6898f7e +ef84e8f3 +34934064 +7d9e27e9 +c7940bfb +8e996c76 +558ec4e1 +1c83a36c +e7608878 +ae6deff5 +757a4762 +3c7720ef +0d9406bc +44996131 +9f8ec9a6 +d683ae2b +2d60853f +646de2b2 +bf7a4a25 +f6772da8 +4c7d01ba +05706637 +de67cea0 +976aa92d +6c898239 +2584e5b4 +fe934d23 +b79e2aae +8e4608b0 +c74b6f3d +1c5cc7aa +5551a027 +aeb28b33 +e7bfecbe +3ca84429 +75a523a4 +cfaf0fb6 +86a2683b +5db5c0ac +14b8a721 +ef5b8c35 +a656ebb8 +7d41432f +344c24a2 +0ef10713 +47fc609e +9cebc809 +d5e6af84 +2e058490 +6708e31d +bc1f4b8a +f5122c07 +4f180015 +06156798 +dd02cf0f +940fa882 +6fec8396 +26e1e41b +fdf64c8c +b4fb2b01 +8d23091f +c42e6e92 +1f39c605 +5634a188 +add78a9c +e4daed11 +3fcd4586 +76c0220b +ccca0e19 +85c76994 +5ed0c103 +17dda68e +ec3e8d9a +a533ea17 +7e244280 +3729250d +0b5e05e2 +4253626f +9944caf8 +d049ad75 +2baa8661 +62a7e1ec +b9b0497b +f0bd2ef6 +4ab702e4 +03ba6569 +d8adcdfe +91a0aa73 +6a438167 +234ee6ea +f8594e7d +b15429f0 +888c0bee +c1816c63 +1a96c4f4 +539ba379 +a878886d +e175efe0 +3a624777 +736f20fa +c9650ce8 +80686b65 +5b7fc3f2 +1272a47f +e9918f6b +a09ce8e6 +7b8b4071 +328627fc +083b044d +413663c0 +9a21cb57 +d32cacda +28cf87ce +61c2e043 +bad548d4 +f3d82f59 +49d2034b +00df64c6 +dbc8cc51 +92c5abdc +692680c8 +202be745 +fb3c4fd2 +b231285f +8be90a41 +c2e46dcc +19f3c55b +50fea2d6 +ab1d89c2 +e210ee4f +390746d8 +700a2155 +ca000d47 +830d6aca +581ac25d +1117a5d0 +eaf48ec4 +a3f9e949 +78ee41de +31e32653 diff --git a/ethernet/RoCEv2/blue-crc/tab/crc_tab_9.mem b/ethernet/RoCEv2/blue-crc/tab/crc_tab_9.mem new file mode 100644 index 0000000000..4f0ffade44 --- /dev/null +++ b/ethernet/RoCEv2/blue-crc/tab/crc_tab_9.mem @@ -0,0 +1,256 @@ +00000000 +1b280d78 +36501af0 +2d781788 +6ca035e0 +77883898 +5af02f10 +41d82268 +d9406bc0 +c26866b8 +ef107130 +f4387c48 +b5e05e20 +aec85358 +83b044d0 +989849a8 +b641ca37 +ad69c74f +8011d0c7 +9b39ddbf +dae1ffd7 +c1c9f2af +ecb1e527 +f799e85f +6f01a1f7 +7429ac8f +5951bb07 +4279b67f +03a19417 +1889996f +35f18ee7 +2ed9839f +684289d9 +736a84a1 +5e129329 +453a9e51 +04e2bc39 +1fcab141 +32b2a6c9 +299aabb1 +b102e219 +aa2aef61 +8752f8e9 +9c7af591 +dda2d7f9 +c68ada81 +ebf2cd09 +f0dac071 +de0343ee +c52b4e96 +e853591e +f37b5466 +b2a3760e +a98b7b76 +84f36cfe +9fdb6186 +0743282e +1c6b2556 +311332de +2a3b3fa6 +6be31dce +70cb10b6 +5db3073e +469b0a46 +d08513b2 +cbad1eca +e6d50942 +fdfd043a +bc252652 +a70d2b2a +8a753ca2 +915d31da +09c57872 +12ed750a +3f956282 +24bd6ffa +65654d92 +7e4d40ea +53355762 +481d5a1a +66c4d985 +7decd4fd +5094c375 +4bbcce0d +0a64ec65 +114ce11d +3c34f695 +271cfbed +bf84b245 +a4acbf3d +89d4a8b5 +92fca5cd +d32487a5 +c80c8add +e5749d55 +fe5c902d +b8c79a6b +a3ef9713 +8e97809b +95bf8de3 +d467af8b +cf4fa2f3 +e237b57b +f91fb803 +6187f1ab +7aaffcd3 +57d7eb5b +4cffe623 +0d27c44b +160fc933 +3b77debb +205fd3c3 +0e86505c +15ae5d24 +38d64aac +23fe47d4 +622665bc +790e68c4 +54767f4c +4f5e7234 +d7c63b9c +ccee36e4 +e196216c +fabe2c14 +bb660e7c +a04e0304 +8d36148c +961e19f4 +a5cb3ad3 +bee337ab +939b2023 +88b32d5b +c96b0f33 +d243024b +ff3b15c3 +e41318bb +7c8b5113 +67a35c6b +4adb4be3 +51f3469b +102b64f3 +0b03698b +267b7e03 +3d53737b +138af0e4 +08a2fd9c +25daea14 +3ef2e76c +7f2ac504 +6402c87c +497adff4 +5252d28c +caca9b24 +d1e2965c +fc9a81d4 +e7b28cac +a66aaec4 +bd42a3bc +903ab434 +8b12b94c +cd89b30a +d6a1be72 +fbd9a9fa +e0f1a482 +a12986ea +ba018b92 +97799c1a +8c519162 +14c9d8ca +0fe1d5b2 +2299c23a +39b1cf42 +7869ed2a +6341e052 +4e39f7da +5511faa2 +7bc8793d +60e07445 +4d9863cd +56b06eb5 +17684cdd +0c4041a5 +2138562d +3a105b55 +a28812fd +b9a01f85 +94d8080d +8ff00575 +ce28271d +d5002a65 +f8783ded +e3503095 +754e2961 +6e662419 +431e3391 +58363ee9 +19ee1c81 +02c611f9 +2fbe0671 +34960b09 +ac0e42a1 +b7264fd9 +9a5e5851 +81765529 +c0ae7741 +db867a39 +f6fe6db1 +edd660c9 +c30fe356 +d827ee2e +f55ff9a6 +ee77f4de +afafd6b6 +b487dbce +99ffcc46 +82d7c13e +1a4f8896 +016785ee +2c1f9266 +37379f1e +76efbd76 +6dc7b00e +40bfa786 +5b97aafe +1d0ca0b8 +0624adc0 +2b5cba48 +3074b730 +71ac9558 +6a849820 +47fc8fa8 +5cd482d0 +c44ccb78 +df64c600 +f21cd188 +e934dcf0 +a8ecfe98 +b3c4f3e0 +9ebce468 +8594e910 +ab4d6a8f +b06567f7 +9d1d707f +86357d07 +c7ed5f6f +dcc55217 +f1bd459f +ea9548e7 +720d014f +69250c37 +445d1bbf +5f7516c7 +1ead34af +058539d7 +28fd2e5f +33d52327 diff --git a/ethernet/RoCEv2/blue-lib/BRAM2.v b/ethernet/RoCEv2/blue-lib/BRAM2.v new file mode 100644 index 0000000000..417ee3148c --- /dev/null +++ b/ethernet/RoCEv2/blue-lib/BRAM2.v @@ -0,0 +1,94 @@ + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + +// Dual-Ported BRAM (WRITE FIRST) +module BRAM2(CLKA, + ENA, + WEA, + ADDRA, + DIA, + DOA, + CLKB, + ENB, + WEB, + ADDRB, + DIB, + DOB + ); + + parameter PIPELINED = 0; + parameter ADDR_WIDTH = 1; + parameter DATA_WIDTH = 1; + parameter MEMSIZE = 1; + + input CLKA; + input ENA; + input WEA; + input [ADDR_WIDTH-1:0] ADDRA; + input [DATA_WIDTH-1:0] DIA; + output [DATA_WIDTH-1:0] DOA; + + input CLKB; + input ENB; + input WEB; + input [ADDR_WIDTH-1:0] ADDRB; + input [DATA_WIDTH-1:0] DIB; + output [DATA_WIDTH-1:0] DOB; + + reg [DATA_WIDTH-1:0] RAM[0:MEMSIZE-1] /* synthesis syn_ramstyle="no_rw_check" */ ; + reg [DATA_WIDTH-1:0] DOA_R; + reg [DATA_WIDTH-1:0] DOB_R; + reg [DATA_WIDTH-1:0] DOA_R2; + reg [DATA_WIDTH-1:0] DOB_R2; + +`ifdef BSV_NO_INITIAL_BLOCKS +`else + // synopsys translate_off + integer i; + initial + begin : init_block + for (i = 0; i < MEMSIZE; i = i + 1) begin + RAM[i] = { ((DATA_WIDTH+1)/2) { 2'b10 } }; + end + DOA_R = { ((DATA_WIDTH+1)/2) { 2'b10 } }; + DOB_R = { ((DATA_WIDTH+1)/2) { 2'b10 } }; + DOA_R2 = { ((DATA_WIDTH+1)/2) { 2'b10 } }; + DOB_R2 = { ((DATA_WIDTH+1)/2) { 2'b10 } }; + end + // synopsys translate_on +`endif // !`ifdef BSV_NO_INITIAL_BLOCKS + + always @(posedge CLKA) begin + if (ENA) begin + if (WEA) begin + RAM[ADDRA] <= `BSV_ASSIGNMENT_DELAY DIA; + DOA_R <= `BSV_ASSIGNMENT_DELAY DIA; + end + else begin + DOA_R <= `BSV_ASSIGNMENT_DELAY RAM[ADDRA]; + end + end + DOA_R2 <= `BSV_ASSIGNMENT_DELAY DOA_R; + end + + always @(posedge CLKB) begin + if (ENB) begin + if (WEB) begin + RAM[ADDRB] <= `BSV_ASSIGNMENT_DELAY DIB; + DOB_R <= `BSV_ASSIGNMENT_DELAY DIB; + end + else begin + DOB_R <= `BSV_ASSIGNMENT_DELAY RAM[ADDRB]; + end + end + DOB_R2 <= `BSV_ASSIGNMENT_DELAY DOB_R; + end + + // Output drivers + assign DOA = (PIPELINED) ? DOA_R2 : DOA_R; + assign DOB = (PIPELINED) ? DOB_R2 : DOB_R; + +endmodule // BRAM2 diff --git a/ethernet/RoCEv2/blue-lib/BypassWire.v b/ethernet/RoCEv2/blue-lib/BypassWire.v new file mode 100644 index 0000000000..dc60b7ad17 --- /dev/null +++ b/ethernet/RoCEv2/blue-lib/BypassWire.v @@ -0,0 +1,13 @@ + +module BypassWire(WGET, WVAL); + + + parameter width = 1; + + input [width - 1 : 0] WVAL; + + output [width - 1 : 0] WGET; + + assign WGET = WVAL; + +endmodule diff --git a/ethernet/RoCEv2/blue-lib/CRegN5.v b/ethernet/RoCEv2/blue-lib/CRegN5.v new file mode 100644 index 0000000000..9ab2d713fe --- /dev/null +++ b/ethernet/RoCEv2/blue-lib/CRegN5.v @@ -0,0 +1,103 @@ + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + +`ifdef BSV_POSITIVE_RESET + `define BSV_RESET_VALUE 1'b1 + `define BSV_RESET_EDGE posedge +`else + `define BSV_RESET_VALUE 1'b0 + `define BSV_RESET_EDGE negedge +`endif + + +module CRegN5 + (CLK, + RST, + + // port 0 read + Q_OUT_0, + // port 0 write + EN_0, D_IN_0, + + // port 1 read + Q_OUT_1, + // port 1 write + EN_1, D_IN_1, + + // port 2 read + Q_OUT_2, + // port 2 write + EN_2, D_IN_2, + + // port 3 read + Q_OUT_3, + // port 3 write + EN_3, D_IN_3, + + // port 4 read + Q_OUT_4, + // port 4 write + EN_4, D_IN_4 + ); + + parameter width = 1 ; + parameter init = { width {1'b0} } ; + + input CLK ; + input RST ; + + output [width - 1 : 0] Q_OUT_0 ; + input EN_0 ; + input [width - 1 : 0] D_IN_0 ; + + output [width - 1 : 0] Q_OUT_1 ; + input EN_1 ; + input [width - 1 : 0] D_IN_1 ; + + output [width - 1 : 0] Q_OUT_2 ; + input EN_2 ; + input [width - 1 : 0] D_IN_2 ; + + output [width - 1 : 0] Q_OUT_3 ; + input EN_3 ; + input [width - 1 : 0] D_IN_3 ; + + output [width - 1 : 0] Q_OUT_4 ; + input EN_4 ; + input [width - 1 : 0] D_IN_4 ; + + reg [width - 1 : 0] Q_OUT_0 ; + wire [width - 1 : 0] Q_OUT_1 ; + wire [width - 1 : 0] Q_OUT_2 ; + wire [width - 1 : 0] Q_OUT_3 ; + wire [width - 1 : 0] Q_OUT_4 ; + wire [width - 1 : 0] Q_OUT_5 ; + + assign Q_OUT_1 = EN_0 ? D_IN_0 : Q_OUT_0 ; + assign Q_OUT_2 = EN_1 ? D_IN_1 : Q_OUT_1 ; + assign Q_OUT_3 = EN_2 ? D_IN_2 : Q_OUT_2 ; + assign Q_OUT_4 = EN_3 ? D_IN_3 : Q_OUT_3 ; + assign Q_OUT_5 = EN_4 ? D_IN_4 : Q_OUT_4 ; + + always@(posedge CLK) + begin + if (RST == `BSV_RESET_VALUE) + Q_OUT_0 <= `BSV_ASSIGNMENT_DELAY init ; + else + Q_OUT_0 <= `BSV_ASSIGNMENT_DELAY Q_OUT_5 ; + end + +`ifdef BSV_NO_INITIAL_BLOCKS +`else // not BSV_NO_INITIAL_BLOCKS + // synopsys translate_off + initial begin + Q_OUT_0 = {((width + 1)/2){2'b10}} ; + end + // synopsys translate_on +`endif // BSV_NO_INITIAL_BLOCKS + +endmodule + diff --git a/ethernet/RoCEv2/blue-lib/CRegUN5.v b/ethernet/RoCEv2/blue-lib/CRegUN5.v new file mode 100644 index 0000000000..6b31f33126 --- /dev/null +++ b/ethernet/RoCEv2/blue-lib/CRegUN5.v @@ -0,0 +1,92 @@ + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + + +module CRegUN5 + (CLK, + RST, + + // port 0 read + Q_OUT_0, + // port 0 write + EN_0, D_IN_0, + + // port 1 read + Q_OUT_1, + // port 1 write + EN_1, D_IN_1, + + // port 2 read + Q_OUT_2, + // port 2 write + EN_2, D_IN_2, + + // port 3 read + Q_OUT_3, + // port 3 write + EN_3, D_IN_3, + + // port 4 read + Q_OUT_4, + // port 4 write + EN_4, D_IN_4 + ); + + parameter width = 1 ; + parameter init = { width {1'b0} } ; + + input CLK ; + input RST ; + + output [width - 1 : 0] Q_OUT_0 ; + input EN_0 ; + input [width - 1 : 0] D_IN_0 ; + + output [width - 1 : 0] Q_OUT_1 ; + input EN_1 ; + input [width - 1 : 0] D_IN_1 ; + + output [width - 1 : 0] Q_OUT_2 ; + input EN_2 ; + input [width - 1 : 0] D_IN_2 ; + + output [width - 1 : 0] Q_OUT_3 ; + input EN_3 ; + input [width - 1 : 0] D_IN_3 ; + + output [width - 1 : 0] Q_OUT_4 ; + input EN_4 ; + input [width - 1 : 0] D_IN_4 ; + + reg [width - 1 : 0] Q_OUT_0 ; + wire [width - 1 : 0] Q_OUT_1 ; + wire [width - 1 : 0] Q_OUT_2 ; + wire [width - 1 : 0] Q_OUT_3 ; + wire [width - 1 : 0] Q_OUT_4 ; + wire [width - 1 : 0] Q_OUT_5 ; + + assign Q_OUT_1 = EN_0 ? D_IN_0 : Q_OUT_0 ; + assign Q_OUT_2 = EN_1 ? D_IN_1 : Q_OUT_1 ; + assign Q_OUT_3 = EN_2 ? D_IN_2 : Q_OUT_2 ; + assign Q_OUT_4 = EN_3 ? D_IN_3 : Q_OUT_3 ; + assign Q_OUT_5 = EN_4 ? D_IN_4 : Q_OUT_4 ; + + always@(posedge CLK) + begin + Q_OUT_0 <= `BSV_ASSIGNMENT_DELAY Q_OUT_5 ; + end + +`ifdef BSV_NO_INITIAL_BLOCKS +`else // not BSV_NO_INITIAL_BLOCKS + // synopsys translate_off + initial begin + Q_OUT_0 = {((width + 1)/2){2'b10}} ; + end + // synopsys translate_on +`endif // BSV_NO_INITIAL_BLOCKS + +endmodule + diff --git a/ethernet/RoCEv2/blue-lib/ConfigRegN.v b/ethernet/RoCEv2/blue-lib/ConfigRegN.v new file mode 100644 index 0000000000..05c5aed665 --- /dev/null +++ b/ethernet/RoCEv2/blue-lib/ConfigRegN.v @@ -0,0 +1,50 @@ + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + +`ifdef BSV_POSITIVE_RESET + `define BSV_RESET_VALUE 1'b1 + `define BSV_RESET_EDGE posedge +`else + `define BSV_RESET_VALUE 1'b0 + `define BSV_RESET_EDGE negedge +`endif + + +module ConfigRegN(CLK, RST, Q_OUT, D_IN, EN); + + parameter width = 1; + parameter init = { width {1'b0} } ; + + input CLK; + input RST; + input EN; + input [width - 1 : 0] D_IN; + output [width - 1 : 0] Q_OUT; + + reg [width - 1 : 0] Q_OUT; + + always@(posedge CLK) + begin + if (RST == `BSV_RESET_VALUE) + Q_OUT <= `BSV_ASSIGNMENT_DELAY init; + else + begin + if (EN) + Q_OUT <= `BSV_ASSIGNMENT_DELAY D_IN; + end // else: !if(RST == `BSV_RESET_VALUE) + end + +`ifdef BSV_NO_INITIAL_BLOCKS +`else // not BSV_NO_INITIAL_BLOCKS + // synopsys translate_off + initial begin + Q_OUT = {((width + 1)/2){2'b10}} ; + end + // synopsys translate_on +`endif // BSV_NO_INITIAL_BLOCKS + +endmodule + diff --git a/ethernet/RoCEv2/blue-lib/Counter.v b/ethernet/RoCEv2/blue-lib/Counter.v new file mode 100644 index 0000000000..fc51e0cfa4 --- /dev/null +++ b/ethernet/RoCEv2/blue-lib/Counter.v @@ -0,0 +1,75 @@ + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + +`ifdef BSV_POSITIVE_RESET + `define BSV_RESET_VALUE 1'b1 + `define BSV_RESET_EDGE posedge +`else + `define BSV_RESET_VALUE 1'b0 + `define BSV_RESET_EDGE negedge +`endif + + +`ifdef BSV_ASYNC_RESET + `define BSV_ARESET_EDGE_META or `BSV_RESET_EDGE RST +`else + `define BSV_ARESET_EDGE_META +`endif + + +// N -bit counter with load, set and 2 increment +module Counter(CLK, + RST, + Q_OUT, + DATA_A, ADDA, + DATA_B, ADDB, + DATA_C, SETC, + DATA_F, SETF); + + parameter width = 1; + parameter init = 0; + + input CLK; + input RST; + input [width - 1 : 0] DATA_A; + input ADDA; + input [width - 1 : 0] DATA_B; + input ADDB; + input [width - 1 : 0] DATA_C; + input SETC; + input [width - 1 : 0] DATA_F; + input SETF; + + output [width - 1 : 0] Q_OUT; + + + + reg [width - 1 : 0] q_state ; + + assign Q_OUT = q_state ; + + always@(posedge CLK `BSV_ARESET_EDGE_META) begin + if (RST == `BSV_RESET_VALUE) + q_state <= `BSV_ASSIGNMENT_DELAY init; + else + begin + if ( SETF ) + q_state <= `BSV_ASSIGNMENT_DELAY DATA_F ; + else + q_state <= `BSV_ASSIGNMENT_DELAY (SETC ? DATA_C : q_state ) + (ADDA ? DATA_A : {width {1'b0}}) + (ADDB ? DATA_B : {width {1'b0}} ) ; + end // else: !if(RST == `BSV_RESET_VALUE) + end // always@ (posedge CLK) + +`ifdef BSV_NO_INITIAL_BLOCKS +`else // not BSV_NO_INITIAL_BLOCKS + // synopsys translate_off + initial begin + q_state = {((width + 1)/2){2'b10}} ; + end + // synopsys translate_on +`endif // BSV_NO_INITIAL_BLOCKS + +endmodule diff --git a/ethernet/RoCEv2/blue-lib/FIFO2.v b/ethernet/RoCEv2/blue-lib/FIFO2.v new file mode 100644 index 0000000000..070b518865 --- /dev/null +++ b/ethernet/RoCEv2/blue-lib/FIFO2.v @@ -0,0 +1,153 @@ + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + +`ifdef BSV_POSITIVE_RESET + `define BSV_RESET_VALUE 1'b1 + `define BSV_RESET_EDGE posedge +`else + `define BSV_RESET_VALUE 1'b0 + `define BSV_RESET_EDGE negedge +`endif + +`ifdef BSV_ASYNC_RESET + `define BSV_ARESET_EDGE_META or `BSV_RESET_EDGE RST +`else + `define BSV_ARESET_EDGE_META +`endif + +`ifdef BSV_RESET_FIFO_HEAD + `define BSV_ARESET_EDGE_HEAD `BSV_ARESET_EDGE_META +`else + `define BSV_ARESET_EDGE_HEAD +`endif + +// Depth 2 FIFO +module FIFO2(CLK, + RST, + D_IN, + ENQ, + FULL_N, + D_OUT, + DEQ, + EMPTY_N, + CLR); + + parameter width = 1; + parameter guarded = 1'b1; + + input CLK ; + input RST ; + input [width - 1 : 0] D_IN; + input ENQ; + input DEQ; + input CLR ; + + output FULL_N; + output EMPTY_N; + output [width - 1 : 0] D_OUT; + + reg full_reg; + reg empty_reg; + reg [width - 1 : 0] data0_reg; + reg [width - 1 : 0] data1_reg; + + assign FULL_N = full_reg ; + assign EMPTY_N = empty_reg ; + assign D_OUT = data0_reg ; + + + // Optimize the loading logic since state encoding is not power of 2! + wire d0di = (ENQ && ! empty_reg ) || ( ENQ && DEQ && full_reg ) ; + wire d0d1 = DEQ && ! full_reg ; + wire d0h = ((! DEQ) && (! ENQ )) || (!DEQ && empty_reg ) || ( ! ENQ &&full_reg) ; + wire d1di = ENQ & empty_reg ; + +`ifdef BSV_NO_INITIAL_BLOCKS +`else // not BSV_NO_INITIAL_BLOCKS + // synopsys translate_off + initial + begin + data0_reg = {((width + 1)/2) {2'b10}} ; + data1_reg = {((width + 1)/2) {2'b10}} ; + empty_reg = 1'b0; + full_reg = 1'b1; + end // initial begin + // synopsys translate_on +`endif // BSV_NO_INITIAL_BLOCKS + + always@(posedge CLK `BSV_ARESET_EDGE_META) + begin + if (RST == `BSV_RESET_VALUE) + begin + empty_reg <= `BSV_ASSIGNMENT_DELAY 1'b0; + full_reg <= `BSV_ASSIGNMENT_DELAY 1'b1; + end // if (RST == `BSV_RESET_VALUE) + else + begin + if (CLR) + begin + empty_reg <= `BSV_ASSIGNMENT_DELAY 1'b0; + full_reg <= `BSV_ASSIGNMENT_DELAY 1'b1; + end // if (CLR) + else if ( ENQ && ! DEQ ) // just enq + begin + empty_reg <= `BSV_ASSIGNMENT_DELAY 1'b1; + full_reg <= `BSV_ASSIGNMENT_DELAY ! empty_reg ; + end + else if ( DEQ && ! ENQ ) + begin + full_reg <= `BSV_ASSIGNMENT_DELAY 1'b1; + empty_reg <= `BSV_ASSIGNMENT_DELAY ! full_reg; + end // if ( DEQ && ! ENQ ) + end // else: !if(RST == `BSV_RESET_VALUE) + + end // always@ (posedge CLK or `BSV_RESET_EDGE RST) + + + always@(posedge CLK `BSV_ARESET_EDGE_HEAD) + begin +`ifdef BSV_RESET_FIFO_HEAD + if (RST == `BSV_RESET_VALUE) + begin + data0_reg <= `BSV_ASSIGNMENT_DELAY {width {1'b0}} ; + data1_reg <= `BSV_ASSIGNMENT_DELAY {width {1'b0}} ; + end + else +`endif + begin + data0_reg <= `BSV_ASSIGNMENT_DELAY + {width{d0di}} & D_IN | {width{d0d1}} & data1_reg | {width{d0h}} & data0_reg ; + data1_reg <= `BSV_ASSIGNMENT_DELAY + d1di ? D_IN : data1_reg ; + end // else: !if(RST == `BSV_RESET_VALUE) + end // always@ (posedge CLK or `BSV_RESET_EDGE RST) + + + + // synopsys translate_off + always@(posedge CLK) + begin: error_checks + reg deqerror, enqerror ; + + deqerror = 0; + enqerror = 0; + if (RST == ! `BSV_RESET_VALUE) + begin + if ( ! empty_reg && DEQ ) + begin + deqerror = 1; + $display( "Warning: FIFO2: %m -- Dequeuing from empty fifo" ) ; + end + if ( ! full_reg && ENQ && (!DEQ || guarded) ) + begin + enqerror = 1; + $display( "Warning: FIFO2: %m -- Enqueuing to a full fifo" ) ; + end + end + end // always@ (posedge CLK) + // synopsys translate_on + +endmodule diff --git a/ethernet/RoCEv2/blue-lib/FIFO20.v b/ethernet/RoCEv2/blue-lib/FIFO20.v new file mode 100644 index 0000000000..e06e428545 --- /dev/null +++ b/ethernet/RoCEv2/blue-lib/FIFO20.v @@ -0,0 +1,110 @@ + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + +`ifdef BSV_POSITIVE_RESET + `define BSV_RESET_VALUE 1'b1 + `define BSV_RESET_EDGE posedge +`else + `define BSV_RESET_VALUE 1'b0 + `define BSV_RESET_EDGE negedge +`endif + + +`ifdef BSV_ASYNC_RESET + `define BSV_ARESET_EDGE_META or `BSV_RESET_EDGE RST +`else + `define BSV_ARESET_EDGE_META +`endif + + +// Depth 2 FIFO Data width 0 +module FIFO20(CLK, + RST, + ENQ, + FULL_N, + DEQ, + EMPTY_N, + CLR + ); + parameter guarded = 1'b1; + + input RST; + input CLK; + input ENQ; + input CLR; + input DEQ; + + output FULL_N; + output EMPTY_N; + + reg empty_reg; + reg full_reg; + + assign FULL_N = full_reg ; + assign EMPTY_N = empty_reg ; + +`ifdef BSV_NO_INITIAL_BLOCKS +`else // not BSV_NO_INITIAL_BLOCKS + // synopsys translate_off + initial + begin + empty_reg = 1'b0 ; + full_reg = 1'b1 ; + end // initial begin + // synopsys translate_on +`endif // BSV_NO_INITIAL_BLOCKS + + always@(posedge CLK `BSV_ARESET_EDGE_META) + begin + if (RST == `BSV_RESET_VALUE) + begin + empty_reg <= `BSV_ASSIGNMENT_DELAY 1'b0; + full_reg <= `BSV_ASSIGNMENT_DELAY 1'b1; + end // if (RST == `BSV_RESET_VALUE) + else + begin + if (CLR) + begin + empty_reg <= `BSV_ASSIGNMENT_DELAY 1'b0; + full_reg <= `BSV_ASSIGNMENT_DELAY 1'b1; + end + else if (ENQ && !DEQ) + begin + empty_reg <= `BSV_ASSIGNMENT_DELAY 1'b1; + full_reg <= `BSV_ASSIGNMENT_DELAY ! empty_reg; + end // if (ENQ && !DEQ) + else if (!ENQ && DEQ) + begin + full_reg <= `BSV_ASSIGNMENT_DELAY 1'b1; + empty_reg <= `BSV_ASSIGNMENT_DELAY ! full_reg; + end // if (!ENQ && DEQ) + end // else: !if(RST == `BSV_RESET_VALUE) + end // always@ (posedge CLK or `BSV_RESET_EDGE RST) + + // synopsys translate_off + always@(posedge CLK) + begin: error_checks + reg deqerror, enqerror ; + + deqerror = 0; + enqerror = 0; + if (RST == ! `BSV_RESET_VALUE) + begin + if ( ! empty_reg && DEQ ) + begin + deqerror = 1 ; + $display( "Warning: FIFO20: %m -- Dequeuing from empty fifo" ) ; + end + if ( ! full_reg && ENQ && (!DEQ || guarded) ) + begin + enqerror = 1 ; + $display( "Warning: FIFO20: %m -- Enqueuing to a full fifo" ) ; + end + end // if (RST == ! `BSV_RESET_VALUE) + end + // synopsys translate_on + +endmodule diff --git a/ethernet/RoCEv2/blue-lib/RWire.v b/ethernet/RoCEv2/blue-lib/RWire.v new file mode 100644 index 0000000000..c4b366d0a7 --- /dev/null +++ b/ethernet/RoCEv2/blue-lib/RWire.v @@ -0,0 +1,22 @@ + +`ifdef BSV_ASSIGNMENT_DELAY +`else +`define BSV_ASSIGNMENT_DELAY +`endif + + +module RWire(WGET, WHAS, WVAL, WSET); + + + parameter width = 1; + + input [width - 1 : 0] WVAL; + input WSET; + + output [width - 1 : 0] WGET; + output WHAS; + + assign WGET = WVAL; + assign WHAS = WSET; + +endmodule diff --git a/ethernet/RoCEv2/blue-lib/RWire0.v b/ethernet/RoCEv2/blue-lib/RWire0.v new file mode 100644 index 0000000000..02eb7ce0f1 --- /dev/null +++ b/ethernet/RoCEv2/blue-lib/RWire0.v @@ -0,0 +1,14 @@ + +`ifdef BSV_ASSIGNMENT_DELAY +`else +`define BSV_ASSIGNMENT_DELAY +`endif + + +module RWire0(WHAS, WSET); + input WSET; + output WHAS; + + assign WHAS = WSET; + +endmodule diff --git a/ethernet/RoCEv2/blue-lib/RegN.v b/ethernet/RoCEv2/blue-lib/RegN.v new file mode 100644 index 0000000000..9798617824 --- /dev/null +++ b/ethernet/RoCEv2/blue-lib/RegN.v @@ -0,0 +1,50 @@ + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + +`ifdef BSV_POSITIVE_RESET + `define BSV_RESET_VALUE 1'b1 + `define BSV_RESET_EDGE posedge +`else + `define BSV_RESET_VALUE 1'b0 + `define BSV_RESET_EDGE negedge +`endif + + +module RegN(CLK, RST, Q_OUT, D_IN, EN); + + parameter width = 1; + parameter init = { width {1'b0} } ; + + input CLK; + input RST; + input EN; + input [width - 1 : 0] D_IN; + output [width - 1 : 0] Q_OUT; + + reg [width - 1 : 0] Q_OUT; + + always@(posedge CLK) + begin + if (RST == `BSV_RESET_VALUE) + Q_OUT <= `BSV_ASSIGNMENT_DELAY init; + else + begin + if (EN) + Q_OUT <= `BSV_ASSIGNMENT_DELAY D_IN; + end // else: !if(RST == `BSV_RESET_VALUE) + end + +`ifdef BSV_NO_INITIAL_BLOCKS +`else // not BSV_NO_INITIAL_BLOCKS + // synopsys translate_off + initial begin + Q_OUT = {((width + 1)/2){2'b10}} ; + end + // synopsys translate_on +`endif // BSV_NO_INITIAL_BLOCKS + +endmodule + diff --git a/ethernet/RoCEv2/blue-lib/RegUN.v b/ethernet/RoCEv2/blue-lib/RegUN.v new file mode 100644 index 0000000000..c35b1c34bb --- /dev/null +++ b/ethernet/RoCEv2/blue-lib/RegUN.v @@ -0,0 +1,35 @@ + +`ifdef BSV_ASSIGNMENT_DELAY +`else +`define BSV_ASSIGNMENT_DELAY +`endif + + +// Basic register without reset. +module RegUN(CLK, EN, D_IN, Q_OUT); + parameter width = 1; + + input CLK; + input EN; + input [width - 1 : 0] D_IN; + + output [width - 1 : 0] Q_OUT; + reg [width - 1 : 0] Q_OUT; + +`ifdef BSV_NO_INITIAL_BLOCKS +`else // not BSV_NO_INITIAL_BLOCKS + // synopsys translate_off + initial begin + Q_OUT = {((width + 1)/2){2'b10}} ; + end + // synopsys translate_on +`endif // BSV_NO_INITIAL_BLOCKS + + + always@(posedge CLK) + begin + if (EN) + Q_OUT <= `BSV_ASSIGNMENT_DELAY D_IN; + end +endmodule + diff --git a/ethernet/RoCEv2/blue-lib/SizedFIFO.v b/ethernet/RoCEv2/blue-lib/SizedFIFO.v new file mode 100644 index 0000000000..18714ac6ab --- /dev/null +++ b/ethernet/RoCEv2/blue-lib/SizedFIFO.v @@ -0,0 +1,258 @@ + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + +`ifdef BSV_POSITIVE_RESET + `define BSV_RESET_VALUE 1'b1 + `define BSV_RESET_EDGE posedge +`else + `define BSV_RESET_VALUE 1'b0 + `define BSV_RESET_EDGE negedge +`endif + +`ifdef BSV_ASYNC_RESET + `define BSV_ARESET_EDGE_META or `BSV_RESET_EDGE RST +`else + `define BSV_ARESET_EDGE_META +`endif + +`ifdef BSV_RESET_FIFO_HEAD + `define BSV_ARESET_EDGE_HEAD `BSV_ARESET_EDGE_META +`else + `define BSV_ARESET_EDGE_HEAD +`endif + +`ifdef BSV_RESET_FIFO_ARRAY + `define BSV_ARESET_EDGE_ARRAY `BSV_ARESET_EDGE_META +`else + `define BSV_ARESET_EDGE_ARRAY +`endif + + +// Sized fifo. Model has output register which improves timing +module SizedFIFO(CLK, RST, D_IN, ENQ, FULL_N, D_OUT, DEQ, EMPTY_N, CLR); + parameter p1width = 1; // data width + parameter p2depth = 3; + parameter p3cntr_width = 1; // log(p2depth-1) + // The -1 is allowed since this model has a fast output register + parameter guarded = 1'b1; + localparam p2depth2 = (p2depth >= 2) ? (p2depth -2) : 0 ; + + input CLK; + input RST; + input CLR; + input [p1width - 1 : 0] D_IN; + input ENQ; + input DEQ; + + output FULL_N; + output EMPTY_N; + output [p1width - 1 : 0] D_OUT; + + reg not_ring_full; + reg ring_empty; + + reg [p3cntr_width-1 : 0] head; + wire [p3cntr_width-1 : 0] next_head; + + reg [p3cntr_width-1 : 0] tail; + wire [p3cntr_width-1 : 0] next_tail; + + // if the depth is too small, don't create an ill-sized array; + // instead, make a 1-sized array and let the initial block report an error + reg [p1width - 1 : 0] arr[0: p2depth2]; + + reg [p1width - 1 : 0] D_OUT; + reg hasodata; + + wire [p3cntr_width-1:0] depthLess2 = p2depth2[p3cntr_width-1:0] ; + + wire [p3cntr_width-1 : 0] incr_tail; + wire [p3cntr_width-1 : 0] incr_head; + + assign incr_tail = tail + 1'b1 ; + assign incr_head = head + 1'b1 ; + + assign next_head = (head == depthLess2 ) ? {p3cntr_width{1'b0}} : incr_head ; + assign next_tail = (tail == depthLess2 ) ? {p3cntr_width{1'b0}} : incr_tail ; + + assign EMPTY_N = hasodata; + assign FULL_N = not_ring_full; + +`ifdef BSV_NO_INITIAL_BLOCKS +`else // not BSV_NO_INITIAL_BLOCKS + // synopsys translate_off + initial + begin : initial_block + integer i; + D_OUT = {((p1width + 1)/2){2'b10}} ; + + ring_empty = 1'b1; + not_ring_full = 1'b1; + hasodata = 1'b0; + head = {p3cntr_width {1'b0}} ; + tail = {p3cntr_width {1'b0}} ; + + for (i = 0; i <= p2depth2; i = i + 1) + begin + arr[i] = D_OUT ; + end + end + // synopsys translate_on +`endif // BSV_NO_INITIAL_BLOCKS + + + always @(posedge CLK `BSV_ARESET_EDGE_META) + begin + if (RST == `BSV_RESET_VALUE) + begin + head <= `BSV_ASSIGNMENT_DELAY {p3cntr_width {1'b0}} ; + tail <= `BSV_ASSIGNMENT_DELAY {p3cntr_width {1'b0}} ; + ring_empty <= `BSV_ASSIGNMENT_DELAY 1'b1; + not_ring_full <= `BSV_ASSIGNMENT_DELAY 1'b1; + hasodata <= `BSV_ASSIGNMENT_DELAY 1'b0; + end // if (RST == `BSV_RESET_VALUE) + else + begin + + casez ({CLR, DEQ, ENQ, hasodata, ring_empty}) + // Clear operation + 5'b1????: begin + head <= `BSV_ASSIGNMENT_DELAY {p3cntr_width {1'b0}} ; + tail <= `BSV_ASSIGNMENT_DELAY {p3cntr_width {1'b0}} ; + ring_empty <= `BSV_ASSIGNMENT_DELAY 1'b1; + not_ring_full <= `BSV_ASSIGNMENT_DELAY 1'b1; + hasodata <= `BSV_ASSIGNMENT_DELAY 1'b0; + end + // ----------------------- + // DEQ && ENQ case -- change head and tail if added to ring + 5'b011?0: begin + tail <= `BSV_ASSIGNMENT_DELAY next_tail; + head <= `BSV_ASSIGNMENT_DELAY next_head; + end + // ----------------------- + // DEQ only and NO data is in ring + 5'b010?1: begin + hasodata <= `BSV_ASSIGNMENT_DELAY 1'b0; + end + // DEQ only and data is in ring (move the head pointer) + 5'b010?0: begin + head <= `BSV_ASSIGNMENT_DELAY next_head; + not_ring_full <= `BSV_ASSIGNMENT_DELAY 1'b1; + ring_empty <= `BSV_ASSIGNMENT_DELAY next_head == tail ; + end + // ----------------------- + // ENQ only when empty + 5'b0010?: begin + hasodata <= `BSV_ASSIGNMENT_DELAY 1'b1; + end + // ENQ only when not empty + 5'b0011?: begin + if ( not_ring_full ) // Drop this test to save redundant test + // but be warnned that with test fifo overflow causes loss of new data + // while without test fifo drops all but head entry! (pointer overflow) + begin + tail <= `BSV_ASSIGNMENT_DELAY next_tail; + ring_empty <= `BSV_ASSIGNMENT_DELAY 1'b0; + not_ring_full <= `BSV_ASSIGNMENT_DELAY ! (next_tail == head) ; + end + end + endcase + end // else: !if(RST == `BSV_RESET_VALUE) + end // always @ (posedge CLK) + + // Update the fast data out register + always @(posedge CLK `BSV_ARESET_EDGE_HEAD) + begin +`ifdef BSV_RESET_FIFO_HEAD + if (RST == `BSV_RESET_VALUE) + begin + D_OUT <= `BSV_ASSIGNMENT_DELAY {p1width {1'b0}} ; + end // if (RST == `BSV_RESET_VALUE) + else +`endif + begin + casez ({CLR, DEQ, ENQ, hasodata, ring_empty}) + // DEQ && ENQ cases + 5'b011?0: begin D_OUT <= `BSV_ASSIGNMENT_DELAY arr[head]; end + 5'b011?1: begin D_OUT <= `BSV_ASSIGNMENT_DELAY D_IN; end + // DEQ only and data is in ring + 5'b010?0: begin D_OUT <= `BSV_ASSIGNMENT_DELAY arr[head]; end + // ENQ only when empty + 5'b0010?: begin D_OUT <= `BSV_ASSIGNMENT_DELAY D_IN; end + endcase + end // else: !if(RST == `BSV_RESET_VALUE) + end // always @ (posedge CLK) + + // Update the memory array reset is OFF + always @(posedge CLK `BSV_ARESET_EDGE_ARRAY) + begin: array +`ifdef BSV_RESET_FIFO_ARRAY + if (RST == `BSV_RESET_VALUE) + begin: rst_array + integer i; + for (i = 0; i <= p2depth2 && p2depth > 2; i = i + 1) + begin + arr[i] <= `BSV_ASSIGNMENT_DELAY {p1width {1'b0}} ; + end + end // if (RST == `BSV_RESET_VALUE) + else +`endif + begin + if (!CLR && ENQ && ((DEQ && !ring_empty) || (!DEQ && hasodata && not_ring_full))) + begin + arr[tail] <= `BSV_ASSIGNMENT_DELAY D_IN; + end + end // else: !if(RST == `BSV_RESET_VALUE) + end // always @ (posedge CLK) + + // synopsys translate_off + always@(posedge CLK) + begin: error_checks + reg deqerror, enqerror ; + + deqerror = 0; + enqerror = 0; + if (RST == ! `BSV_RESET_VALUE) + begin + if ( ! EMPTY_N && DEQ ) + begin + deqerror = 1 ; + $display( "Warning: SizedFIFO: %m -- Dequeuing from empty fifo" ) ; + end + if ( ! FULL_N && ENQ && (!DEQ || guarded) ) + begin + enqerror = 1 ; + $display( "Warning: SizedFIFO: %m -- Enqueuing to a full fifo" ) ; + end + end + end // block: error_checks + // synopsys translate_on + + // synopsys translate_off + // Some assertions about parameter values + initial + begin : parameter_assertions + integer ok ; + ok = 1 ; + + if ( p2depth <= 1) + begin + ok = 0; + $display ( "Warning SizedFIFO: %m -- depth parameter increased from %0d to 2", p2depth); + end + + if ( p3cntr_width <= 0 ) + begin + ok = 0; + $display ( "ERROR SizedFIFO: %m -- width parameter must be greater than 0" ) ; + end + + if ( ok == 0 ) $finish ; + + end // initial begin + // synopsys translate_on + +endmodule diff --git a/ethernet/RoCEv2/blue-rdma/mkAxisTransportLayer.v b/ethernet/RoCEv2/blue-rdma/mkAxisTransportLayer.v new file mode 100644 index 0000000000..dab26d35f2 --- /dev/null +++ b/ethernet/RoCEv2/blue-rdma/mkAxisTransportLayer.v @@ -0,0 +1,1096 @@ +/* + * ------------------------------------------------------------------- + * This Verilog file has been automatically generated from a core originally written + * in Bluespec SystemVerilog (BSV). The original source code can be found at: + * + * Repository: https://github.com/datenlord/blue-rdma + * Author: DatenLord (https://datenlord.github.io/) + * + * Modifications have been made to the original core before compiling the Verilog. + * For any questions or further information regarding the modifications, please + * feel free to contact me. + * + * Modifications by: Filippo Marini + * Email: filippo.marini@pd.infn.it + * ------------------------------------------------------------------- + */ +// +// Generated by Bluespec Compiler, version 2023.01 (build 52adafa) +// +// +// +// Ports: +// Name I/O size props +// s_work_req_ready O 1 reg +// s_data_stream_tready O 1 reg +// m_data_stream_tvalid O 1 reg +// m_data_stream_tdata O 256 reg +// m_data_stream_tkeep O 32 reg +// m_data_stream_tfirst O 1 reg +// m_data_stream_tlast O 1 reg +// m_work_comp_sq_valid O 1 reg +// m_work_comp_sq_id O 64 reg +// m_work_comp_sq_op_code O 8 reg +// m_work_comp_sq_flags O 7 reg +// m_work_comp_sq_status O 5 reg +// m_work_comp_sq_len O 32 reg +// m_work_comp_sq_pkey O 16 reg +// m_work_comp_sq_qpn O 24 reg +// m_work_comp_sq_imm_dt O 33 reg +// m_work_comp_sq_rkey_to_inv O 33 reg +// s_meta_data_tready O 1 reg +// m_meta_data_tvalid O 1 reg +// m_meta_data_tdata O 276 reg +// m_dma_read_valid O 1 reg +// m_dma_read_initiator O 4 reg +// m_dma_read_sqpn O 24 reg +// m_dma_read_wr_id O 64 reg +// m_dma_read_start_addr O 64 reg +// m_dma_read_len O 13 reg +// m_dma_read_mr_idx O 1 reg +// s_dma_read_ready O 1 reg +// CLK I 1 clock +// RST_N I 1 reset +// s_work_req_valid I 1 +// s_work_req_id I 64 reg +// s_work_req_op_code I 4 reg +// s_work_req_flags I 5 reg +// s_work_req_raddr I 64 reg +// s_work_req_rkey I 32 reg +// s_work_req_len I 32 reg +// s_work_req_laddr I 64 reg +// s_work_req_lkey I 32 reg +// s_work_req_sqpn I 24 reg +// s_work_req_solicited I 1 reg +// s_work_req_comp I 65 reg +// s_work_req_swap I 65 reg +// s_work_req_imm_dt I 33 reg +// s_work_req_rkey_to_inv I 33 reg +// s_work_req_srqn I 25 reg +// s_work_req_dqpn I 25 reg +// s_work_req_qkey I 33 reg +// s_data_stream_tvalid I 1 +// s_data_stream_tdata I 256 reg +// s_data_stream_tkeep I 32 reg +// s_data_stream_tfirst I 1 reg +// s_data_stream_tlast I 1 reg +// m_data_stream_tready I 1 +// m_work_comp_sq_ready I 1 +// s_meta_data_tvalid I 1 +// s_meta_data_tdata I 303 reg +// m_meta_data_tready I 1 +// m_dma_read_ready I 1 +// s_dma_read_valid I 1 +// s_dma_read_initiator I 4 reg +// s_dma_read_sqpn I 24 reg +// s_dma_read_wr_id I 64 reg +// s_dma_read_is_resp_err I 1 reg +// s_dma_read_data_stream I 290 reg +// +// No combinational paths from inputs to outputs +// +// + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + +`ifdef BSV_POSITIVE_RESET + `define BSV_RESET_VALUE 1'b1 + `define BSV_RESET_EDGE posedge +`else + `define BSV_RESET_VALUE 1'b0 + `define BSV_RESET_EDGE negedge +`endif + +module mkAxiSTransportLayer(CLK, + RST_N, + + s_work_req_valid, + s_work_req_id, + s_work_req_op_code, + s_work_req_flags, + s_work_req_raddr, + s_work_req_rkey, + s_work_req_len, + s_work_req_laddr, + s_work_req_lkey, + s_work_req_sqpn, + s_work_req_solicited, + s_work_req_comp, + s_work_req_swap, + s_work_req_imm_dt, + s_work_req_rkey_to_inv, + s_work_req_srqn, + s_work_req_dqpn, + s_work_req_qkey, + + s_work_req_ready, + + s_data_stream_tvalid, + s_data_stream_tdata, + s_data_stream_tkeep, + s_data_stream_tfirst, + s_data_stream_tlast, + + s_data_stream_tready, + + m_data_stream_tvalid, + + m_data_stream_tdata, + + m_data_stream_tkeep, + + m_data_stream_tfirst, + + m_data_stream_tlast, + + m_data_stream_tready, + + m_work_comp_sq_valid, + + m_work_comp_sq_id, + + m_work_comp_sq_op_code, + + m_work_comp_sq_flags, + + m_work_comp_sq_status, + + m_work_comp_sq_len, + + m_work_comp_sq_pkey, + + m_work_comp_sq_qpn, + + m_work_comp_sq_imm_dt, + + m_work_comp_sq_rkey_to_inv, + + m_work_comp_sq_ready, + + s_meta_data_tvalid, + s_meta_data_tdata, + + s_meta_data_tready, + + m_meta_data_tvalid, + + m_meta_data_tdata, + + m_meta_data_tready, + + m_dma_read_valid, + + m_dma_read_initiator, + + m_dma_read_sqpn, + + m_dma_read_wr_id, + + m_dma_read_start_addr, + + m_dma_read_len, + + m_dma_read_mr_idx, + + m_dma_read_ready, + + s_dma_read_valid, + s_dma_read_initiator, + s_dma_read_sqpn, + s_dma_read_wr_id, + s_dma_read_is_resp_err, + s_dma_read_data_stream, + + s_dma_read_ready); + input CLK; + input RST_N; + + // action method rawWorkReqIn_validData + input s_work_req_valid; + input [63 : 0] s_work_req_id; + input [3 : 0] s_work_req_op_code; + input [4 : 0] s_work_req_flags; + input [63 : 0] s_work_req_raddr; + input [31 : 0] s_work_req_rkey; + input [31 : 0] s_work_req_len; + input [63 : 0] s_work_req_laddr; + input [31 : 0] s_work_req_lkey; + input [23 : 0] s_work_req_sqpn; + input s_work_req_solicited; + input [64 : 0] s_work_req_comp; + input [64 : 0] s_work_req_swap; + input [32 : 0] s_work_req_imm_dt; + input [32 : 0] s_work_req_rkey_to_inv; + input [24 : 0] s_work_req_srqn; + input [24 : 0] s_work_req_dqpn; + input [32 : 0] s_work_req_qkey; + + // value method rawWorkReqIn_ready + output s_work_req_ready; + + // action method rawRdmaDataStreamIn_validData + input s_data_stream_tvalid; + input [255 : 0] s_data_stream_tdata; + input [31 : 0] s_data_stream_tkeep; + input s_data_stream_tfirst; + input s_data_stream_tlast; + + // value method rawRdmaDataStreamIn_ready + output s_data_stream_tready; + + // value method rawRdmaDataStreamOut_valid + output m_data_stream_tvalid; + + // value method rawRdmaDataStreamOut_data + output [255 : 0] m_data_stream_tdata; + + // value method rawRdmaDataStreamOut_byteEn + output [31 : 0] m_data_stream_tkeep; + + // value method rawRdmaDataStreamOut_isFirst + output m_data_stream_tfirst; + + // value method rawRdmaDataStreamOut_isLast + output m_data_stream_tlast; + + // action method rawRdmaDataStreamOut_ready + input m_data_stream_tready; + + // value method rawWorkCompSQOut_valid + output m_work_comp_sq_valid; + + // value method rawWorkCompSQOut_id + output [63 : 0] m_work_comp_sq_id; + + // value method rawWorkCompSQOut_opcode + output [7 : 0] m_work_comp_sq_op_code; + + // value method rawWorkCompSQOut_flags + output [6 : 0] m_work_comp_sq_flags; + + // value method rawWorkCompSQOut_status + output [4 : 0] m_work_comp_sq_status; + + // value method rawWorkCompSQOut_len + output [31 : 0] m_work_comp_sq_len; + + // value method rawWorkCompSQOut_pKey + output [15 : 0] m_work_comp_sq_pkey; + + // value method rawWorkCompSQOut_qpn + output [23 : 0] m_work_comp_sq_qpn; + + // value method rawWorkCompSQOut_immDt + output [32 : 0] m_work_comp_sq_imm_dt; + + // value method rawWorkCompSQOut_rkey2Inv + output [32 : 0] m_work_comp_sq_rkey_to_inv; + + // action method rawWorkCompSQOut_ready + input m_work_comp_sq_ready; + + // action method rawMetaDataStreamIn_validData + input s_meta_data_tvalid; + input [302 : 0] s_meta_data_tdata; + + // value method rawMetaDataStreamIn_ready + output s_meta_data_tready; + + // value method rawMetaDataStreamOut_valid + output m_meta_data_tvalid; + + // value method rawMetaDataStreamOut_metaDataResp + output [275 : 0] m_meta_data_tdata; + + // action method rawMetaDataStreamOut_ready + input m_meta_data_tready; + + // value method rawDmaReadCltStreamOut_valid + output m_dma_read_valid; + + // value method rawDmaReadCltStreamOut_initiator + output [3 : 0] m_dma_read_initiator; + + // value method rawDmaReadCltStreamOut_sqpn + output [23 : 0] m_dma_read_sqpn; + + // value method rawDmaReadCltStreamOut_wrID + output [63 : 0] m_dma_read_wr_id; + + // value method rawDmaReadCltStreamOut_startAddr + output [63 : 0] m_dma_read_start_addr; + + // value method rawDmaReadCltStreamOut_len + output [12 : 0] m_dma_read_len; + + // value method rawDmaReadCltStreamOut_mrIdx + output m_dma_read_mr_idx; + + // action method rawDmaReadCltStreamOut_ready + input m_dma_read_ready; + + // action method rawDmaReadCltStreamIn_validData + input s_dma_read_valid; + input [3 : 0] s_dma_read_initiator; + input [23 : 0] s_dma_read_sqpn; + input [63 : 0] s_dma_read_wr_id; + input s_dma_read_is_resp_err; + input [289 : 0] s_dma_read_data_stream; + + // value method rawDmaReadCltStreamIn_ready + output s_dma_read_ready; + + // signals for module outputs + wire [275 : 0] m_meta_data_tdata; + wire [255 : 0] m_data_stream_tdata; + wire [63 : 0] m_dma_read_start_addr, m_dma_read_wr_id, m_work_comp_sq_id; + wire [32 : 0] m_work_comp_sq_imm_dt, m_work_comp_sq_rkey_to_inv; + wire [31 : 0] m_data_stream_tkeep, m_work_comp_sq_len; + wire [23 : 0] m_dma_read_sqpn, m_work_comp_sq_qpn; + wire [15 : 0] m_work_comp_sq_pkey; + wire [12 : 0] m_dma_read_len; + wire [7 : 0] m_work_comp_sq_op_code; + wire [6 : 0] m_work_comp_sq_flags; + wire [4 : 0] m_work_comp_sq_status; + wire [3 : 0] m_dma_read_initiator; + wire m_data_stream_tfirst, + m_data_stream_tlast, + m_data_stream_tvalid, + m_dma_read_mr_idx, + m_dma_read_valid, + m_meta_data_tvalid, + m_work_comp_sq_valid, + s_data_stream_tready, + s_dma_read_ready, + s_meta_data_tready, + s_work_req_ready; + + // inlined wires + wire [600 : 0] rawWorkReqSlv_rawBus_rawBus_dataW_wget; + wire [382 : 0] rawDmaReadCltStreamSlv_rawBus_rawBus_dataW_wget; + wire [302 : 0] rawMetaDataStreamSlv_rawBus_rawBus_dataW_wget; + wire [289 : 0] rawRdmaDataStreamMst_rawBus_rawBus_dataW_wget, + rawRdmaDataStreamSlv_rawBus_rawBus_dataW_wget; + wire [275 : 0] rawMetaDataStreamMst_rawBus_rawBus_dataW_wget; + wire [221 : 0] rawWorkCompSQMst_rawBus_rawBus_dataW_wget; + wire [169 : 0] rawDmaReadCltStreamMst_rawBus_rawBus_dataW_wget; + wire rawDmaReadCltStreamMst_rawBus_rawBus_dataW_whas, + rawDmaReadCltStreamMst_rawBus_rawBus_readyW_wget, + rawDmaReadCltStreamSlv_rawBus_rawBus_validW_wget, + rawMetaDataStreamMst_rawBus_rawBus_dataW_whas, + rawMetaDataStreamMst_rawBus_rawBus_readyW_wget, + rawMetaDataStreamSlv_rawBus_rawBus_validW_wget, + rawRdmaDataStreamMst_rawBus_rawBus_dataW_whas, + rawRdmaDataStreamMst_rawBus_rawBus_readyW_wget, + rawRdmaDataStreamSlv_rawBus_rawBus_validW_wget, + rawWorkCompSQMst_rawBus_rawBus_dataW_whas, + rawWorkCompSQMst_rawBus_rawBus_readyW_wget, + rawWorkReqSlv_rawBus_rawBus_validW_wget; + + // ports of submodule rawDmaReadCltStreamMst_rawBus_fifo + wire [169 : 0] rawDmaReadCltStreamMst_rawBus_fifo_D_IN, + rawDmaReadCltStreamMst_rawBus_fifo_D_OUT; + wire rawDmaReadCltStreamMst_rawBus_fifo_CLR, + rawDmaReadCltStreamMst_rawBus_fifo_DEQ, + rawDmaReadCltStreamMst_rawBus_fifo_EMPTY_N, + rawDmaReadCltStreamMst_rawBus_fifo_ENQ, + rawDmaReadCltStreamMst_rawBus_fifo_FULL_N; + + // ports of submodule rawDmaReadCltStreamSlv_rawBus_fifo + wire [382 : 0] rawDmaReadCltStreamSlv_rawBus_fifo_D_IN, + rawDmaReadCltStreamSlv_rawBus_fifo_D_OUT; + wire rawDmaReadCltStreamSlv_rawBus_fifo_CLR, + rawDmaReadCltStreamSlv_rawBus_fifo_DEQ, + rawDmaReadCltStreamSlv_rawBus_fifo_EMPTY_N, + rawDmaReadCltStreamSlv_rawBus_fifo_ENQ, + rawDmaReadCltStreamSlv_rawBus_fifo_FULL_N; + + // ports of submodule rawMetaDataStreamMst_rawBus_fifo + reg [275 : 0] rawMetaDataStreamMst_rawBus_fifo_D_IN; + wire [275 : 0] rawMetaDataStreamMst_rawBus_fifo_D_OUT; + wire rawMetaDataStreamMst_rawBus_fifo_CLR, + rawMetaDataStreamMst_rawBus_fifo_DEQ, + rawMetaDataStreamMst_rawBus_fifo_EMPTY_N, + rawMetaDataStreamMst_rawBus_fifo_ENQ, + rawMetaDataStreamMst_rawBus_fifo_FULL_N; + + // ports of submodule rawMetaDataStreamSlv_rawBus_fifo + wire [302 : 0] rawMetaDataStreamSlv_rawBus_fifo_D_IN, + rawMetaDataStreamSlv_rawBus_fifo_D_OUT; + wire rawMetaDataStreamSlv_rawBus_fifo_CLR, + rawMetaDataStreamSlv_rawBus_fifo_DEQ, + rawMetaDataStreamSlv_rawBus_fifo_EMPTY_N, + rawMetaDataStreamSlv_rawBus_fifo_ENQ, + rawMetaDataStreamSlv_rawBus_fifo_FULL_N; + + // ports of submodule rawRdmaDataStreamMst_rawBus_fifo + wire [289 : 0] rawRdmaDataStreamMst_rawBus_fifo_D_IN, + rawRdmaDataStreamMst_rawBus_fifo_D_OUT; + wire rawRdmaDataStreamMst_rawBus_fifo_CLR, + rawRdmaDataStreamMst_rawBus_fifo_DEQ, + rawRdmaDataStreamMst_rawBus_fifo_EMPTY_N, + rawRdmaDataStreamMst_rawBus_fifo_ENQ, + rawRdmaDataStreamMst_rawBus_fifo_FULL_N; + + // ports of submodule rawRdmaDataStreamSlv_rawBus_fifo + wire [289 : 0] rawRdmaDataStreamSlv_rawBus_fifo_D_IN, + rawRdmaDataStreamSlv_rawBus_fifo_D_OUT; + wire rawRdmaDataStreamSlv_rawBus_fifo_CLR, + rawRdmaDataStreamSlv_rawBus_fifo_DEQ, + rawRdmaDataStreamSlv_rawBus_fifo_EMPTY_N, + rawRdmaDataStreamSlv_rawBus_fifo_ENQ, + rawRdmaDataStreamSlv_rawBus_fifo_FULL_N; + + // ports of submodule rawWorkCompSQMst_rawBus_fifo + wire [221 : 0] rawWorkCompSQMst_rawBus_fifo_D_IN, + rawWorkCompSQMst_rawBus_fifo_D_OUT; + wire rawWorkCompSQMst_rawBus_fifo_CLR, + rawWorkCompSQMst_rawBus_fifo_DEQ, + rawWorkCompSQMst_rawBus_fifo_EMPTY_N, + rawWorkCompSQMst_rawBus_fifo_ENQ, + rawWorkCompSQMst_rawBus_fifo_FULL_N; + + // ports of submodule rawWorkReqSlv_rawBus_fifo + wire [600 : 0] rawWorkReqSlv_rawBus_fifo_D_IN, + rawWorkReqSlv_rawBus_fifo_D_OUT; + wire rawWorkReqSlv_rawBus_fifo_CLR, + rawWorkReqSlv_rawBus_fifo_DEQ, + rawWorkReqSlv_rawBus_fifo_EMPTY_N, + rawWorkReqSlv_rawBus_fifo_ENQ, + rawWorkReqSlv_rawBus_fifo_FULL_N; + + // ports of submodule transportLayer + wire [600 : 0] transportLayer_workReqInput_put; + wire [382 : 0] transportLayer_dmaReadClt_response_put; + wire [302 : 0] transportLayer_srvPortMetaData_request_put; + wire [289 : 0] transportLayer_rdmaDataStreamInput_put, + transportLayer_rdmaDataStreamPipeOut_first; + wire [275 : 0] transportLayer_srvPortMetaData_response_get; + wire [221 : 0] transportLayer_workCompPipeOutSQ_first; + wire [169 : 0] transportLayer_dmaReadClt_request_get; + wire transportLayer_EN_dmaReadClt_request_get, + transportLayer_EN_dmaReadClt_response_put, + transportLayer_EN_rdmaDataStreamInput_put, + transportLayer_EN_rdmaDataStreamPipeOut_deq, + transportLayer_EN_srvPortMetaData_request_put, + transportLayer_EN_srvPortMetaData_response_get, + transportLayer_EN_workCompPipeOutSQ_deq, + transportLayer_EN_workReqInput_put, + transportLayer_RDY_dmaReadClt_request_get, + transportLayer_RDY_dmaReadClt_response_put, + transportLayer_RDY_rdmaDataStreamInput_put, + transportLayer_RDY_rdmaDataStreamPipeOut_deq, + transportLayer_RDY_rdmaDataStreamPipeOut_first, + transportLayer_RDY_srvPortMetaData_request_put, + transportLayer_RDY_srvPortMetaData_response_get, + transportLayer_RDY_workCompPipeOutSQ_deq, + transportLayer_RDY_workCompPipeOutSQ_first, + transportLayer_RDY_workReqInput_put; + + // rule scheduling signals + wire CAN_FIRE_RL_rawDmaReadCltStreamMst_rawBus_mkConnectionGetPut, + CAN_FIRE_RL_rawDmaReadCltStreamMst_rawBus_rawBus_passReady, + CAN_FIRE_RL_rawDmaReadCltStreamMst_rawBus_rawBus_passWire, + CAN_FIRE_RL_rawDmaReadCltStreamSlv_rawBus_mkConnectionGetPut, + CAN_FIRE_RL_rawDmaReadCltStreamSlv_rawBus_rawBus_passData, + CAN_FIRE_RL_rawMetaDataStreamMst_rawBus_mkConnectionGetPut, + CAN_FIRE_RL_rawMetaDataStreamMst_rawBus_rawBus_passReady, + CAN_FIRE_RL_rawMetaDataStreamMst_rawBus_rawBus_passWire, + CAN_FIRE_RL_rawMetaDataStreamSlv_rawBus_mkConnectionGetPut, + CAN_FIRE_RL_rawMetaDataStreamSlv_rawBus_rawBus_passData, + CAN_FIRE_RL_rawRdmaDataStreamMst_rawBus_mkConnectionGetPut, + CAN_FIRE_RL_rawRdmaDataStreamMst_rawBus_rawBus_passReady, + CAN_FIRE_RL_rawRdmaDataStreamMst_rawBus_rawBus_passWire, + CAN_FIRE_RL_rawRdmaDataStreamSlv_rawBus_mkConnectionGetPut, + CAN_FIRE_RL_rawRdmaDataStreamSlv_rawBus_rawBus_passData, + CAN_FIRE_RL_rawWorkCompSQMst_rawBus_mkConnectionGetPut, + CAN_FIRE_RL_rawWorkCompSQMst_rawBus_rawBus_passReady, + CAN_FIRE_RL_rawWorkCompSQMst_rawBus_rawBus_passWire, + CAN_FIRE_RL_rawWorkReqSlv_rawBus_mkConnectionGetPut, + CAN_FIRE_RL_rawWorkReqSlv_rawBus_rawBus_passData, + CAN_FIRE_rawDmaReadCltStreamIn_validData, + CAN_FIRE_rawDmaReadCltStreamOut_ready, + CAN_FIRE_rawMetaDataStreamIn_validData, + CAN_FIRE_rawMetaDataStreamOut_ready, + CAN_FIRE_rawRdmaDataStreamIn_validData, + CAN_FIRE_rawRdmaDataStreamOut_ready, + CAN_FIRE_rawWorkCompSQOut_ready, + CAN_FIRE_rawWorkReqIn_validData, + WILL_FIRE_RL_rawDmaReadCltStreamMst_rawBus_mkConnectionGetPut, + WILL_FIRE_RL_rawDmaReadCltStreamMst_rawBus_rawBus_passReady, + WILL_FIRE_RL_rawDmaReadCltStreamMst_rawBus_rawBus_passWire, + WILL_FIRE_RL_rawDmaReadCltStreamSlv_rawBus_mkConnectionGetPut, + WILL_FIRE_RL_rawDmaReadCltStreamSlv_rawBus_rawBus_passData, + WILL_FIRE_RL_rawMetaDataStreamMst_rawBus_mkConnectionGetPut, + WILL_FIRE_RL_rawMetaDataStreamMst_rawBus_rawBus_passReady, + WILL_FIRE_RL_rawMetaDataStreamMst_rawBus_rawBus_passWire, + WILL_FIRE_RL_rawMetaDataStreamSlv_rawBus_mkConnectionGetPut, + WILL_FIRE_RL_rawMetaDataStreamSlv_rawBus_rawBus_passData, + WILL_FIRE_RL_rawRdmaDataStreamMst_rawBus_mkConnectionGetPut, + WILL_FIRE_RL_rawRdmaDataStreamMst_rawBus_rawBus_passReady, + WILL_FIRE_RL_rawRdmaDataStreamMst_rawBus_rawBus_passWire, + WILL_FIRE_RL_rawRdmaDataStreamSlv_rawBus_mkConnectionGetPut, + WILL_FIRE_RL_rawRdmaDataStreamSlv_rawBus_rawBus_passData, + WILL_FIRE_RL_rawWorkCompSQMst_rawBus_mkConnectionGetPut, + WILL_FIRE_RL_rawWorkCompSQMst_rawBus_rawBus_passReady, + WILL_FIRE_RL_rawWorkCompSQMst_rawBus_rawBus_passWire, + WILL_FIRE_RL_rawWorkReqSlv_rawBus_mkConnectionGetPut, + WILL_FIRE_RL_rawWorkReqSlv_rawBus_rawBus_passData, + WILL_FIRE_rawDmaReadCltStreamIn_validData, + WILL_FIRE_rawDmaReadCltStreamOut_ready, + WILL_FIRE_rawMetaDataStreamIn_validData, + WILL_FIRE_rawMetaDataStreamOut_ready, + WILL_FIRE_rawRdmaDataStreamIn_validData, + WILL_FIRE_rawRdmaDataStreamOut_ready, + WILL_FIRE_rawWorkCompSQOut_ready, + WILL_FIRE_rawWorkReqIn_validData; + + // action method rawWorkReqIn_validData + assign CAN_FIRE_rawWorkReqIn_validData = 1'd1 ; + assign WILL_FIRE_rawWorkReqIn_validData = 1'd1 ; + + // value method rawWorkReqIn_ready + assign s_work_req_ready = rawWorkReqSlv_rawBus_fifo_FULL_N ; + + // action method rawRdmaDataStreamIn_validData + assign CAN_FIRE_rawRdmaDataStreamIn_validData = 1'd1 ; + assign WILL_FIRE_rawRdmaDataStreamIn_validData = 1'd1 ; + + // value method rawRdmaDataStreamIn_ready + assign s_data_stream_tready = rawRdmaDataStreamSlv_rawBus_fifo_FULL_N ; + + // value method rawRdmaDataStreamOut_valid + assign m_data_stream_tvalid = rawRdmaDataStreamMst_rawBus_fifo_EMPTY_N ; + + // value method rawRdmaDataStreamOut_data + assign m_data_stream_tdata = + rawRdmaDataStreamMst_rawBus_fifo_D_OUT[289:34] ; + + // value method rawRdmaDataStreamOut_byteEn + assign m_data_stream_tkeep = rawRdmaDataStreamMst_rawBus_fifo_D_OUT[33:2] ; + + // value method rawRdmaDataStreamOut_isFirst + assign m_data_stream_tfirst = rawRdmaDataStreamMst_rawBus_fifo_D_OUT[1] ; + + // value method rawRdmaDataStreamOut_isLast + assign m_data_stream_tlast = rawRdmaDataStreamMst_rawBus_fifo_D_OUT[0] ; + + // action method rawRdmaDataStreamOut_ready + assign CAN_FIRE_rawRdmaDataStreamOut_ready = 1'd1 ; + assign WILL_FIRE_rawRdmaDataStreamOut_ready = 1'd1 ; + + // value method rawWorkCompSQOut_valid + assign m_work_comp_sq_valid = rawWorkCompSQMst_rawBus_fifo_EMPTY_N ; + + // value method rawWorkCompSQOut_id + assign m_work_comp_sq_id = rawWorkCompSQMst_rawBus_fifo_D_OUT[221:158] ; + + // value method rawWorkCompSQOut_opcode + assign m_work_comp_sq_op_code = + rawWorkCompSQMst_rawBus_fifo_D_OUT[157:150] ; + + // value method rawWorkCompSQOut_flags + assign m_work_comp_sq_flags = rawWorkCompSQMst_rawBus_fifo_D_OUT[149:143] ; + + // value method rawWorkCompSQOut_status + assign m_work_comp_sq_status = rawWorkCompSQMst_rawBus_fifo_D_OUT[142:138] ; + + // value method rawWorkCompSQOut_len + assign m_work_comp_sq_len = rawWorkCompSQMst_rawBus_fifo_D_OUT[137:106] ; + + // value method rawWorkCompSQOut_pKey + assign m_work_comp_sq_pkey = rawWorkCompSQMst_rawBus_fifo_D_OUT[105:90] ; + + // value method rawWorkCompSQOut_qpn + assign m_work_comp_sq_qpn = rawWorkCompSQMst_rawBus_fifo_D_OUT[89:66] ; + + // value method rawWorkCompSQOut_immDt + assign m_work_comp_sq_imm_dt = rawWorkCompSQMst_rawBus_fifo_D_OUT[65:33] ; + + // value method rawWorkCompSQOut_rkey2Inv + assign m_work_comp_sq_rkey_to_inv = + rawWorkCompSQMst_rawBus_fifo_D_OUT[32:0] ; + + // action method rawWorkCompSQOut_ready + assign CAN_FIRE_rawWorkCompSQOut_ready = 1'd1 ; + assign WILL_FIRE_rawWorkCompSQOut_ready = 1'd1 ; + + // action method rawMetaDataStreamIn_validData + assign CAN_FIRE_rawMetaDataStreamIn_validData = 1'd1 ; + assign WILL_FIRE_rawMetaDataStreamIn_validData = 1'd1 ; + + // value method rawMetaDataStreamIn_ready + assign s_meta_data_tready = rawMetaDataStreamSlv_rawBus_fifo_FULL_N ; + + // value method rawMetaDataStreamOut_valid + assign m_meta_data_tvalid = rawMetaDataStreamMst_rawBus_fifo_EMPTY_N ; + + // value method rawMetaDataStreamOut_metaDataResp + assign m_meta_data_tdata = rawMetaDataStreamMst_rawBus_fifo_D_OUT ; + + // action method rawMetaDataStreamOut_ready + assign CAN_FIRE_rawMetaDataStreamOut_ready = 1'd1 ; + assign WILL_FIRE_rawMetaDataStreamOut_ready = 1'd1 ; + + // value method rawDmaReadCltStreamOut_valid + assign m_dma_read_valid = rawDmaReadCltStreamMst_rawBus_fifo_EMPTY_N ; + + // value method rawDmaReadCltStreamOut_initiator + assign m_dma_read_initiator = + rawDmaReadCltStreamMst_rawBus_fifo_D_OUT[169:166] ; + + // value method rawDmaReadCltStreamOut_sqpn + assign m_dma_read_sqpn = rawDmaReadCltStreamMst_rawBus_fifo_D_OUT[165:142] ; + + // value method rawDmaReadCltStreamOut_wrID + assign m_dma_read_wr_id = rawDmaReadCltStreamMst_rawBus_fifo_D_OUT[141:78] ; + + // value method rawDmaReadCltStreamOut_startAddr + assign m_dma_read_start_addr = + rawDmaReadCltStreamMst_rawBus_fifo_D_OUT[77:14] ; + + // value method rawDmaReadCltStreamOut_len + assign m_dma_read_len = rawDmaReadCltStreamMst_rawBus_fifo_D_OUT[13:1] ; + + // value method rawDmaReadCltStreamOut_mrIdx + assign m_dma_read_mr_idx = rawDmaReadCltStreamMst_rawBus_fifo_D_OUT[0] ; + + // action method rawDmaReadCltStreamOut_ready + assign CAN_FIRE_rawDmaReadCltStreamOut_ready = 1'd1 ; + assign WILL_FIRE_rawDmaReadCltStreamOut_ready = 1'd1 ; + + // action method rawDmaReadCltStreamIn_validData + assign CAN_FIRE_rawDmaReadCltStreamIn_validData = 1'd1 ; + assign WILL_FIRE_rawDmaReadCltStreamIn_validData = 1'd1 ; + + // value method rawDmaReadCltStreamIn_ready + assign s_dma_read_ready = rawDmaReadCltStreamSlv_rawBus_fifo_FULL_N ; + + // submodule rawDmaReadCltStreamMst_rawBus_fifo + FIFO2 #(.width(32'd170), + .guarded(1'd1)) rawDmaReadCltStreamMst_rawBus_fifo(.RST(RST_N), + .CLK(CLK), + .D_IN(rawDmaReadCltStreamMst_rawBus_fifo_D_IN), + .ENQ(rawDmaReadCltStreamMst_rawBus_fifo_ENQ), + .DEQ(rawDmaReadCltStreamMst_rawBus_fifo_DEQ), + .CLR(rawDmaReadCltStreamMst_rawBus_fifo_CLR), + .D_OUT(rawDmaReadCltStreamMst_rawBus_fifo_D_OUT), + .FULL_N(rawDmaReadCltStreamMst_rawBus_fifo_FULL_N), + .EMPTY_N(rawDmaReadCltStreamMst_rawBus_fifo_EMPTY_N)); + + // submodule rawDmaReadCltStreamSlv_rawBus_fifo + FIFO2 #(.width(32'd383), + .guarded(1'd1)) rawDmaReadCltStreamSlv_rawBus_fifo(.RST(RST_N), + .CLK(CLK), + .D_IN(rawDmaReadCltStreamSlv_rawBus_fifo_D_IN), + .ENQ(rawDmaReadCltStreamSlv_rawBus_fifo_ENQ), + .DEQ(rawDmaReadCltStreamSlv_rawBus_fifo_DEQ), + .CLR(rawDmaReadCltStreamSlv_rawBus_fifo_CLR), + .D_OUT(rawDmaReadCltStreamSlv_rawBus_fifo_D_OUT), + .FULL_N(rawDmaReadCltStreamSlv_rawBus_fifo_FULL_N), + .EMPTY_N(rawDmaReadCltStreamSlv_rawBus_fifo_EMPTY_N)); + + // submodule rawMetaDataStreamMst_rawBus_fifo + FIFO2 #(.width(32'd276), + .guarded(1'd1)) rawMetaDataStreamMst_rawBus_fifo(.RST(RST_N), + .CLK(CLK), + .D_IN(rawMetaDataStreamMst_rawBus_fifo_D_IN), + .ENQ(rawMetaDataStreamMst_rawBus_fifo_ENQ), + .DEQ(rawMetaDataStreamMst_rawBus_fifo_DEQ), + .CLR(rawMetaDataStreamMst_rawBus_fifo_CLR), + .D_OUT(rawMetaDataStreamMst_rawBus_fifo_D_OUT), + .FULL_N(rawMetaDataStreamMst_rawBus_fifo_FULL_N), + .EMPTY_N(rawMetaDataStreamMst_rawBus_fifo_EMPTY_N)); + + // submodule rawMetaDataStreamSlv_rawBus_fifo + FIFO2 #(.width(32'd303), + .guarded(1'd1)) rawMetaDataStreamSlv_rawBus_fifo(.RST(RST_N), + .CLK(CLK), + .D_IN(rawMetaDataStreamSlv_rawBus_fifo_D_IN), + .ENQ(rawMetaDataStreamSlv_rawBus_fifo_ENQ), + .DEQ(rawMetaDataStreamSlv_rawBus_fifo_DEQ), + .CLR(rawMetaDataStreamSlv_rawBus_fifo_CLR), + .D_OUT(rawMetaDataStreamSlv_rawBus_fifo_D_OUT), + .FULL_N(rawMetaDataStreamSlv_rawBus_fifo_FULL_N), + .EMPTY_N(rawMetaDataStreamSlv_rawBus_fifo_EMPTY_N)); + + // submodule rawRdmaDataStreamMst_rawBus_fifo + FIFO2 #(.width(32'd290), + .guarded(1'd1)) rawRdmaDataStreamMst_rawBus_fifo(.RST(RST_N), + .CLK(CLK), + .D_IN(rawRdmaDataStreamMst_rawBus_fifo_D_IN), + .ENQ(rawRdmaDataStreamMst_rawBus_fifo_ENQ), + .DEQ(rawRdmaDataStreamMst_rawBus_fifo_DEQ), + .CLR(rawRdmaDataStreamMst_rawBus_fifo_CLR), + .D_OUT(rawRdmaDataStreamMst_rawBus_fifo_D_OUT), + .FULL_N(rawRdmaDataStreamMst_rawBus_fifo_FULL_N), + .EMPTY_N(rawRdmaDataStreamMst_rawBus_fifo_EMPTY_N)); + + // submodule rawRdmaDataStreamSlv_rawBus_fifo + FIFO2 #(.width(32'd290), + .guarded(1'd1)) rawRdmaDataStreamSlv_rawBus_fifo(.RST(RST_N), + .CLK(CLK), + .D_IN(rawRdmaDataStreamSlv_rawBus_fifo_D_IN), + .ENQ(rawRdmaDataStreamSlv_rawBus_fifo_ENQ), + .DEQ(rawRdmaDataStreamSlv_rawBus_fifo_DEQ), + .CLR(rawRdmaDataStreamSlv_rawBus_fifo_CLR), + .D_OUT(rawRdmaDataStreamSlv_rawBus_fifo_D_OUT), + .FULL_N(rawRdmaDataStreamSlv_rawBus_fifo_FULL_N), + .EMPTY_N(rawRdmaDataStreamSlv_rawBus_fifo_EMPTY_N)); + + // submodule rawWorkCompSQMst_rawBus_fifo + FIFO2 #(.width(32'd222), + .guarded(1'd1)) rawWorkCompSQMst_rawBus_fifo(.RST(RST_N), + .CLK(CLK), + .D_IN(rawWorkCompSQMst_rawBus_fifo_D_IN), + .ENQ(rawWorkCompSQMst_rawBus_fifo_ENQ), + .DEQ(rawWorkCompSQMst_rawBus_fifo_DEQ), + .CLR(rawWorkCompSQMst_rawBus_fifo_CLR), + .D_OUT(rawWorkCompSQMst_rawBus_fifo_D_OUT), + .FULL_N(rawWorkCompSQMst_rawBus_fifo_FULL_N), + .EMPTY_N(rawWorkCompSQMst_rawBus_fifo_EMPTY_N)); + + // submodule rawWorkReqSlv_rawBus_fifo + FIFO2 #(.width(32'd601), + .guarded(1'd1)) rawWorkReqSlv_rawBus_fifo(.RST(RST_N), + .CLK(CLK), + .D_IN(rawWorkReqSlv_rawBus_fifo_D_IN), + .ENQ(rawWorkReqSlv_rawBus_fifo_ENQ), + .DEQ(rawWorkReqSlv_rawBus_fifo_DEQ), + .CLR(rawWorkReqSlv_rawBus_fifo_CLR), + .D_OUT(rawWorkReqSlv_rawBus_fifo_D_OUT), + .FULL_N(rawWorkReqSlv_rawBus_fifo_FULL_N), + .EMPTY_N(rawWorkReqSlv_rawBus_fifo_EMPTY_N)); + + // submodule transportLayer + mkTransportLayer transportLayer(.CLK(CLK), + .RST_N(RST_N), + .dmaReadClt_response_put(transportLayer_dmaReadClt_response_put), + .rdmaDataStreamInput_put(transportLayer_rdmaDataStreamInput_put), + .srvPortMetaData_request_put(transportLayer_srvPortMetaData_request_put), + .workReqInput_put(transportLayer_workReqInput_put), + .EN_workReqInput_put(transportLayer_EN_workReqInput_put), + .EN_rdmaDataStreamInput_put(transportLayer_EN_rdmaDataStreamInput_put), + .EN_rdmaDataStreamPipeOut_deq(transportLayer_EN_rdmaDataStreamPipeOut_deq), + .EN_workCompPipeOutSQ_deq(transportLayer_EN_workCompPipeOutSQ_deq), + .EN_srvPortMetaData_request_put(transportLayer_EN_srvPortMetaData_request_put), + .EN_srvPortMetaData_response_get(transportLayer_EN_srvPortMetaData_response_get), + .EN_dmaReadClt_request_get(transportLayer_EN_dmaReadClt_request_get), + .EN_dmaReadClt_response_put(transportLayer_EN_dmaReadClt_response_put), + .RDY_workReqInput_put(transportLayer_RDY_workReqInput_put), + .RDY_rdmaDataStreamInput_put(transportLayer_RDY_rdmaDataStreamInput_put), + .rdmaDataStreamPipeOut_first(transportLayer_rdmaDataStreamPipeOut_first), + .RDY_rdmaDataStreamPipeOut_first(transportLayer_RDY_rdmaDataStreamPipeOut_first), + .RDY_rdmaDataStreamPipeOut_deq(transportLayer_RDY_rdmaDataStreamPipeOut_deq), + .rdmaDataStreamPipeOut_notEmpty(), + .RDY_rdmaDataStreamPipeOut_notEmpty(), + .workCompPipeOutSQ_first(transportLayer_workCompPipeOutSQ_first), + .RDY_workCompPipeOutSQ_first(transportLayer_RDY_workCompPipeOutSQ_first), + .RDY_workCompPipeOutSQ_deq(transportLayer_RDY_workCompPipeOutSQ_deq), + .workCompPipeOutSQ_notEmpty(), + .RDY_workCompPipeOutSQ_notEmpty(), + .RDY_srvPortMetaData_request_put(transportLayer_RDY_srvPortMetaData_request_put), + .srvPortMetaData_response_get(transportLayer_srvPortMetaData_response_get), + .RDY_srvPortMetaData_response_get(transportLayer_RDY_srvPortMetaData_response_get), + .dmaReadClt_request_get(transportLayer_dmaReadClt_request_get), + .RDY_dmaReadClt_request_get(transportLayer_RDY_dmaReadClt_request_get), + .RDY_dmaReadClt_response_put(transportLayer_RDY_dmaReadClt_response_put)); + + // rule RL_rawWorkReqSlv_rawBus_mkConnectionGetPut + assign CAN_FIRE_RL_rawWorkReqSlv_rawBus_mkConnectionGetPut = + transportLayer_RDY_workReqInput_put && + rawWorkReqSlv_rawBus_fifo_EMPTY_N ; + assign WILL_FIRE_RL_rawWorkReqSlv_rawBus_mkConnectionGetPut = + CAN_FIRE_RL_rawWorkReqSlv_rawBus_mkConnectionGetPut ; + + // rule RL_rawWorkReqSlv_rawBus_rawBus_passData + assign CAN_FIRE_RL_rawWorkReqSlv_rawBus_rawBus_passData = + rawWorkReqSlv_rawBus_fifo_FULL_N && s_work_req_valid ; + assign WILL_FIRE_RL_rawWorkReqSlv_rawBus_rawBus_passData = + CAN_FIRE_RL_rawWorkReqSlv_rawBus_rawBus_passData ; + + // rule RL_rawRdmaDataStreamSlv_rawBus_mkConnectionGetPut + assign CAN_FIRE_RL_rawRdmaDataStreamSlv_rawBus_mkConnectionGetPut = + transportLayer_RDY_rdmaDataStreamInput_put && + rawRdmaDataStreamSlv_rawBus_fifo_EMPTY_N ; + assign WILL_FIRE_RL_rawRdmaDataStreamSlv_rawBus_mkConnectionGetPut = + CAN_FIRE_RL_rawRdmaDataStreamSlv_rawBus_mkConnectionGetPut ; + + // rule RL_rawRdmaDataStreamSlv_rawBus_rawBus_passData + assign CAN_FIRE_RL_rawRdmaDataStreamSlv_rawBus_rawBus_passData = + rawRdmaDataStreamSlv_rawBus_fifo_FULL_N && s_data_stream_tvalid ; + assign WILL_FIRE_RL_rawRdmaDataStreamSlv_rawBus_rawBus_passData = + CAN_FIRE_RL_rawRdmaDataStreamSlv_rawBus_rawBus_passData ; + + // rule RL_rawRdmaDataStreamMst_rawBus_rawBus_passWire + assign CAN_FIRE_RL_rawRdmaDataStreamMst_rawBus_rawBus_passWire = + rawRdmaDataStreamMst_rawBus_fifo_EMPTY_N ; + assign WILL_FIRE_RL_rawRdmaDataStreamMst_rawBus_rawBus_passWire = + rawRdmaDataStreamMst_rawBus_fifo_EMPTY_N ; + + // rule RL_rawRdmaDataStreamMst_rawBus_rawBus_passReady + assign CAN_FIRE_RL_rawRdmaDataStreamMst_rawBus_rawBus_passReady = + rawRdmaDataStreamMst_rawBus_fifo_EMPTY_N && + m_data_stream_tready ; + assign WILL_FIRE_RL_rawRdmaDataStreamMst_rawBus_rawBus_passReady = + CAN_FIRE_RL_rawRdmaDataStreamMst_rawBus_rawBus_passReady ; + + // rule RL_rawRdmaDataStreamMst_rawBus_mkConnectionGetPut + assign CAN_FIRE_RL_rawRdmaDataStreamMst_rawBus_mkConnectionGetPut = + transportLayer_RDY_rdmaDataStreamPipeOut_deq && + transportLayer_RDY_rdmaDataStreamPipeOut_first && + rawRdmaDataStreamMst_rawBus_fifo_FULL_N ; + assign WILL_FIRE_RL_rawRdmaDataStreamMst_rawBus_mkConnectionGetPut = + CAN_FIRE_RL_rawRdmaDataStreamMst_rawBus_mkConnectionGetPut ; + + // rule RL_rawWorkCompSQMst_rawBus_rawBus_passWire + assign CAN_FIRE_RL_rawWorkCompSQMst_rawBus_rawBus_passWire = + rawWorkCompSQMst_rawBus_fifo_EMPTY_N ; + assign WILL_FIRE_RL_rawWorkCompSQMst_rawBus_rawBus_passWire = + rawWorkCompSQMst_rawBus_fifo_EMPTY_N ; + + // rule RL_rawWorkCompSQMst_rawBus_rawBus_passReady + assign CAN_FIRE_RL_rawWorkCompSQMst_rawBus_rawBus_passReady = + rawWorkCompSQMst_rawBus_fifo_EMPTY_N && m_work_comp_sq_ready ; + assign WILL_FIRE_RL_rawWorkCompSQMst_rawBus_rawBus_passReady = + CAN_FIRE_RL_rawWorkCompSQMst_rawBus_rawBus_passReady ; + + // rule RL_rawWorkCompSQMst_rawBus_mkConnectionGetPut + assign CAN_FIRE_RL_rawWorkCompSQMst_rawBus_mkConnectionGetPut = + transportLayer_RDY_workCompPipeOutSQ_deq && + transportLayer_RDY_workCompPipeOutSQ_first && + rawWorkCompSQMst_rawBus_fifo_FULL_N ; + assign WILL_FIRE_RL_rawWorkCompSQMst_rawBus_mkConnectionGetPut = + CAN_FIRE_RL_rawWorkCompSQMst_rawBus_mkConnectionGetPut ; + + // rule RL_rawMetaDataStreamMst_rawBus_rawBus_passWire + assign CAN_FIRE_RL_rawMetaDataStreamMst_rawBus_rawBus_passWire = + rawMetaDataStreamMst_rawBus_fifo_EMPTY_N ; + assign WILL_FIRE_RL_rawMetaDataStreamMst_rawBus_rawBus_passWire = + rawMetaDataStreamMst_rawBus_fifo_EMPTY_N ; + + // rule RL_rawMetaDataStreamMst_rawBus_rawBus_passReady + assign CAN_FIRE_RL_rawMetaDataStreamMst_rawBus_rawBus_passReady = + rawMetaDataStreamMst_rawBus_fifo_EMPTY_N && m_meta_data_tready ; + assign WILL_FIRE_RL_rawMetaDataStreamMst_rawBus_rawBus_passReady = + CAN_FIRE_RL_rawMetaDataStreamMst_rawBus_rawBus_passReady ; + + // rule RL_rawMetaDataStreamMst_rawBus_mkConnectionGetPut + assign CAN_FIRE_RL_rawMetaDataStreamMst_rawBus_mkConnectionGetPut = + transportLayer_RDY_srvPortMetaData_response_get && + rawMetaDataStreamMst_rawBus_fifo_FULL_N ; + assign WILL_FIRE_RL_rawMetaDataStreamMst_rawBus_mkConnectionGetPut = + CAN_FIRE_RL_rawMetaDataStreamMst_rawBus_mkConnectionGetPut ; + + // rule RL_rawMetaDataStreamSlv_rawBus_mkConnectionGetPut + assign CAN_FIRE_RL_rawMetaDataStreamSlv_rawBus_mkConnectionGetPut = + transportLayer_RDY_srvPortMetaData_request_put && + rawMetaDataStreamSlv_rawBus_fifo_EMPTY_N ; + assign WILL_FIRE_RL_rawMetaDataStreamSlv_rawBus_mkConnectionGetPut = + CAN_FIRE_RL_rawMetaDataStreamSlv_rawBus_mkConnectionGetPut ; + + // rule RL_rawMetaDataStreamSlv_rawBus_rawBus_passData + assign CAN_FIRE_RL_rawMetaDataStreamSlv_rawBus_rawBus_passData = + rawMetaDataStreamSlv_rawBus_fifo_FULL_N && s_meta_data_tvalid ; + assign WILL_FIRE_RL_rawMetaDataStreamSlv_rawBus_rawBus_passData = + CAN_FIRE_RL_rawMetaDataStreamSlv_rawBus_rawBus_passData ; + + // rule RL_rawDmaReadCltStreamMst_rawBus_rawBus_passWire + assign CAN_FIRE_RL_rawDmaReadCltStreamMst_rawBus_rawBus_passWire = + rawDmaReadCltStreamMst_rawBus_fifo_EMPTY_N ; + assign WILL_FIRE_RL_rawDmaReadCltStreamMst_rawBus_rawBus_passWire = + rawDmaReadCltStreamMst_rawBus_fifo_EMPTY_N ; + + // rule RL_rawDmaReadCltStreamMst_rawBus_rawBus_passReady + assign CAN_FIRE_RL_rawDmaReadCltStreamMst_rawBus_rawBus_passReady = + rawDmaReadCltStreamMst_rawBus_fifo_EMPTY_N && m_dma_read_ready ; + assign WILL_FIRE_RL_rawDmaReadCltStreamMst_rawBus_rawBus_passReady = + CAN_FIRE_RL_rawDmaReadCltStreamMst_rawBus_rawBus_passReady ; + + // rule RL_rawDmaReadCltStreamMst_rawBus_mkConnectionGetPut + assign CAN_FIRE_RL_rawDmaReadCltStreamMst_rawBus_mkConnectionGetPut = + transportLayer_RDY_dmaReadClt_request_get && + rawDmaReadCltStreamMst_rawBus_fifo_FULL_N ; + assign WILL_FIRE_RL_rawDmaReadCltStreamMst_rawBus_mkConnectionGetPut = + CAN_FIRE_RL_rawDmaReadCltStreamMst_rawBus_mkConnectionGetPut ; + + // rule RL_rawDmaReadCltStreamSlv_rawBus_mkConnectionGetPut + assign CAN_FIRE_RL_rawDmaReadCltStreamSlv_rawBus_mkConnectionGetPut = + transportLayer_RDY_dmaReadClt_response_put && + rawDmaReadCltStreamSlv_rawBus_fifo_EMPTY_N ; + assign WILL_FIRE_RL_rawDmaReadCltStreamSlv_rawBus_mkConnectionGetPut = + CAN_FIRE_RL_rawDmaReadCltStreamSlv_rawBus_mkConnectionGetPut ; + + // rule RL_rawDmaReadCltStreamSlv_rawBus_rawBus_passData + assign CAN_FIRE_RL_rawDmaReadCltStreamSlv_rawBus_rawBus_passData = + rawDmaReadCltStreamSlv_rawBus_fifo_FULL_N && s_dma_read_valid ; + assign WILL_FIRE_RL_rawDmaReadCltStreamSlv_rawBus_rawBus_passData = + CAN_FIRE_RL_rawDmaReadCltStreamSlv_rawBus_rawBus_passData ; + + // inlined wires + assign rawRdmaDataStreamMst_rawBus_rawBus_dataW_wget = + rawRdmaDataStreamMst_rawBus_fifo_D_OUT ; + assign rawRdmaDataStreamMst_rawBus_rawBus_dataW_whas = + rawRdmaDataStreamMst_rawBus_fifo_EMPTY_N ; + assign rawWorkCompSQMst_rawBus_rawBus_dataW_wget = + rawWorkCompSQMst_rawBus_fifo_D_OUT ; + assign rawWorkCompSQMst_rawBus_rawBus_dataW_whas = + rawWorkCompSQMst_rawBus_fifo_EMPTY_N ; + assign rawMetaDataStreamMst_rawBus_rawBus_dataW_wget = + rawMetaDataStreamMst_rawBus_fifo_D_OUT ; + assign rawMetaDataStreamMst_rawBus_rawBus_dataW_whas = + rawMetaDataStreamMst_rawBus_fifo_EMPTY_N ; + assign rawDmaReadCltStreamMst_rawBus_rawBus_dataW_wget = + rawDmaReadCltStreamMst_rawBus_fifo_D_OUT ; + assign rawDmaReadCltStreamMst_rawBus_rawBus_dataW_whas = + rawDmaReadCltStreamMst_rawBus_fifo_EMPTY_N ; + assign rawWorkReqSlv_rawBus_rawBus_validW_wget = s_work_req_valid ; + assign rawWorkReqSlv_rawBus_rawBus_dataW_wget = + { s_work_req_id, + s_work_req_op_code, + s_work_req_flags, + s_work_req_raddr, + s_work_req_rkey, + s_work_req_len, + s_work_req_laddr, + s_work_req_lkey, + s_work_req_sqpn, + s_work_req_solicited, + s_work_req_comp, + s_work_req_swap, + s_work_req_imm_dt, + s_work_req_rkey_to_inv, + s_work_req_srqn, + s_work_req_dqpn, + s_work_req_qkey } ; + assign rawRdmaDataStreamSlv_rawBus_rawBus_validW_wget = + s_data_stream_tvalid ; + assign rawRdmaDataStreamSlv_rawBus_rawBus_dataW_wget = + { s_data_stream_tdata, + s_data_stream_tkeep, + s_data_stream_tfirst, + s_data_stream_tlast } ; + assign rawRdmaDataStreamMst_rawBus_rawBus_readyW_wget = + m_data_stream_tready ; + assign rawWorkCompSQMst_rawBus_rawBus_readyW_wget = m_work_comp_sq_ready ; + assign rawMetaDataStreamMst_rawBus_rawBus_readyW_wget = m_meta_data_tready ; + assign rawMetaDataStreamSlv_rawBus_rawBus_validW_wget = s_meta_data_tvalid ; + assign rawMetaDataStreamSlv_rawBus_rawBus_dataW_wget = s_meta_data_tdata ; + assign rawDmaReadCltStreamMst_rawBus_rawBus_readyW_wget = m_dma_read_ready ; + assign rawDmaReadCltStreamSlv_rawBus_rawBus_validW_wget = s_dma_read_valid ; + assign rawDmaReadCltStreamSlv_rawBus_rawBus_dataW_wget = + { s_dma_read_initiator, + s_dma_read_sqpn, + s_dma_read_wr_id, + s_dma_read_is_resp_err, + s_dma_read_data_stream } ; + + // submodule rawDmaReadCltStreamMst_rawBus_fifo + assign rawDmaReadCltStreamMst_rawBus_fifo_D_IN = + transportLayer_dmaReadClt_request_get ; + assign rawDmaReadCltStreamMst_rawBus_fifo_ENQ = + CAN_FIRE_RL_rawDmaReadCltStreamMst_rawBus_mkConnectionGetPut ; + assign rawDmaReadCltStreamMst_rawBus_fifo_DEQ = + CAN_FIRE_RL_rawDmaReadCltStreamMst_rawBus_rawBus_passReady ; + assign rawDmaReadCltStreamMst_rawBus_fifo_CLR = 1'b0 ; + + // submodule rawDmaReadCltStreamSlv_rawBus_fifo + assign rawDmaReadCltStreamSlv_rawBus_fifo_D_IN = + rawDmaReadCltStreamSlv_rawBus_rawBus_dataW_wget ; + assign rawDmaReadCltStreamSlv_rawBus_fifo_ENQ = + CAN_FIRE_RL_rawDmaReadCltStreamSlv_rawBus_rawBus_passData ; + assign rawDmaReadCltStreamSlv_rawBus_fifo_DEQ = + CAN_FIRE_RL_rawDmaReadCltStreamSlv_rawBus_mkConnectionGetPut ; + assign rawDmaReadCltStreamSlv_rawBus_fifo_CLR = 1'b0 ; + + // submodule rawMetaDataStreamMst_rawBus_fifo + always@(transportLayer_srvPortMetaData_response_get) + begin + case (transportLayer_srvPortMetaData_response_get[275:274]) + 2'd0, 2'd1, 2'd2: + rawMetaDataStreamMst_rawBus_fifo_D_IN = + transportLayer_srvPortMetaData_response_get; + 2'd3: + rawMetaDataStreamMst_rawBus_fifo_D_IN = + 276'hAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + endcase + end + assign rawMetaDataStreamMst_rawBus_fifo_ENQ = + CAN_FIRE_RL_rawMetaDataStreamMst_rawBus_mkConnectionGetPut ; + assign rawMetaDataStreamMst_rawBus_fifo_DEQ = + CAN_FIRE_RL_rawMetaDataStreamMst_rawBus_rawBus_passReady ; + assign rawMetaDataStreamMst_rawBus_fifo_CLR = 1'b0 ; + + // submodule rawMetaDataStreamSlv_rawBus_fifo + assign rawMetaDataStreamSlv_rawBus_fifo_D_IN = s_meta_data_tdata ; + assign rawMetaDataStreamSlv_rawBus_fifo_ENQ = + CAN_FIRE_RL_rawMetaDataStreamSlv_rawBus_rawBus_passData ; + assign rawMetaDataStreamSlv_rawBus_fifo_DEQ = + CAN_FIRE_RL_rawMetaDataStreamSlv_rawBus_mkConnectionGetPut ; + assign rawMetaDataStreamSlv_rawBus_fifo_CLR = 1'b0 ; + + // submodule rawRdmaDataStreamMst_rawBus_fifo + assign rawRdmaDataStreamMst_rawBus_fifo_D_IN = + transportLayer_rdmaDataStreamPipeOut_first ; + assign rawRdmaDataStreamMst_rawBus_fifo_ENQ = + CAN_FIRE_RL_rawRdmaDataStreamMst_rawBus_mkConnectionGetPut ; + assign rawRdmaDataStreamMst_rawBus_fifo_DEQ = + CAN_FIRE_RL_rawRdmaDataStreamMst_rawBus_rawBus_passReady ; + assign rawRdmaDataStreamMst_rawBus_fifo_CLR = 1'b0 ; + + // submodule rawRdmaDataStreamSlv_rawBus_fifo + assign rawRdmaDataStreamSlv_rawBus_fifo_D_IN = + rawRdmaDataStreamSlv_rawBus_rawBus_dataW_wget ; + assign rawRdmaDataStreamSlv_rawBus_fifo_ENQ = + CAN_FIRE_RL_rawRdmaDataStreamSlv_rawBus_rawBus_passData ; + assign rawRdmaDataStreamSlv_rawBus_fifo_DEQ = + CAN_FIRE_RL_rawRdmaDataStreamSlv_rawBus_mkConnectionGetPut ; + assign rawRdmaDataStreamSlv_rawBus_fifo_CLR = 1'b0 ; + + // submodule rawWorkCompSQMst_rawBus_fifo + assign rawWorkCompSQMst_rawBus_fifo_D_IN = + transportLayer_workCompPipeOutSQ_first ; + assign rawWorkCompSQMst_rawBus_fifo_ENQ = + CAN_FIRE_RL_rawWorkCompSQMst_rawBus_mkConnectionGetPut ; + assign rawWorkCompSQMst_rawBus_fifo_DEQ = + CAN_FIRE_RL_rawWorkCompSQMst_rawBus_rawBus_passReady ; + assign rawWorkCompSQMst_rawBus_fifo_CLR = 1'b0 ; + + // submodule rawWorkReqSlv_rawBus_fifo + assign rawWorkReqSlv_rawBus_fifo_D_IN = + rawWorkReqSlv_rawBus_rawBus_dataW_wget ; + assign rawWorkReqSlv_rawBus_fifo_ENQ = + CAN_FIRE_RL_rawWorkReqSlv_rawBus_rawBus_passData ; + assign rawWorkReqSlv_rawBus_fifo_DEQ = + CAN_FIRE_RL_rawWorkReqSlv_rawBus_mkConnectionGetPut ; + assign rawWorkReqSlv_rawBus_fifo_CLR = 1'b0 ; + + // submodule transportLayer + assign transportLayer_dmaReadClt_response_put = + rawDmaReadCltStreamSlv_rawBus_fifo_D_OUT ; + assign transportLayer_rdmaDataStreamInput_put = + rawRdmaDataStreamSlv_rawBus_fifo_D_OUT ; + assign transportLayer_srvPortMetaData_request_put = + rawMetaDataStreamSlv_rawBus_fifo_D_OUT ; + assign transportLayer_workReqInput_put = rawWorkReqSlv_rawBus_fifo_D_OUT ; + assign transportLayer_EN_workReqInput_put = + CAN_FIRE_RL_rawWorkReqSlv_rawBus_mkConnectionGetPut ; + assign transportLayer_EN_rdmaDataStreamInput_put = + CAN_FIRE_RL_rawRdmaDataStreamSlv_rawBus_mkConnectionGetPut ; + assign transportLayer_EN_rdmaDataStreamPipeOut_deq = + CAN_FIRE_RL_rawRdmaDataStreamMst_rawBus_mkConnectionGetPut ; + assign transportLayer_EN_workCompPipeOutSQ_deq = + CAN_FIRE_RL_rawWorkCompSQMst_rawBus_mkConnectionGetPut ; + assign transportLayer_EN_srvPortMetaData_request_put = + CAN_FIRE_RL_rawMetaDataStreamSlv_rawBus_mkConnectionGetPut ; + assign transportLayer_EN_srvPortMetaData_response_get = + CAN_FIRE_RL_rawMetaDataStreamMst_rawBus_mkConnectionGetPut ; + assign transportLayer_EN_dmaReadClt_request_get = + CAN_FIRE_RL_rawDmaReadCltStreamMst_rawBus_mkConnectionGetPut ; + assign transportLayer_EN_dmaReadClt_response_put = + CAN_FIRE_RL_rawDmaReadCltStreamSlv_rawBus_mkConnectionGetPut ; +endmodule // mkAxiSTransportLayer + diff --git a/ethernet/RoCEv2/blue-rdma/mkQP.v b/ethernet/RoCEv2/blue-rdma/mkQP.v new file mode 100644 index 0000000000..4d183e9513 --- /dev/null +++ b/ethernet/RoCEv2/blue-rdma/mkQP.v @@ -0,0 +1,25463 @@ +/* + * ------------------------------------------------------------------- + * This Verilog file has been automatically generated from a core originally written + * in Bluespec SystemVerilog (BSV). The original source code can be found at: + * + * Repository: https://github.com/datenlord/blue-rdma + * Author: DatenLord (https://datenlord.github.io/) + * + * Modifications have been made to the original core before compiling the Verilog. + * For any questions or further information regarding the modifications, please + * feel free to contact me. + * + * Modifications by: Filippo Marini + * Email: filippo.marini@pd.infn.it + * ------------------------------------------------------------------- + */ +// +// Generated by Bluespec Compiler, version 2023.01 (build 52adafa) +// +// On Wed Sep 11 15:19:43 CEST 2024 +// +// Ports: +// Name I/O size props +// RDY_srvPortQP_request_put O 1 reg +// srvPortQP_response_get O 274 reg +// RDY_srvPortQP_response_get O 1 reg +// RDY_workReqIn_put O 1 reg +// dmaReadClt4SQ_request_get O 170 reg +// RDY_dmaReadClt4SQ_request_get O 1 reg +// RDY_dmaReadClt4SQ_response_put O 1 reg +// RDY_respPktPipeIn_pktMetaData_put O 1 reg +// RDY_respPktPipeIn_payload_put O 1 reg +// statusSQ_comm_isCreate O 1 +// RDY_statusSQ_comm_isCreate O 1 const +// statusSQ_comm_isERR O 1 +// RDY_statusSQ_comm_isERR O 1 const +// statusSQ_comm_isInit O 1 +// RDY_statusSQ_comm_isInit O 1 const +// statusSQ_comm_isReset O 1 +// RDY_statusSQ_comm_isReset O 1 const +// statusSQ_comm_isRTR O 1 +// RDY_statusSQ_comm_isRTR O 1 const +// statusSQ_comm_isRTS O 1 +// RDY_statusSQ_comm_isRTS O 1 const +// statusSQ_comm_isSQD O 1 +// RDY_statusSQ_comm_isSQD O 1 const +// statusSQ_comm_isNonErr O 1 +// RDY_statusSQ_comm_isNonErr O 1 const +// statusSQ_comm_isUnknown O 1 +// RDY_statusSQ_comm_isUnknown O 1 const +// statusSQ_comm_isRTR2RTS O 1 +// RDY_statusSQ_comm_isRTR2RTS O 1 const +// statusSQ_comm_isStableRTS O 1 +// RDY_statusSQ_comm_isStableRTS O 1 const +// statusSQ_comm_getAccessFlags O 8 reg +// RDY_statusSQ_comm_getAccessFlags O 1 +// statusSQ_comm_getMaxRnrCnt O 3 reg +// RDY_statusSQ_comm_getMaxRnrCnt O 1 +// statusSQ_comm_getMaxRetryCnt O 3 reg +// RDY_statusSQ_comm_getMaxRetryCnt O 1 +// statusSQ_comm_getMinRnrTimer O 5 reg +// RDY_statusSQ_comm_getMinRnrTimer O 1 +// statusSQ_comm_getMaxTimeOut O 5 reg +// RDY_statusSQ_comm_getMaxTimeOut O 1 +// statusSQ_comm_getPendingWorkReqNum O 8 reg +// RDY_statusSQ_comm_getPendingWorkReqNum O 1 +// statusSQ_comm_getPendingRecvReqNum O 8 reg +// RDY_statusSQ_comm_getPendingRecvReqNum O 1 +// statusSQ_comm_getPendingReadAtomicReqNum O 8 reg +// RDY_statusSQ_comm_getPendingReadAtomicReqNum O 1 +// statusSQ_comm_getPendingDestReadAtomicReqNum O 8 reg +// RDY_statusSQ_comm_getPendingDestReadAtomicReqNum O 1 +// statusSQ_comm_getSigAll O 1 reg +// RDY_statusSQ_comm_getSigAll O 1 +// statusSQ_comm_getSQPN O 24 reg +// RDY_statusSQ_comm_getSQPN O 1 +// statusSQ_comm_getDQPN O 24 reg +// RDY_statusSQ_comm_getDQPN O 1 +// statusSQ_comm_getPKEY O 16 reg +// RDY_statusSQ_comm_getPKEY O 1 +// statusSQ_comm_getQKEY O 32 reg +// RDY_statusSQ_comm_getQKEY O 1 +// statusSQ_comm_getPMTU O 3 reg +// RDY_statusSQ_comm_getPMTU O 1 +// statusSQ_getTypeQP O 4 reg +// RDY_statusSQ_getTypeQP O 1 const +// statusSQ_isSQ O 1 const +// RDY_statusSQ_isSQ O 1 const +// rdmaReqPipeOut_first O 290 reg +// RDY_rdmaReqPipeOut_first O 1 reg +// RDY_rdmaReqPipeOut_deq O 1 reg +// rdmaReqPipeOut_notEmpty O 1 reg +// RDY_rdmaReqPipeOut_notEmpty O 1 const +// workCompPipeOutSQ_first O 222 reg +// RDY_workCompPipeOutSQ_first O 1 reg +// RDY_workCompPipeOutSQ_deq O 1 reg +// workCompPipeOutSQ_notEmpty O 1 reg +// RDY_workCompPipeOutSQ_notEmpty O 1 const +// CLK I 1 clock +// RST_N I 1 reset +// srvPortQP_request_put I 301 reg +// workReqIn_put I 601 reg +// dmaReadClt4SQ_response_put I 383 reg +// respPktPipeIn_pktMetaData_put I 649 reg +// respPktPipeIn_payload_put I 290 reg +// EN_srvPortQP_request_put I 1 +// EN_workReqIn_put I 1 +// EN_dmaReadClt4SQ_response_put I 1 +// EN_respPktPipeIn_pktMetaData_put I 1 +// EN_respPktPipeIn_payload_put I 1 +// EN_rdmaReqPipeOut_deq I 1 +// EN_workCompPipeOutSQ_deq I 1 +// EN_srvPortQP_response_get I 1 +// EN_dmaReadClt4SQ_request_get I 1 +// +// No combinational paths from inputs to outputs +// +// + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + +`ifdef BSV_POSITIVE_RESET + `define BSV_RESET_VALUE 1'b1 + `define BSV_RESET_EDGE posedge +`else + `define BSV_RESET_VALUE 1'b0 + `define BSV_RESET_EDGE negedge +`endif + +module mkQP(CLK, + RST_N, + + srvPortQP_request_put, + EN_srvPortQP_request_put, + RDY_srvPortQP_request_put, + + EN_srvPortQP_response_get, + srvPortQP_response_get, + RDY_srvPortQP_response_get, + + workReqIn_put, + EN_workReqIn_put, + RDY_workReqIn_put, + + EN_dmaReadClt4SQ_request_get, + dmaReadClt4SQ_request_get, + RDY_dmaReadClt4SQ_request_get, + + dmaReadClt4SQ_response_put, + EN_dmaReadClt4SQ_response_put, + RDY_dmaReadClt4SQ_response_put, + + respPktPipeIn_pktMetaData_put, + EN_respPktPipeIn_pktMetaData_put, + RDY_respPktPipeIn_pktMetaData_put, + + respPktPipeIn_payload_put, + EN_respPktPipeIn_payload_put, + RDY_respPktPipeIn_payload_put, + + statusSQ_comm_isCreate, + RDY_statusSQ_comm_isCreate, + + statusSQ_comm_isERR, + RDY_statusSQ_comm_isERR, + + statusSQ_comm_isInit, + RDY_statusSQ_comm_isInit, + + statusSQ_comm_isReset, + RDY_statusSQ_comm_isReset, + + statusSQ_comm_isRTR, + RDY_statusSQ_comm_isRTR, + + statusSQ_comm_isRTS, + RDY_statusSQ_comm_isRTS, + + statusSQ_comm_isSQD, + RDY_statusSQ_comm_isSQD, + + statusSQ_comm_isNonErr, + RDY_statusSQ_comm_isNonErr, + + statusSQ_comm_isUnknown, + RDY_statusSQ_comm_isUnknown, + + statusSQ_comm_isRTR2RTS, + RDY_statusSQ_comm_isRTR2RTS, + + statusSQ_comm_isStableRTS, + RDY_statusSQ_comm_isStableRTS, + + statusSQ_comm_getAccessFlags, + RDY_statusSQ_comm_getAccessFlags, + + statusSQ_comm_getMaxRnrCnt, + RDY_statusSQ_comm_getMaxRnrCnt, + + statusSQ_comm_getMaxRetryCnt, + RDY_statusSQ_comm_getMaxRetryCnt, + + statusSQ_comm_getMinRnrTimer, + RDY_statusSQ_comm_getMinRnrTimer, + + statusSQ_comm_getMaxTimeOut, + RDY_statusSQ_comm_getMaxTimeOut, + + statusSQ_comm_getPendingWorkReqNum, + RDY_statusSQ_comm_getPendingWorkReqNum, + + statusSQ_comm_getPendingRecvReqNum, + RDY_statusSQ_comm_getPendingRecvReqNum, + + statusSQ_comm_getPendingReadAtomicReqNum, + RDY_statusSQ_comm_getPendingReadAtomicReqNum, + + statusSQ_comm_getPendingDestReadAtomicReqNum, + RDY_statusSQ_comm_getPendingDestReadAtomicReqNum, + + statusSQ_comm_getSigAll, + RDY_statusSQ_comm_getSigAll, + + statusSQ_comm_getSQPN, + RDY_statusSQ_comm_getSQPN, + + statusSQ_comm_getDQPN, + RDY_statusSQ_comm_getDQPN, + + statusSQ_comm_getPKEY, + RDY_statusSQ_comm_getPKEY, + + statusSQ_comm_getQKEY, + RDY_statusSQ_comm_getQKEY, + + statusSQ_comm_getPMTU, + RDY_statusSQ_comm_getPMTU, + + statusSQ_getTypeQP, + RDY_statusSQ_getTypeQP, + + statusSQ_isSQ, + RDY_statusSQ_isSQ, + + rdmaReqPipeOut_first, + RDY_rdmaReqPipeOut_first, + + EN_rdmaReqPipeOut_deq, + RDY_rdmaReqPipeOut_deq, + + rdmaReqPipeOut_notEmpty, + RDY_rdmaReqPipeOut_notEmpty, + + workCompPipeOutSQ_first, + RDY_workCompPipeOutSQ_first, + + EN_workCompPipeOutSQ_deq, + RDY_workCompPipeOutSQ_deq, + + workCompPipeOutSQ_notEmpty, + RDY_workCompPipeOutSQ_notEmpty); + input CLK; + input RST_N; + + // action method srvPortQP_request_put + input [300 : 0] srvPortQP_request_put; + input EN_srvPortQP_request_put; + output RDY_srvPortQP_request_put; + + // actionvalue method srvPortQP_response_get + input EN_srvPortQP_response_get; + output [273 : 0] srvPortQP_response_get; + output RDY_srvPortQP_response_get; + + // action method workReqIn_put + input [600 : 0] workReqIn_put; + input EN_workReqIn_put; + output RDY_workReqIn_put; + + // actionvalue method dmaReadClt4SQ_request_get + input EN_dmaReadClt4SQ_request_get; + output [169 : 0] dmaReadClt4SQ_request_get; + output RDY_dmaReadClt4SQ_request_get; + + // action method dmaReadClt4SQ_response_put + input [382 : 0] dmaReadClt4SQ_response_put; + input EN_dmaReadClt4SQ_response_put; + output RDY_dmaReadClt4SQ_response_put; + + // action method respPktPipeIn_pktMetaData_put + input [648 : 0] respPktPipeIn_pktMetaData_put; + input EN_respPktPipeIn_pktMetaData_put; + output RDY_respPktPipeIn_pktMetaData_put; + + // action method respPktPipeIn_payload_put + input [289 : 0] respPktPipeIn_payload_put; + input EN_respPktPipeIn_payload_put; + output RDY_respPktPipeIn_payload_put; + + // value method statusSQ_comm_isCreate + output statusSQ_comm_isCreate; + output RDY_statusSQ_comm_isCreate; + + // value method statusSQ_comm_isERR + output statusSQ_comm_isERR; + output RDY_statusSQ_comm_isERR; + + // value method statusSQ_comm_isInit + output statusSQ_comm_isInit; + output RDY_statusSQ_comm_isInit; + + // value method statusSQ_comm_isReset + output statusSQ_comm_isReset; + output RDY_statusSQ_comm_isReset; + + // value method statusSQ_comm_isRTR + output statusSQ_comm_isRTR; + output RDY_statusSQ_comm_isRTR; + + // value method statusSQ_comm_isRTS + output statusSQ_comm_isRTS; + output RDY_statusSQ_comm_isRTS; + + // value method statusSQ_comm_isSQD + output statusSQ_comm_isSQD; + output RDY_statusSQ_comm_isSQD; + + // value method statusSQ_comm_isNonErr + output statusSQ_comm_isNonErr; + output RDY_statusSQ_comm_isNonErr; + + // value method statusSQ_comm_isUnknown + output statusSQ_comm_isUnknown; + output RDY_statusSQ_comm_isUnknown; + + // value method statusSQ_comm_isRTR2RTS + output statusSQ_comm_isRTR2RTS; + output RDY_statusSQ_comm_isRTR2RTS; + + // value method statusSQ_comm_isStableRTS + output statusSQ_comm_isStableRTS; + output RDY_statusSQ_comm_isStableRTS; + + // value method statusSQ_comm_getAccessFlags + output [7 : 0] statusSQ_comm_getAccessFlags; + output RDY_statusSQ_comm_getAccessFlags; + + // value method statusSQ_comm_getMaxRnrCnt + output [2 : 0] statusSQ_comm_getMaxRnrCnt; + output RDY_statusSQ_comm_getMaxRnrCnt; + + // value method statusSQ_comm_getMaxRetryCnt + output [2 : 0] statusSQ_comm_getMaxRetryCnt; + output RDY_statusSQ_comm_getMaxRetryCnt; + + // value method statusSQ_comm_getMinRnrTimer + output [4 : 0] statusSQ_comm_getMinRnrTimer; + output RDY_statusSQ_comm_getMinRnrTimer; + + // value method statusSQ_comm_getMaxTimeOut + output [4 : 0] statusSQ_comm_getMaxTimeOut; + output RDY_statusSQ_comm_getMaxTimeOut; + + // value method statusSQ_comm_getPendingWorkReqNum + output [7 : 0] statusSQ_comm_getPendingWorkReqNum; + output RDY_statusSQ_comm_getPendingWorkReqNum; + + // value method statusSQ_comm_getPendingRecvReqNum + output [7 : 0] statusSQ_comm_getPendingRecvReqNum; + output RDY_statusSQ_comm_getPendingRecvReqNum; + + // value method statusSQ_comm_getPendingReadAtomicReqNum + output [7 : 0] statusSQ_comm_getPendingReadAtomicReqNum; + output RDY_statusSQ_comm_getPendingReadAtomicReqNum; + + // value method statusSQ_comm_getPendingDestReadAtomicReqNum + output [7 : 0] statusSQ_comm_getPendingDestReadAtomicReqNum; + output RDY_statusSQ_comm_getPendingDestReadAtomicReqNum; + + // value method statusSQ_comm_getSigAll + output statusSQ_comm_getSigAll; + output RDY_statusSQ_comm_getSigAll; + + // value method statusSQ_comm_getSQPN + output [23 : 0] statusSQ_comm_getSQPN; + output RDY_statusSQ_comm_getSQPN; + + // value method statusSQ_comm_getDQPN + output [23 : 0] statusSQ_comm_getDQPN; + output RDY_statusSQ_comm_getDQPN; + + // value method statusSQ_comm_getPKEY + output [15 : 0] statusSQ_comm_getPKEY; + output RDY_statusSQ_comm_getPKEY; + + // value method statusSQ_comm_getQKEY + output [31 : 0] statusSQ_comm_getQKEY; + output RDY_statusSQ_comm_getQKEY; + + // value method statusSQ_comm_getPMTU + output [2 : 0] statusSQ_comm_getPMTU; + output RDY_statusSQ_comm_getPMTU; + + // value method statusSQ_getTypeQP + output [3 : 0] statusSQ_getTypeQP; + output RDY_statusSQ_getTypeQP; + + // value method statusSQ_isSQ + output statusSQ_isSQ; + output RDY_statusSQ_isSQ; + + // value method rdmaReqPipeOut_first + output [289 : 0] rdmaReqPipeOut_first; + output RDY_rdmaReqPipeOut_first; + + // action method rdmaReqPipeOut_deq + input EN_rdmaReqPipeOut_deq; + output RDY_rdmaReqPipeOut_deq; + + // value method rdmaReqPipeOut_notEmpty + output rdmaReqPipeOut_notEmpty; + output RDY_rdmaReqPipeOut_notEmpty; + + // value method workCompPipeOutSQ_first + output [221 : 0] workCompPipeOutSQ_first; + output RDY_workCompPipeOutSQ_first; + + // action method workCompPipeOutSQ_deq + input EN_workCompPipeOutSQ_deq; + output RDY_workCompPipeOutSQ_deq; + + // value method workCompPipeOutSQ_notEmpty + output workCompPipeOutSQ_notEmpty; + output RDY_workCompPipeOutSQ_notEmpty; + + // signals for module outputs + wire [289 : 0] rdmaReqPipeOut_first; + wire [273 : 0] srvPortQP_response_get; + wire [221 : 0] workCompPipeOutSQ_first; + wire [169 : 0] dmaReadClt4SQ_request_get; + wire [31 : 0] statusSQ_comm_getQKEY; + wire [23 : 0] statusSQ_comm_getDQPN, statusSQ_comm_getSQPN; + wire [15 : 0] statusSQ_comm_getPKEY; + wire [7 : 0] statusSQ_comm_getAccessFlags, + statusSQ_comm_getPendingDestReadAtomicReqNum, + statusSQ_comm_getPendingReadAtomicReqNum, + statusSQ_comm_getPendingRecvReqNum, + statusSQ_comm_getPendingWorkReqNum; + wire [4 : 0] statusSQ_comm_getMaxTimeOut, statusSQ_comm_getMinRnrTimer; + wire [3 : 0] statusSQ_getTypeQP; + wire [2 : 0] statusSQ_comm_getMaxRetryCnt, + statusSQ_comm_getMaxRnrCnt, + statusSQ_comm_getPMTU; + wire RDY_dmaReadClt4SQ_request_get, + RDY_dmaReadClt4SQ_response_put, + RDY_rdmaReqPipeOut_deq, + RDY_rdmaReqPipeOut_first, + RDY_rdmaReqPipeOut_notEmpty, + RDY_respPktPipeIn_payload_put, + RDY_respPktPipeIn_pktMetaData_put, + RDY_srvPortQP_request_put, + RDY_srvPortQP_response_get, + RDY_statusSQ_comm_getAccessFlags, + RDY_statusSQ_comm_getDQPN, + RDY_statusSQ_comm_getMaxRetryCnt, + RDY_statusSQ_comm_getMaxRnrCnt, + RDY_statusSQ_comm_getMaxTimeOut, + RDY_statusSQ_comm_getMinRnrTimer, + RDY_statusSQ_comm_getPKEY, + RDY_statusSQ_comm_getPMTU, + RDY_statusSQ_comm_getPendingDestReadAtomicReqNum, + RDY_statusSQ_comm_getPendingReadAtomicReqNum, + RDY_statusSQ_comm_getPendingRecvReqNum, + RDY_statusSQ_comm_getPendingWorkReqNum, + RDY_statusSQ_comm_getQKEY, + RDY_statusSQ_comm_getSQPN, + RDY_statusSQ_comm_getSigAll, + RDY_statusSQ_comm_isCreate, + RDY_statusSQ_comm_isERR, + RDY_statusSQ_comm_isInit, + RDY_statusSQ_comm_isNonErr, + RDY_statusSQ_comm_isRTR, + RDY_statusSQ_comm_isRTR2RTS, + RDY_statusSQ_comm_isRTS, + RDY_statusSQ_comm_isReset, + RDY_statusSQ_comm_isSQD, + RDY_statusSQ_comm_isStableRTS, + RDY_statusSQ_comm_isUnknown, + RDY_statusSQ_getTypeQP, + RDY_statusSQ_isSQ, + RDY_workCompPipeOutSQ_deq, + RDY_workCompPipeOutSQ_first, + RDY_workCompPipeOutSQ_notEmpty, + RDY_workReqIn_put, + rdmaReqPipeOut_notEmpty, + statusSQ_comm_getSigAll, + statusSQ_comm_isCreate, + statusSQ_comm_isERR, + statusSQ_comm_isInit, + statusSQ_comm_isNonErr, + statusSQ_comm_isRTR, + statusSQ_comm_isRTR2RTS, + statusSQ_comm_isRTS, + statusSQ_comm_isReset, + statusSQ_comm_isSQD, + statusSQ_comm_isStableRTS, + statusSQ_comm_isUnknown, + statusSQ_isSQ, + workCompPipeOutSQ_notEmpty; + + // inlined wires + reg [4 : 0] cntrl_nextStateReg_port0__write_1; + wire [679 : 0] sq_pendingWorkReqBuf_pushReg_port0__read, + sq_pendingWorkReqBuf_pushReg_port0__write_1, + sq_pendingWorkReqBuf_pushReg_port1__read, + sq_pendingWorkReqBuf_pushReg_port1__write_1, + sq_pendingWorkReqBuf_pushReg_port2__read, + sq_pendingWorkReqBuf_pushReg_port2__write_1, + sq_pendingWorkReqBuf_pushReg_port3__read, + sq_pendingWorkReqBuf_pushReg_port3__write_1, + sq_pendingWorkReqBuf_pushReg_port4__read, + sq_pendingWorkReqBuf_pushReg_port4__write_1; + wire [289 : 0] payloadGenerator4SQ_payloadBufQ_wDataIn_wget, + payloadGenerator4SQ_payloadBufQ_wDataOut_wget; + wire [23 : 0] cntrl_epsnReg_port0__read, + cntrl_epsnReg_port0__write_1, + cntrl_epsnReg_port1__read, + cntrl_epsnReg_port1__write_1, + cntrl_epsnReg_port2__read, + cntrl_epsnReg_port2__write_1, + cntrl_epsnReg_port3__read, + cntrl_epsnReg_port3__write_1, + cntrl_epsnReg_port4__read, + cntrl_epsnReg_port4__write_1; + wire [8 : 0] sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port0__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port0__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port2__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port2__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port3__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port3__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port4__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port4__write_1; + wire [4 : 0] cntrl_nextStateReg_port0__read, + cntrl_nextStateReg_port1__read, + cntrl_nextStateReg_port1__write_1, + cntrl_nextStateReg_port2__read, + cntrl_nextStateReg_port2__write_1, + cntrl_nextStateReg_port3__read, + cntrl_nextStateReg_port3__write_1, + cntrl_nextStateReg_port4__read, + cntrl_nextStateReg_port4__write_1, + cntrl_preReqOpCodeReg_port0__read, + cntrl_preReqOpCodeReg_port0__write_1, + cntrl_preReqOpCodeReg_port1__read, + cntrl_preReqOpCodeReg_port1__write_1, + cntrl_preReqOpCodeReg_port2__read, + cntrl_preReqOpCodeReg_port2__write_1, + cntrl_preReqOpCodeReg_port3__read, + cntrl_preReqOpCodeReg_port3__write_1, + cntrl_preReqOpCodeReg_port4__read, + cntrl_preReqOpCodeReg_port4__write_1; + wire [1 : 0] sq_retryHandler_retryCntrlStateReg_port0__read, + sq_retryHandler_retryCntrlStateReg_port0__write_1, + sq_retryHandler_retryCntrlStateReg_port1__read, + sq_retryHandler_retryCntrlStateReg_port1__write_1, + sq_retryHandler_retryCntrlStateReg_port2__read, + sq_retryHandler_retryCntrlStateReg_port2__write_1, + sq_retryHandler_retryCntrlStateReg_port3__read, + sq_retryHandler_retryCntrlStateReg_port3__write_1, + sq_retryHandler_retryCntrlStateReg_port4__read, + sq_retryHandler_retryCntrlStateReg_port4__write_1; + wire _deq_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPktNumQ_wget, + _deq_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPktNumQ_whas, + _deq_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_wget, + _deq_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_whas, + _deq_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqCheckQ_wget, + _deq_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqCheckQ_whas, + _deq_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqCountQ_wget, + _deq_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqCountQ_whas, + _deq_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_workReqPayloadGenQ_wget, + _deq_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_workReqPayloadGenQ_whas, + _deq_RL_sq_reqGenSQ_genReqHeader_EN_payloadGenerator4SQ_payloadGenRespQ_wget, + _deq_RL_sq_reqGenSQ_genReqHeader_EN_payloadGenerator4SQ_payloadGenRespQ_whas, + _deq_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_pendingReqHeaderQ_wget, + _deq_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_pendingReqHeaderQ_whas, + _deq_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPayloadGenQ_wget, + _deq_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPayloadGenQ_whas, + _deq_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_wget, + _deq_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_whas, + _deq_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_reqHeaderPrepareQ_wget, + _deq_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_reqHeaderPrepareQ_whas, + _deq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderGenQ_wget, + _deq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderGenQ_whas, + _deq_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqPipeOut_pipeMuxOutQ_wget, + _deq_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqPipeOut_pipeMuxOutQ_whas, + _deq_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingSpaceCalcQ_wget, + _deq_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingSpaceCalcQ_whas, + _deq_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingAddrCalcQ_wget, + _deq_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingAddrCalcQ_whas, + _deq_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingLenCalcQ_wget, + _deq_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingLenCalcQ_whas, + _deq_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermCheckQ_wget, + _deq_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermCheckQ_whas, + _deq_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingLenCheckQ_wget, + _deq_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingLenCheckQ_whas, + _deq_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingRetryCheckQ_wget, + _deq_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingRetryCheckQ_whas, + _deq_RL_sq_respHandleSQ_checkRetryErr_EN_sq_retryHandler_retryRespQ_wget, + _deq_RL_sq_respHandleSQ_checkRetryErr_EN_sq_retryHandler_retryRespQ_whas, + _deq_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_retryHandler_timeOutNotificationQ_wget, + _deq_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_retryHandler_timeOutNotificationQ_whas, + _deq_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_respPktPipe_metaDataQ_wget, + _deq_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_respPktPipe_metaDataQ_whas, + _deq_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_wget, + _deq_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_whas, + _deq_RL_sq_respHandleSQ_errFlushIncomingResp_EN_respPktPipe_metaDataQ_wget, + _deq_RL_sq_respHandleSQ_errFlushIncomingResp_EN_respPktPipe_metaDataQ_whas, + _deq_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_pendingWorkCompQ_wget, + _deq_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_pendingWorkCompQ_whas, + _deq_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingRespQ_wget, + _deq_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingRespQ_whas, + _deq_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingDmaReqQ_wget, + _deq_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingDmaReqQ_whas, + _deq_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermQueryQ_wget, + _deq_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermQueryQ_whas, + _deq_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_incomingRespQ_wget, + _deq_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_incomingRespQ_whas, + _deq_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_wget, + _deq_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_whas, + _deq_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_wget, + _deq_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_whas, + _deq_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget, + _deq_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas, + _deq_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget, + _deq_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas, + _deq_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_wget, + _deq_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_whas, + _deq_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_wget, + _deq_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_whas, + _deq_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget, + _deq_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas, + _enq_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_wget, + _enq_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_whas, + _enq_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqCheckQ_wget, + _enq_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqCheckQ_whas, + _enq_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_reqCountQ_wget, + _enq_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_reqCountQ_whas, + _enq_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_wget, + _enq_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_whas, + _enq_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqHeaderPrepareQ_wget, + _enq_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqHeaderPrepareQ_whas, + _enq_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_pendingWorkReqOutQ_wget, + _enq_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_pendingWorkReqOutQ_whas, + _enq_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_reqHeaderGenQ_wget, + _enq_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_reqHeaderGenQ_whas, + _enq_RL_sq_reqGenSQ_issuePayloadGenReq_EN_payloadGenerator4SQ_payloadGenReqQ_wget, + _enq_RL_sq_reqGenSQ_issuePayloadGenReq_EN_payloadGenerator4SQ_payloadGenReqQ_whas, + _enq_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPktNumQ_wget, + _enq_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPktNumQ_whas, + _enq_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_pendingWorkReqOutQ_wget, + _enq_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_pendingWorkReqOutQ_whas, + _enq_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_pendingReqHeaderQ_wget, + _enq_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_pendingReqHeaderQ_whas, + _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_psnReqOutQ_wget, + _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_psnReqOutQ_whas, + _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderOutQ_wget, + _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderOutQ_whas, + _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_workCompGenReqOutQ_wget, + _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_workCompGenReqOutQ_whas, + _enq_RL_sq_reqGenSQ_recvWorkReq_EN_sq_reqGenSQ_workReqPayloadGenQ_wget, + _enq_RL_sq_reqGenSQ_recvWorkReq_EN_sq_reqGenSQ_workReqPayloadGenQ_whas, + _enq_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingLenCheckQ_wget, + _enq_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingLenCheckQ_whas, + _enq_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingLenCalcQ_wget, + _enq_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingLenCalcQ_whas, + _enq_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingSpaceCalcQ_wget, + _enq_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingSpaceCalcQ_whas, + _enq_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingAddrCalcQ_wget, + _enq_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingAddrCalcQ_whas, + _enq_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingDmaReqQ_wget, + _enq_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingDmaReqQ_whas, + _enq_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingPermCheckQ_wget, + _enq_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingPermCheckQ_whas, + _enq_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_incomingRespQ_wget, + _enq_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_incomingRespQ_whas, + _enq_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_incomingRespQ_wget, + _enq_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_incomingRespQ_whas, + _enq_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_incomingRespQ_wget, + _enq_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_incomingRespQ_whas, + _enq_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_incomingRespQ_wget, + _enq_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_incomingRespQ_whas, + _enq_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_wget, + _enq_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_whas, + _enq_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingPermQueryQ_wget, + _enq_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingPermQueryQ_whas, + _enq_RL_sq_respHandleSQ_handleRespByType_EN_sq_retryHandler_retryReqQ_wget, + _enq_RL_sq_respHandleSQ_handleRespByType_EN_sq_retryHandler_retryReqQ_whas, + _enq_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingWorkCompQ_wget, + _enq_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingWorkCompQ_whas, + _enq_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingRetryCheckQ_wget, + _enq_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingRetryCheckQ_whas, + _enq_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_pendingRespQ_wget, + _enq_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_pendingRespQ_whas, + _enq_RL_sq_respHandleSQ_recvRespHeader_EN_sq_retryHandler_resetReqQ_wget, + _enq_RL_sq_respHandleSQ_recvRespHeader_EN_sq_retryHandler_resetReqQ_whas, + _enq_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_incomingRespQ_wget, + _enq_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_incomingRespQ_whas, + _enq_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget, + _enq_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas, + _enq_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompOutQ4SQ_wget, + _enq_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompOutQ4SQ_whas, + _enq_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget, + _enq_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas, + _enq_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_wget, + _enq_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_whas, + _enq_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget, + _enq_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas, + _first_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPktNumQ_wget, + _first_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPktNumQ_whas, + _first_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_wget, + _first_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_whas, + _first_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqCheckQ_wget, + _first_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqCheckQ_whas, + _first_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqCountQ_wget, + _first_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqCountQ_whas, + _first_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_workReqPayloadGenQ_wget, + _first_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_workReqPayloadGenQ_whas, + _first_RL_sq_reqGenSQ_genReqHeader_EN_payloadGenerator4SQ_payloadGenRespQ_wget, + _first_RL_sq_reqGenSQ_genReqHeader_EN_payloadGenerator4SQ_payloadGenRespQ_whas, + _first_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_pendingReqHeaderQ_wget, + _first_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_pendingReqHeaderQ_whas, + _first_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPayloadGenQ_wget, + _first_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPayloadGenQ_whas, + _first_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_wget, + _first_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_whas, + _first_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_reqHeaderPrepareQ_wget, + _first_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_reqHeaderPrepareQ_whas, + _first_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderGenQ_wget, + _first_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderGenQ_whas, + _first_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqPipeOut_pipeMuxOutQ_wget, + _first_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqPipeOut_pipeMuxOutQ_whas, + _first_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingSpaceCalcQ_wget, + _first_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingSpaceCalcQ_whas, + _first_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingAddrCalcQ_wget, + _first_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingAddrCalcQ_whas, + _first_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingLenCalcQ_wget, + _first_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingLenCalcQ_whas, + _first_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermCheckQ_wget, + _first_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermCheckQ_whas, + _first_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingLenCheckQ_wget, + _first_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingLenCheckQ_whas, + _first_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingRetryCheckQ_wget, + _first_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingRetryCheckQ_whas, + _first_RL_sq_respHandleSQ_checkRetryErr_EN_sq_retryHandler_retryRespQ_wget, + _first_RL_sq_respHandleSQ_checkRetryErr_EN_sq_retryHandler_retryRespQ_whas, + _first_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_retryHandler_timeOutNotificationQ_wget, + _first_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_retryHandler_timeOutNotificationQ_whas, + _first_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_wget, + _first_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_whas, + _first_RL_sq_respHandleSQ_errFlushIncomingResp_EN_respPktPipe_metaDataQ_wget, + _first_RL_sq_respHandleSQ_errFlushIncomingResp_EN_respPktPipe_metaDataQ_whas, + _first_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_pendingWorkCompQ_wget, + _first_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_pendingWorkCompQ_whas, + _first_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingRespQ_wget, + _first_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingRespQ_whas, + _first_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingDmaReqQ_wget, + _first_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingDmaReqQ_whas, + _first_RL_sq_respHandleSQ_preBuildRespInfo_EN_respPktPipe_metaDataQ_wget, + _first_RL_sq_respHandleSQ_preBuildRespInfo_EN_respPktPipe_metaDataQ_whas, + _first_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermQueryQ_wget, + _first_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermQueryQ_whas, + _first_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_incomingRespQ_wget, + _first_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_incomingRespQ_whas, + _first_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_wget, + _first_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_whas, + _first_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_wget, + _first_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_whas, + _first_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget, + _first_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas, + _first_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget, + _first_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas, + _first_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_wget, + _first_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_whas, + _first_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_wget, + _first_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_whas, + _first_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget, + _first_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas, + _i_notEmpty_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPktNumQ_wget, + _i_notEmpty_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPktNumQ_whas, + _i_notEmpty_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_wget, + _i_notEmpty_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_whas, + _i_notEmpty_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqCheckQ_wget, + _i_notEmpty_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqCheckQ_whas, + _i_notEmpty_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqCountQ_wget, + _i_notEmpty_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqCountQ_whas, + _i_notEmpty_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_workReqPayloadGenQ_wget, + _i_notEmpty_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_workReqPayloadGenQ_whas, + _i_notEmpty_RL_sq_reqGenSQ_genReqHeader_EN_payloadGenerator4SQ_payloadGenRespQ_wget, + _i_notEmpty_RL_sq_reqGenSQ_genReqHeader_EN_payloadGenerator4SQ_payloadGenRespQ_whas, + _i_notEmpty_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_pendingReqHeaderQ_wget, + _i_notEmpty_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_pendingReqHeaderQ_whas, + _i_notEmpty_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPayloadGenQ_wget, + _i_notEmpty_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPayloadGenQ_whas, + _i_notEmpty_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_wget, + _i_notEmpty_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_whas, + _i_notEmpty_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_reqHeaderPrepareQ_wget, + _i_notEmpty_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_reqHeaderPrepareQ_whas, + _i_notEmpty_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderGenQ_wget, + _i_notEmpty_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderGenQ_whas, + _i_notEmpty_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqPipeOut_pipeMuxOutQ_wget, + _i_notEmpty_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqPipeOut_pipeMuxOutQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingSpaceCalcQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingSpaceCalcQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingAddrCalcQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingAddrCalcQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingLenCalcQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingLenCalcQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermCheckQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermCheckQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingLenCheckQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingLenCheckQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingRetryCheckQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingRetryCheckQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_checkRetryErr_EN_sq_retryHandler_retryRespQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_checkRetryErr_EN_sq_retryHandler_retryRespQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_retryHandler_timeOutNotificationQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_retryHandler_timeOutNotificationQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_respPktPipe_metaDataQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_respPktPipe_metaDataQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_errFlushIncomingResp_EN_respPktPipe_metaDataQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_errFlushIncomingResp_EN_respPktPipe_metaDataQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_pendingWorkCompQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_pendingWorkCompQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingRespQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingRespQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingDmaReqQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingDmaReqQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_preBuildRespInfo_EN_respPktPipe_metaDataQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_preBuildRespInfo_EN_respPktPipe_metaDataQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermQueryQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermQueryQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_incomingRespQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_incomingRespQ_whas, + _i_notEmpty_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_wget, + _i_notEmpty_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_whas, + _i_notEmpty_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_wget, + _i_notEmpty_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_whas, + _i_notEmpty_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget, + _i_notEmpty_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas, + _i_notEmpty_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget, + _i_notEmpty_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas, + _i_notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_wget, + _i_notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_whas, + _i_notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_wget, + _i_notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_whas, + _i_notEmpty_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget, + _i_notEmpty_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas, + _i_notFull_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_wget, + _i_notFull_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_whas, + _i_notFull_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqCheckQ_wget, + _i_notFull_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqCheckQ_whas, + _i_notFull_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_reqCountQ_wget, + _i_notFull_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_reqCountQ_whas, + _i_notFull_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_wget, + _i_notFull_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_whas, + _i_notFull_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqHeaderPrepareQ_wget, + _i_notFull_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqHeaderPrepareQ_whas, + _i_notFull_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_pendingWorkReqOutQ_wget, + _i_notFull_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_pendingWorkReqOutQ_whas, + _i_notFull_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_reqHeaderGenQ_wget, + _i_notFull_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_reqHeaderGenQ_whas, + _i_notFull_RL_sq_reqGenSQ_issuePayloadGenReq_EN_payloadGenerator4SQ_payloadGenReqQ_wget, + _i_notFull_RL_sq_reqGenSQ_issuePayloadGenReq_EN_payloadGenerator4SQ_payloadGenReqQ_whas, + _i_notFull_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPktNumQ_wget, + _i_notFull_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPktNumQ_whas, + _i_notFull_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_pendingWorkReqOutQ_wget, + _i_notFull_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_pendingWorkReqOutQ_whas, + _i_notFull_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_pendingReqHeaderQ_wget, + _i_notFull_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_pendingReqHeaderQ_whas, + _i_notFull_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_psnReqOutQ_wget, + _i_notFull_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_psnReqOutQ_whas, + _i_notFull_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderOutQ_wget, + _i_notFull_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderOutQ_whas, + _i_notFull_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_workCompGenReqOutQ_wget, + _i_notFull_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_workCompGenReqOutQ_whas, + _i_notFull_RL_sq_reqGenSQ_recvWorkReq_EN_sq_reqGenSQ_workReqPayloadGenQ_wget, + _i_notFull_RL_sq_reqGenSQ_recvWorkReq_EN_sq_reqGenSQ_workReqPayloadGenQ_whas, + _i_notFull_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingLenCheckQ_wget, + _i_notFull_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingLenCheckQ_whas, + _i_notFull_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingLenCalcQ_wget, + _i_notFull_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingLenCalcQ_whas, + _i_notFull_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingSpaceCalcQ_wget, + _i_notFull_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingSpaceCalcQ_whas, + _i_notFull_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingAddrCalcQ_wget, + _i_notFull_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingAddrCalcQ_whas, + _i_notFull_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingDmaReqQ_wget, + _i_notFull_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingDmaReqQ_whas, + _i_notFull_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingPermCheckQ_wget, + _i_notFull_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingPermCheckQ_whas, + _i_notFull_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_incomingRespQ_wget, + _i_notFull_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_incomingRespQ_whas, + _i_notFull_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_incomingRespQ_wget, + _i_notFull_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_incomingRespQ_whas, + _i_notFull_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_incomingRespQ_wget, + _i_notFull_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_incomingRespQ_whas, + _i_notFull_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_incomingRespQ_wget, + _i_notFull_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_incomingRespQ_whas, + _i_notFull_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_wget, + _i_notFull_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_whas, + _i_notFull_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingPermQueryQ_wget, + _i_notFull_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingPermQueryQ_whas, + _i_notFull_RL_sq_respHandleSQ_handleRespByType_EN_sq_retryHandler_retryReqQ_wget, + _i_notFull_RL_sq_respHandleSQ_handleRespByType_EN_sq_retryHandler_retryReqQ_whas, + _i_notFull_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingWorkCompQ_wget, + _i_notFull_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingWorkCompQ_whas, + _i_notFull_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingRetryCheckQ_wget, + _i_notFull_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingRetryCheckQ_whas, + _i_notFull_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_pendingRespQ_wget, + _i_notFull_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_pendingRespQ_whas, + _i_notFull_RL_sq_respHandleSQ_recvRespHeader_EN_sq_retryHandler_resetReqQ_wget, + _i_notFull_RL_sq_respHandleSQ_recvRespHeader_EN_sq_retryHandler_resetReqQ_whas, + _i_notFull_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_incomingRespQ_wget, + _i_notFull_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_incomingRespQ_whas, + _i_notFull_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget, + _i_notFull_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas, + _i_notFull_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompOutQ4SQ_wget, + _i_notFull_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompOutQ4SQ_whas, + _i_notFull_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget, + _i_notFull_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas, + _i_notFull_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_wget, + _i_notFull_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_whas, + _i_notFull_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget, + _i_notFull_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas, + _notEmpty_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_wget, + _notEmpty_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_whas, + _notEmpty_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_wget, + _notEmpty_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_whas, + _notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_wget, + _notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_whas, + _notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_wget, + _notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_whas, + _port0__read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_hasTimeOutErrReg_wget, + _port0__read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_hasTimeOutErrReg_whas, + _port0__write_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_hasTimeOutErrReg_wget, + _port0__write_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_hasTimeOutErrReg_whas, + _port0__write_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_popReg_wget, + _port0__write_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_popReg_whas, + _port0__write_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_popReg_wget, + _port0__write_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_popReg_whas, + _port0__write_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_hasTimeOutErrReg_wget, + _port0__write_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_hasTimeOutErrReg_whas, + _port0__write_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_hasInternalErrReg_wget, + _port0__write_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_hasInternalErrReg_whas, + _port1__read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_preScanRestartReg_wget, + _port1__read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_preScanRestartReg_whas, + _port1__read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_scanStartReg_wget, + _port1__read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_scanStartReg_whas, + _port1__read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_preScanRestartReg_wget, + _port1__read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_preScanRestartReg_whas, + _port1__read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_scanStartReg_wget, + _port1__read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_scanStartReg_whas, + _read_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_cntrl_preStateReg_wget, + _read_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_cntrl_preStateReg_whas, + _read_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_cntrl_stateReg_wget, + _read_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_cntrl_stateReg_whas, + _read_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_isNormalStateReg_wget, + _read_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_isNormalStateReg_whas, + _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_npsnReg_wget, + _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_npsnReg_whas, + _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_preStateReg_wget, + _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_preStateReg_whas, + _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_stateReg_wget, + _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_stateReg_whas, + _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_isNormalStateReg_wget, + _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_isNormalStateReg_whas, + _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_cntrl_preStateReg_wget, + _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_cntrl_preStateReg_whas, + _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_cntrl_sqTypeReg_wget, + _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_cntrl_sqTypeReg_whas, + _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_cntrl_stateReg_wget, + _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_cntrl_stateReg_whas, + _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_isNormalStateReg_wget, + _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_isNormalStateReg_whas, + _read_RL_sq_reqGenSQ_countReqPkt_EN_cntrl_preStateReg_wget, + _read_RL_sq_reqGenSQ_countReqPkt_EN_cntrl_preStateReg_whas, + _read_RL_sq_reqGenSQ_countReqPkt_EN_cntrl_sqTypeReg_wget, + _read_RL_sq_reqGenSQ_countReqPkt_EN_cntrl_sqTypeReg_whas, + _read_RL_sq_reqGenSQ_countReqPkt_EN_cntrl_stateReg_wget, + _read_RL_sq_reqGenSQ_countReqPkt_EN_cntrl_stateReg_whas, + _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_curPsnReg_wget, + _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_curPsnReg_whas, + _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_isFirstOrOnlyReqPktReg_wget, + _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_isFirstOrOnlyReqPktReg_whas, + _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_isNormalStateReg_wget, + _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_isNormalStateReg_whas, + _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_remainingPktNumReg_wget, + _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_remainingPktNumReg_whas, + _read_RL_sq_reqGenSQ_errFlushWR_EN_cntrl_stateReg_wget, + _read_RL_sq_reqGenSQ_errFlushWR_EN_cntrl_stateReg_whas, + _read_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_isNormalStateReg_wget, + _read_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_isNormalStateReg_whas, + _read_RL_sq_reqGenSQ_genReqHeader_EN_cntrl_preStateReg_wget, + _read_RL_sq_reqGenSQ_genReqHeader_EN_cntrl_preStateReg_whas, + _read_RL_sq_reqGenSQ_genReqHeader_EN_cntrl_stateReg_wget, + _read_RL_sq_reqGenSQ_genReqHeader_EN_cntrl_stateReg_whas, + _read_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_isNormalStateReg_wget, + _read_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_isNormalStateReg_whas, + _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_pmtuReg_wget, + _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_pmtuReg_whas, + _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_preStateReg_wget, + _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_preStateReg_whas, + _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_sqpnReg_wget, + _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_sqpnReg_whas, + _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_stateReg_wget, + _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_stateReg_whas, + _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_isNormalStateReg_wget, + _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_isNormalStateReg_whas, + _read_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_cntrl_preStateReg_wget, + _read_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_cntrl_preStateReg_whas, + _read_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_cntrl_stateReg_wget, + _read_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_cntrl_stateReg_whas, + _read_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_isNormalStateReg_wget, + _read_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_isNormalStateReg_whas, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_dqpnReg_wget, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_dqpnReg_whas, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_pkeyReg_wget, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_pkeyReg_whas, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_preStateReg_wget, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_preStateReg_whas, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_sqSigAllReg_wget, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_sqSigAllReg_whas, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_sqTypeReg_wget, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_sqTypeReg_whas, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_sqpnReg_wget, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_sqpnReg_whas, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_stateReg_wget, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_stateReg_whas, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_isNormalStateReg_wget, + _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_isNormalStateReg_whas, + _read_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_cntrl_preStateReg_wget, + _read_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_cntrl_preStateReg_whas, + _read_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_cntrl_stateReg_wget, + _read_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_cntrl_stateReg_whas, + _read_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_isNormalStateReg_wget, + _read_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_isNormalStateReg_whas, + _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_pmtuReg_wget, + _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_pmtuReg_whas, + _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_preStateReg_wget, + _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_preStateReg_whas, + _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_sqTypeReg_wget, + _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_sqTypeReg_whas, + _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_sqpnReg_wget, + _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_sqpnReg_whas, + _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_stateReg_wget, + _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_stateReg_whas, + _read_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqBuf_emptyReg_wget, + _read_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqBuf_emptyReg_whas, + _read_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_calcReadRespAddr_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_calcReadRespAddr_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_nextReadRespWriteAddrReg_wget, + _read_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_nextReadRespWriteAddrReg_whas, + _read_RL_sq_respHandleSQ_calcReadRespLen_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_calcReadRespLen_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_remainingReadRespLenReg_wget, + _read_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_remainingReadRespLenReg_whas, + _read_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_checkReadRespLen_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_checkReadRespLen_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_checkRetryErr_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_checkRetryErr_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_errOccurredReg_wget, + _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_errOccurredReg_whas, + _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_recvErrRespReg_wget, + _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_recvErrRespReg_whas, + _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_retryFlushReg_wget, + _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_retryFlushReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_0_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_0_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_1_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_1_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_2_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_2_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_3_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_3_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_deqPtrReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_deqPtrReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_emptyReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_emptyReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_scanStateReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_scanStateReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_errOccurredReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_errOccurredReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageDeqPendingWorkReqReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageDeqPendingWorkReqReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageDeqPktMetaDataReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageDeqPktMetaDataReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStagePktMetaDataReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStagePktMetaDataReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageReqPktInfoReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageReqPktInfoReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageRespTypeReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageRespTypeReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageStateReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageStateReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageWorkCompReqTypeReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageWorkCompReqTypeReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageWorkReqAckTypeReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageWorkReqAckTypeReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_recvErrRespReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_recvErrRespReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_recvRetryRespReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_recvRetryRespReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_retryFlushReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_retryFlushReg_whas, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_retryResetReqReg_wget, + _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_retryResetReqReg_whas, + _read_RL_sq_respHandleSQ_discardGhostResp_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_discardGhostResp_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_pendingWorkReqBuf_emptyReg_wget, + _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_pendingWorkReqBuf_emptyReg_whas, + _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_errOccurredReg_wget, + _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_errOccurredReg_whas, + _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_recvErrRespReg_wget, + _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_recvErrRespReg_whas, + _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_retryFlushReg_wget, + _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_retryFlushReg_whas, + _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_pendingWorkReqBuf_emptyReg_wget, + _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_pendingWorkReqBuf_emptyReg_whas, + _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_errOccurredReg_wget, + _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_errOccurredReg_whas, + _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_recvErrRespReg_wget, + _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_recvErrRespReg_whas, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_0_wget, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_0_whas, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_1_wget, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_1_whas, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_2_wget, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_2_whas, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_3_wget, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_3_whas, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_deqPtrReg_wget, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_deqPtrReg_whas, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_emptyReg_wget, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_emptyReg_whas, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_scanStateReg_wget, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_scanStateReg_whas, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_errOccurredReg_wget, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_errOccurredReg_whas, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_recvErrRespReg_wget, + _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_recvErrRespReg_whas, + _read_RL_sq_respHandleSQ_genWorkCompSQ_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_genWorkCompSQ_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_handleRespByType_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_handleRespByType_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_errOccurredReg_wget, + _read_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_errOccurredReg_whas, + _read_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_preRdmaOpCodeReg_wget, + _read_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_preRdmaOpCodeReg_whas, + _read_RL_sq_respHandleSQ_issueDmaReq_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_issueDmaReq_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_cntrl_npsnReg_wget, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_cntrl_npsnReg_whas, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_0_wget, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_0_whas, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_1_wget, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_1_whas, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_2_wget, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_2_whas, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_3_wget, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_3_whas, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_deqPtrReg_wget, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_deqPtrReg_whas, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_emptyReg_wget, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_emptyReg_whas, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_errOccurredReg_wget, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_errOccurredReg_whas, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageStateReg_wget, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageStateReg_whas, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_recvErrRespReg_wget, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_recvErrRespReg_whas, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_retryFlushReg_wget, + _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_retryFlushReg_whas, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_pendingWorkReqBuf_emptyReg_wget, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_pendingWorkReqBuf_emptyReg_whas, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_errOccurredReg_wget, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_errOccurredReg_whas, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStagePktMetaDataReg_wget, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStagePktMetaDataReg_whas, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageRespAndWorkReqRelationReg_wget, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageRespAndWorkReqRelationReg_whas, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageRespTypeReg_wget, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageRespTypeReg_whas, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageStateReg_wget, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageStateReg_whas, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_recvErrRespReg_wget, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_recvErrRespReg_whas, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_retryFlushReg_wget, + _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_retryFlushReg_whas, + _read_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_recvRespHeader_EN_cntrl_preStateReg_wget, + _read_RL_sq_respHandleSQ_recvRespHeader_EN_cntrl_preStateReg_whas, + _read_RL_sq_respHandleSQ_recvRespHeader_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_recvRespHeader_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_errOccurredReg_wget, + _read_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_errOccurredReg_whas, + _read_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_wget, + _read_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_whas, + _read_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_retryFlushReg_wget, + _read_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_retryFlushReg_whas, + _read_RL_sq_respHandleSQ_retryFlushDone_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_retryFlushDone_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_pendingWorkReqBuf_emptyReg_wget, + _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_pendingWorkReqBuf_emptyReg_whas, + _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_errOccurredReg_wget, + _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_errOccurredReg_whas, + _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_recvErrRespReg_wget, + _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_recvErrRespReg_whas, + _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_retryFlushReg_wget, + _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_retryFlushReg_whas, + _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_retryHandler_retryHandleStateReg_wget, + _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_retryHandler_retryHandleStateReg_whas, + _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_cntrl_stateReg_wget, + _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_cntrl_stateReg_whas, + _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_errOccurredReg_wget, + _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_errOccurredReg_whas, + _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_recvErrRespReg_wget, + _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_recvErrRespReg_whas, + _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_retryFlushReg_wget, + _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_retryFlushReg_whas, + _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_pkeyReg_wget, + _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_pkeyReg_whas, + _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_preStateReg_wget, + _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_preStateReg_whas, + _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_sqSigAllReg_wget, + _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_sqSigAllReg_whas, + _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_sqpnReg_wget, + _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_sqpnReg_whas, + _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_stateReg_wget, + _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_stateReg_whas, + _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_workCompGenStateReg_wget, + _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_workCompGenStateReg_whas, + _read_RL_sq_workCompGenSQ_genWorkCompSQ_EN_cntrl_preStateReg_wget, + _read_RL_sq_workCompGenSQ_genWorkCompSQ_EN_cntrl_preStateReg_whas, + _read_RL_sq_workCompGenSQ_genWorkCompSQ_EN_cntrl_stateReg_wget, + _read_RL_sq_workCompGenSQ_genWorkCompSQ_EN_cntrl_stateReg_whas, + _read_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompGenStateReg_wget, + _read_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompGenStateReg_whas, + _read_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_cntrl_stateReg_wget, + _read_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_cntrl_stateReg_whas, + _read_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_workCompGenStateReg_wget, + _read_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_workCompGenStateReg_whas, + _read_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_cntrl_preStateReg_wget, + _read_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_cntrl_preStateReg_whas, + _read_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_cntrl_stateReg_wget, + _read_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_cntrl_stateReg_whas, + _read_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_workCompGenSQ_workCompGenStateReg_wget, + _read_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_workCompGenSQ_workCompGenStateReg_whas, + _read_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_cntrl_preStateReg_wget, + _read_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_cntrl_preStateReg_whas, + _read_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_cntrl_stateReg_wget, + _read_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_cntrl_stateReg_whas, + _read_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_workCompGenStateReg_wget, + _read_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_workCompGenStateReg_whas, + _write_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_npsnReg_wget, + _write_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_npsnReg_whas, + _write_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_curPsnReg_wget, + _write_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_curPsnReg_whas, + _write_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_isFirstOrOnlyReqPktReg_wget, + _write_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_isFirstOrOnlyReqPktReg_whas, + _write_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_remainingPktNumReg_wget, + _write_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_remainingPktNumReg_whas, + _write_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_isNormalStateReg_wget, + _write_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_isNormalStateReg_whas, + _write_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageStateReg_wget, + _write_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageStateReg_whas, + _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_preRdmaOpCodeReg_wget, + _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_preRdmaOpCodeReg_whas, + _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_recvErrRespReg_wget, + _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_recvErrRespReg_whas, + _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStagePktMetaDataReg_wget, + _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStagePktMetaDataReg_whas, + _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageReqPktInfoReg_wget, + _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageReqPktInfoReg_whas, + _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageRespAndWorkReqRelationReg_wget, + _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageRespAndWorkReqRelationReg_whas, + _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageRespTypeReg_wget, + _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageRespTypeReg_whas, + _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageStateReg_wget, + _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageStateReg_whas, + _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageDeqPendingWorkReqReg_wget, + _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageDeqPendingWorkReqReg_whas, + _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageDeqPktMetaDataReg_wget, + _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageDeqPktMetaDataReg_whas, + _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageStateReg_wget, + _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageStateReg_whas, + _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageWorkCompReqTypeReg_wget, + _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageWorkCompReqTypeReg_whas, + _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageWorkReqAckTypeReg_wget, + _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageWorkReqAckTypeReg_whas, + _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_retryResetReqReg_wget, + _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_retryResetReqReg_whas, + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_wget, + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_whas, + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvRetryRespReg_wget, + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvRetryRespReg_whas, + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_retryFlushReg_wget, + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_retryFlushReg_whas, + _write_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_recvRetryRespReg_wget, + _write_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_recvRetryRespReg_whas, + _write_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_retryFlushReg_wget, + _write_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_retryFlushReg_whas, + _write_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_preStageStateReg_wget, + _write_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_preStageStateReg_whas, + _write_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg_wget, + _write_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg_whas, + _write_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_wget, + _write_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_whas, + _write_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompGenStateReg_wget, + _write_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompGenStateReg_whas, + cntrl_epsnReg_EN_port0__write, + cntrl_epsnReg_EN_port1__write, + cntrl_epsnReg_EN_port2__write, + cntrl_epsnReg_EN_port3__write, + cntrl_epsnReg_EN_port4__write, + cntrl_nextStateReg_EN_port0__write, + cntrl_nextStateReg_EN_port1__write, + cntrl_nextStateReg_EN_port2__write, + cntrl_nextStateReg_EN_port3__write, + cntrl_nextStateReg_EN_port4__write, + cntrl_preReqOpCodeReg_EN_port0__write, + cntrl_preReqOpCodeReg_EN_port1__write, + cntrl_preReqOpCodeReg_EN_port2__write, + cntrl_preReqOpCodeReg_EN_port3__write, + cntrl_preReqOpCodeReg_EN_port4__write, + cntrl_qpDestroyReg_EN_port0__write, + cntrl_qpDestroyReg_EN_port1__write, + cntrl_qpDestroyReg_EN_port2__write, + cntrl_qpDestroyReg_EN_port3__write, + cntrl_qpDestroyReg_EN_port4__write, + cntrl_qpDestroyReg_port0__read, + cntrl_qpDestroyReg_port0__write_1, + cntrl_qpDestroyReg_port1__read, + cntrl_qpDestroyReg_port1__write_1, + cntrl_qpDestroyReg_port2__read, + cntrl_qpDestroyReg_port2__write_1, + cntrl_qpDestroyReg_port3__read, + cntrl_qpDestroyReg_port3__write_1, + cntrl_qpDestroyReg_port4__read, + cntrl_qpDestroyReg_port4__write_1, + cntrl_setStateErrReg_EN_port0__write, + cntrl_setStateErrReg_EN_port1__write, + cntrl_setStateErrReg_EN_port2__write, + cntrl_setStateErrReg_EN_port3__write, + cntrl_setStateErrReg_EN_port4__write, + cntrl_setStateErrReg_port0__read, + cntrl_setStateErrReg_port0__write_1, + cntrl_setStateErrReg_port1__read, + cntrl_setStateErrReg_port1__write_1, + cntrl_setStateErrReg_port2__read, + cntrl_setStateErrReg_port2__write_1, + cntrl_setStateErrReg_port3__read, + cntrl_setStateErrReg_port3__write_1, + cntrl_setStateErrReg_port4__read, + cntrl_setStateErrReg_port4__write_1, + dmaReadCntrl4SQ_cancelReg_EN_port0__write, + dmaReadCntrl4SQ_cancelReg_EN_port1__write, + dmaReadCntrl4SQ_cancelReg_EN_port2__write, + dmaReadCntrl4SQ_cancelReg_EN_port3__write, + dmaReadCntrl4SQ_cancelReg_EN_port4__write, + dmaReadCntrl4SQ_cancelReg_port0__read, + dmaReadCntrl4SQ_cancelReg_port0__write_1, + dmaReadCntrl4SQ_cancelReg_port1__read, + dmaReadCntrl4SQ_cancelReg_port1__write_1, + dmaReadCntrl4SQ_cancelReg_port2__read, + dmaReadCntrl4SQ_cancelReg_port2__write_1, + dmaReadCntrl4SQ_cancelReg_port3__read, + dmaReadCntrl4SQ_cancelReg_port3__write_1, + dmaReadCntrl4SQ_cancelReg_port4__read, + dmaReadCntrl4SQ_cancelReg_port4__write_1, + dmaReadCntrl4SQ_gracefulStopReg_EN_port0__write, + dmaReadCntrl4SQ_gracefulStopReg_EN_port1__write, + dmaReadCntrl4SQ_gracefulStopReg_EN_port2__write, + dmaReadCntrl4SQ_gracefulStopReg_EN_port3__write, + dmaReadCntrl4SQ_gracefulStopReg_EN_port4__write, + dmaReadCntrl4SQ_gracefulStopReg_port0__read, + dmaReadCntrl4SQ_gracefulStopReg_port0__write_1, + dmaReadCntrl4SQ_gracefulStopReg_port1__read, + dmaReadCntrl4SQ_gracefulStopReg_port1__write_1, + dmaReadCntrl4SQ_gracefulStopReg_port2__read, + dmaReadCntrl4SQ_gracefulStopReg_port2__write_1, + dmaReadCntrl4SQ_gracefulStopReg_port3__read, + dmaReadCntrl4SQ_gracefulStopReg_port3__write_1, + dmaReadCntrl4SQ_gracefulStopReg_port4__read, + dmaReadCntrl4SQ_gracefulStopReg_port4__write_1, + payloadGenerator4SQ_payloadBufQ_pwClear_whas, + payloadGenerator4SQ_payloadBufQ_pwDequeue_whas, + payloadGenerator4SQ_payloadBufQ_pwEnqueue_whas, + payloadGenerator4SQ_payloadBufQ_wDataIn_whas, + payloadGenerator4SQ_payloadBufQ_wDataOut_whas, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN_port0__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN_port1__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN_port2__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN_port3__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN_port4__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port0__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port0__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port1__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port1__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port2__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port2__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port3__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port3__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port4__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port4__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN_port0__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN_port1__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN_port2__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN_port3__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN_port4__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port0__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port0__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port1__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port1__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port2__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port2__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port3__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port3__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port4__read, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port4__write_1, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_EN_port0__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_EN_port1__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_EN_port2__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_EN_port3__write, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_EN_port4__write, + sq_pendingWorkReqBuf_clearReg_EN_port0__write, + sq_pendingWorkReqBuf_clearReg_EN_port1__write, + sq_pendingWorkReqBuf_clearReg_EN_port2__write, + sq_pendingWorkReqBuf_clearReg_EN_port3__write, + sq_pendingWorkReqBuf_clearReg_EN_port4__write, + sq_pendingWorkReqBuf_clearReg_port0__read, + sq_pendingWorkReqBuf_clearReg_port0__write_1, + sq_pendingWorkReqBuf_clearReg_port1__read, + sq_pendingWorkReqBuf_clearReg_port1__write_1, + sq_pendingWorkReqBuf_clearReg_port2__read, + sq_pendingWorkReqBuf_clearReg_port2__write_1, + sq_pendingWorkReqBuf_clearReg_port3__read, + sq_pendingWorkReqBuf_clearReg_port3__write_1, + sq_pendingWorkReqBuf_clearReg_port4__read, + sq_pendingWorkReqBuf_clearReg_port4__write_1, + sq_pendingWorkReqBuf_popReg_EN_port0__write, + sq_pendingWorkReqBuf_popReg_EN_port1__write, + sq_pendingWorkReqBuf_popReg_EN_port2__write, + sq_pendingWorkReqBuf_popReg_EN_port3__write, + sq_pendingWorkReqBuf_popReg_EN_port4__write, + sq_pendingWorkReqBuf_popReg_port0__read, + sq_pendingWorkReqBuf_popReg_port0__write_1, + sq_pendingWorkReqBuf_popReg_port1__read, + sq_pendingWorkReqBuf_popReg_port1__write_1, + sq_pendingWorkReqBuf_popReg_port2__read, + sq_pendingWorkReqBuf_popReg_port2__write_1, + sq_pendingWorkReqBuf_popReg_port3__read, + sq_pendingWorkReqBuf_popReg_port3__write_1, + sq_pendingWorkReqBuf_popReg_port4__read, + sq_pendingWorkReqBuf_popReg_port4__write_1, + sq_pendingWorkReqBuf_preScanRestartReg_EN_port0__write, + sq_pendingWorkReqBuf_preScanRestartReg_EN_port1__write, + sq_pendingWorkReqBuf_preScanRestartReg_EN_port2__write, + sq_pendingWorkReqBuf_preScanRestartReg_EN_port3__write, + sq_pendingWorkReqBuf_preScanRestartReg_EN_port4__write, + sq_pendingWorkReqBuf_preScanRestartReg_port0__read, + sq_pendingWorkReqBuf_preScanRestartReg_port0__write_1, + sq_pendingWorkReqBuf_preScanRestartReg_port1__read, + sq_pendingWorkReqBuf_preScanRestartReg_port1__write_1, + sq_pendingWorkReqBuf_preScanRestartReg_port2__read, + sq_pendingWorkReqBuf_preScanRestartReg_port2__write_1, + sq_pendingWorkReqBuf_preScanRestartReg_port3__read, + sq_pendingWorkReqBuf_preScanRestartReg_port3__write_1, + sq_pendingWorkReqBuf_preScanRestartReg_port4__read, + sq_pendingWorkReqBuf_preScanRestartReg_port4__write_1, + sq_pendingWorkReqBuf_preScanStartReg_EN_port0__write, + sq_pendingWorkReqBuf_preScanStartReg_EN_port1__write, + sq_pendingWorkReqBuf_preScanStartReg_EN_port2__write, + sq_pendingWorkReqBuf_preScanStartReg_EN_port3__write, + sq_pendingWorkReqBuf_preScanStartReg_EN_port4__write, + sq_pendingWorkReqBuf_preScanStartReg_port0__read, + sq_pendingWorkReqBuf_preScanStartReg_port0__write_1, + sq_pendingWorkReqBuf_preScanStartReg_port1__read, + sq_pendingWorkReqBuf_preScanStartReg_port1__write_1, + sq_pendingWorkReqBuf_preScanStartReg_port2__read, + sq_pendingWorkReqBuf_preScanStartReg_port2__write_1, + sq_pendingWorkReqBuf_preScanStartReg_port3__read, + sq_pendingWorkReqBuf_preScanStartReg_port3__write_1, + sq_pendingWorkReqBuf_preScanStartReg_port4__read, + sq_pendingWorkReqBuf_preScanStartReg_port4__write_1, + sq_pendingWorkReqBuf_pushReg_EN_port0__write, + sq_pendingWorkReqBuf_pushReg_EN_port1__write, + sq_pendingWorkReqBuf_pushReg_EN_port2__write, + sq_pendingWorkReqBuf_pushReg_EN_port3__write, + sq_pendingWorkReqBuf_pushReg_EN_port4__write, + sq_pendingWorkReqBuf_scanDoneReg_EN_port0__write, + sq_pendingWorkReqBuf_scanDoneReg_EN_port1__write, + sq_pendingWorkReqBuf_scanDoneReg_EN_port2__write, + sq_pendingWorkReqBuf_scanDoneReg_EN_port3__write, + sq_pendingWorkReqBuf_scanDoneReg_EN_port4__write, + sq_pendingWorkReqBuf_scanDoneReg_port0__read, + sq_pendingWorkReqBuf_scanDoneReg_port0__write_1, + sq_pendingWorkReqBuf_scanDoneReg_port1__read, + sq_pendingWorkReqBuf_scanDoneReg_port1__write_1, + sq_pendingWorkReqBuf_scanDoneReg_port2__read, + sq_pendingWorkReqBuf_scanDoneReg_port2__write_1, + sq_pendingWorkReqBuf_scanDoneReg_port3__read, + sq_pendingWorkReqBuf_scanDoneReg_port3__write_1, + sq_pendingWorkReqBuf_scanDoneReg_port4__read, + sq_pendingWorkReqBuf_scanDoneReg_port4__write_1, + sq_pendingWorkReqBuf_scanStartReg_EN_port0__write, + sq_pendingWorkReqBuf_scanStartReg_EN_port1__write, + sq_pendingWorkReqBuf_scanStartReg_EN_port2__write, + sq_pendingWorkReqBuf_scanStartReg_EN_port3__write, + sq_pendingWorkReqBuf_scanStartReg_EN_port4__write, + sq_pendingWorkReqBuf_scanStartReg_port0__read, + sq_pendingWorkReqBuf_scanStartReg_port0__write_1, + sq_pendingWorkReqBuf_scanStartReg_port1__read, + sq_pendingWorkReqBuf_scanStartReg_port1__write_1, + sq_pendingWorkReqBuf_scanStartReg_port2__read, + sq_pendingWorkReqBuf_scanStartReg_port2__write_1, + sq_pendingWorkReqBuf_scanStartReg_port3__read, + sq_pendingWorkReqBuf_scanStartReg_port3__write_1, + sq_pendingWorkReqBuf_scanStartReg_port4__read, + sq_pendingWorkReqBuf_scanStartReg_port4__write_1, + sq_pendingWorkReqBuf_scanStopReg_EN_port0__write, + sq_pendingWorkReqBuf_scanStopReg_EN_port1__write, + sq_pendingWorkReqBuf_scanStopReg_EN_port2__write, + sq_pendingWorkReqBuf_scanStopReg_EN_port3__write, + sq_pendingWorkReqBuf_scanStopReg_EN_port4__write, + sq_pendingWorkReqBuf_scanStopReg_port0__read, + sq_pendingWorkReqBuf_scanStopReg_port0__write_1, + sq_pendingWorkReqBuf_scanStopReg_port1__read, + sq_pendingWorkReqBuf_scanStopReg_port1__write_1, + sq_pendingWorkReqBuf_scanStopReg_port2__read, + sq_pendingWorkReqBuf_scanStopReg_port2__write_1, + sq_pendingWorkReqBuf_scanStopReg_port3__read, + sq_pendingWorkReqBuf_scanStopReg_port3__write_1, + sq_pendingWorkReqBuf_scanStopReg_port4__read, + sq_pendingWorkReqBuf_scanStopReg_port4__write_1, + sq_respHandleSQ_hasInternalErrReg_EN_port0__write, + sq_respHandleSQ_hasInternalErrReg_EN_port1__write, + sq_respHandleSQ_hasInternalErrReg_EN_port2__write, + sq_respHandleSQ_hasInternalErrReg_EN_port3__write, + sq_respHandleSQ_hasInternalErrReg_EN_port4__write, + sq_respHandleSQ_hasInternalErrReg_port0__read, + sq_respHandleSQ_hasInternalErrReg_port0__write_1, + sq_respHandleSQ_hasInternalErrReg_port1__read, + sq_respHandleSQ_hasInternalErrReg_port1__write_1, + sq_respHandleSQ_hasInternalErrReg_port2__read, + sq_respHandleSQ_hasInternalErrReg_port2__write_1, + sq_respHandleSQ_hasInternalErrReg_port3__read, + sq_respHandleSQ_hasInternalErrReg_port3__write_1, + sq_respHandleSQ_hasInternalErrReg_port4__read, + sq_respHandleSQ_hasInternalErrReg_port4__write_1, + sq_respHandleSQ_hasTimeOutErrReg_EN_port0__write, + sq_respHandleSQ_hasTimeOutErrReg_EN_port1__write, + sq_respHandleSQ_hasTimeOutErrReg_EN_port2__write, + sq_respHandleSQ_hasTimeOutErrReg_EN_port3__write, + sq_respHandleSQ_hasTimeOutErrReg_EN_port4__write, + sq_respHandleSQ_hasTimeOutErrReg_port0__read, + sq_respHandleSQ_hasTimeOutErrReg_port0__write_1, + sq_respHandleSQ_hasTimeOutErrReg_port1__read, + sq_respHandleSQ_hasTimeOutErrReg_port1__write_1, + sq_respHandleSQ_hasTimeOutErrReg_port2__read, + sq_respHandleSQ_hasTimeOutErrReg_port2__write_1, + sq_respHandleSQ_hasTimeOutErrReg_port3__read, + sq_respHandleSQ_hasTimeOutErrReg_port3__write_1, + sq_respHandleSQ_hasTimeOutErrReg_port4__read, + sq_respHandleSQ_hasTimeOutErrReg_port4__write_1, + sq_retryHandler_retryCntrlStateReg_EN_port0__write, + sq_retryHandler_retryCntrlStateReg_EN_port1__write, + sq_retryHandler_retryCntrlStateReg_EN_port2__write, + sq_retryHandler_retryCntrlStateReg_EN_port3__write, + sq_retryHandler_retryCntrlStateReg_EN_port4__write; + + // register cntrl_dqpnReg + reg [23 : 0] cntrl_dqpnReg; + wire [23 : 0] cntrl_dqpnReg_D_IN; + wire cntrl_dqpnReg_EN; + + // register cntrl_epsnReg + reg [23 : 0] cntrl_epsnReg; + wire [23 : 0] cntrl_epsnReg_D_IN; + wire cntrl_epsnReg_EN; + + // register cntrl_errFlushDoneReg + reg cntrl_errFlushDoneReg; + wire cntrl_errFlushDoneReg_D_IN, cntrl_errFlushDoneReg_EN; + + // register cntrl_maxRetryCntReg + reg [2 : 0] cntrl_maxRetryCntReg; + wire [2 : 0] cntrl_maxRetryCntReg_D_IN; + wire cntrl_maxRetryCntReg_EN; + + // register cntrl_maxRnrCntReg + reg [2 : 0] cntrl_maxRnrCntReg; + wire [2 : 0] cntrl_maxRnrCntReg_D_IN; + wire cntrl_maxRnrCntReg_EN; + + // register cntrl_maxTimeOutReg + reg [4 : 0] cntrl_maxTimeOutReg; + wire [4 : 0] cntrl_maxTimeOutReg_D_IN; + wire cntrl_maxTimeOutReg_EN; + + // register cntrl_minRnrTimerReg + reg [4 : 0] cntrl_minRnrTimerReg; + wire [4 : 0] cntrl_minRnrTimerReg_D_IN; + wire cntrl_minRnrTimerReg_EN; + + // register cntrl_nextStateReg + reg [4 : 0] cntrl_nextStateReg; + wire [4 : 0] cntrl_nextStateReg_D_IN; + wire cntrl_nextStateReg_EN; + + // register cntrl_npsnReg + reg [23 : 0] cntrl_npsnReg; + wire [23 : 0] cntrl_npsnReg_D_IN; + wire cntrl_npsnReg_EN; + + // register cntrl_pendingDestReadAtomicReqNumReg + reg [7 : 0] cntrl_pendingDestReadAtomicReqNumReg; + wire [7 : 0] cntrl_pendingDestReadAtomicReqNumReg_D_IN; + wire cntrl_pendingDestReadAtomicReqNumReg_EN; + + // register cntrl_pendingReadAtomicReqNumReg + reg [7 : 0] cntrl_pendingReadAtomicReqNumReg; + wire [7 : 0] cntrl_pendingReadAtomicReqNumReg_D_IN; + wire cntrl_pendingReadAtomicReqNumReg_EN; + + // register cntrl_pendingRecvReqNumReg + reg [7 : 0] cntrl_pendingRecvReqNumReg; + wire [7 : 0] cntrl_pendingRecvReqNumReg_D_IN; + wire cntrl_pendingRecvReqNumReg_EN; + + // register cntrl_pendingWorkReqNumReg + reg [7 : 0] cntrl_pendingWorkReqNumReg; + wire [7 : 0] cntrl_pendingWorkReqNumReg_D_IN; + wire cntrl_pendingWorkReqNumReg_EN; + + // register cntrl_pkeyReg + reg [15 : 0] cntrl_pkeyReg; + wire [15 : 0] cntrl_pkeyReg_D_IN; + wire cntrl_pkeyReg_EN; + + // register cntrl_pmtuReg + reg [2 : 0] cntrl_pmtuReg; + wire [2 : 0] cntrl_pmtuReg_D_IN; + wire cntrl_pmtuReg_EN; + + // register cntrl_preReqOpCodeReg + reg [4 : 0] cntrl_preReqOpCodeReg; + wire [4 : 0] cntrl_preReqOpCodeReg_D_IN; + wire cntrl_preReqOpCodeReg_EN; + + // register cntrl_preStateReg + reg [3 : 0] cntrl_preStateReg; + wire [3 : 0] cntrl_preStateReg_D_IN; + wire cntrl_preStateReg_EN; + + // register cntrl_qkeyReg + reg [31 : 0] cntrl_qkeyReg; + wire [31 : 0] cntrl_qkeyReg_D_IN; + wire cntrl_qkeyReg_EN; + + // register cntrl_qpAccessFlagsReg + reg [7 : 0] cntrl_qpAccessFlagsReg; + wire [7 : 0] cntrl_qpAccessFlagsReg_D_IN; + wire cntrl_qpAccessFlagsReg_EN; + + // register cntrl_qpDestroyReg + reg cntrl_qpDestroyReg; + wire cntrl_qpDestroyReg_D_IN, cntrl_qpDestroyReg_EN; + + // register cntrl_setStateErrReg + reg cntrl_setStateErrReg; + wire cntrl_setStateErrReg_D_IN, cntrl_setStateErrReg_EN; + + // register cntrl_sqSigAllReg + reg cntrl_sqSigAllReg; + wire cntrl_sqSigAllReg_D_IN, cntrl_sqSigAllReg_EN; + + // register cntrl_sqTypeReg + reg [3 : 0] cntrl_sqTypeReg; + wire [3 : 0] cntrl_sqTypeReg_D_IN; + wire cntrl_sqTypeReg_EN; + + // register cntrl_sqpnReg + reg [23 : 0] cntrl_sqpnReg; + wire [23 : 0] cntrl_sqpnReg_D_IN; + wire cntrl_sqpnReg_EN; + + // register cntrl_stateReg + reg [3 : 0] cntrl_stateReg; + wire [3 : 0] cntrl_stateReg_D_IN; + wire cntrl_stateReg_EN; + + // register dmaReadCntrl4SQ_addrChunkSrv_busyReg + reg dmaReadCntrl4SQ_addrChunkSrv_busyReg; + reg dmaReadCntrl4SQ_addrChunkSrv_busyReg_D_IN; + wire dmaReadCntrl4SQ_addrChunkSrv_busyReg_EN; + + // register dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg + reg [63 : 0] dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg; + wire [63 : 0] dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg_D_IN; + wire dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg_EN; + + // register dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg + reg [12 : 0] dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg; + reg [12 : 0] dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg_D_IN; + wire dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg_EN; + + // register dmaReadCntrl4SQ_addrChunkSrv_isFirstReg + reg dmaReadCntrl4SQ_addrChunkSrv_isFirstReg; + wire dmaReadCntrl4SQ_addrChunkSrv_isFirstReg_D_IN, + dmaReadCntrl4SQ_addrChunkSrv_isFirstReg_EN; + + // register dmaReadCntrl4SQ_addrChunkSrv_isZeroResidueReg + reg dmaReadCntrl4SQ_addrChunkSrv_isZeroResidueReg; + wire dmaReadCntrl4SQ_addrChunkSrv_isZeroResidueReg_D_IN, + dmaReadCntrl4SQ_addrChunkSrv_isZeroResidueReg_EN; + + // register dmaReadCntrl4SQ_addrChunkSrv_pktNumReg + reg [24 : 0] dmaReadCntrl4SQ_addrChunkSrv_pktNumReg; + wire [24 : 0] dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_D_IN; + wire dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_EN; + + // register dmaReadCntrl4SQ_addrChunkSrv_pmtuReg + reg [2 : 0] dmaReadCntrl4SQ_addrChunkSrv_pmtuReg; + wire [2 : 0] dmaReadCntrl4SQ_addrChunkSrv_pmtuReg_D_IN; + wire dmaReadCntrl4SQ_addrChunkSrv_pmtuReg_EN; + + // register dmaReadCntrl4SQ_addrChunkSrv_residueReg + reg [11 : 0] dmaReadCntrl4SQ_addrChunkSrv_residueReg; + wire [11 : 0] dmaReadCntrl4SQ_addrChunkSrv_residueReg_D_IN; + wire dmaReadCntrl4SQ_addrChunkSrv_residueReg_EN; + + // register dmaReadCntrl4SQ_cancelReg + reg dmaReadCntrl4SQ_cancelReg; + wire dmaReadCntrl4SQ_cancelReg_D_IN, dmaReadCntrl4SQ_cancelReg_EN; + + // register dmaReadCntrl4SQ_gracefulStopReg + reg dmaReadCntrl4SQ_gracefulStopReg; + wire dmaReadCntrl4SQ_gracefulStopReg_D_IN, + dmaReadCntrl4SQ_gracefulStopReg_EN; + + // register payloadGenerator4SQ_isNormalStateReg + reg payloadGenerator4SQ_isNormalStateReg; + wire payloadGenerator4SQ_isNormalStateReg_D_IN, + payloadGenerator4SQ_isNormalStateReg_EN; + + // register payloadGenerator4SQ_payloadBufQ_rCache + reg [300 : 0] payloadGenerator4SQ_payloadBufQ_rCache; + wire [300 : 0] payloadGenerator4SQ_payloadBufQ_rCache_D_IN; + wire payloadGenerator4SQ_payloadBufQ_rCache_EN; + + // register payloadGenerator4SQ_payloadBufQ_rRdPtr + reg [9 : 0] payloadGenerator4SQ_payloadBufQ_rRdPtr; + wire [9 : 0] payloadGenerator4SQ_payloadBufQ_rRdPtr_D_IN; + wire payloadGenerator4SQ_payloadBufQ_rRdPtr_EN; + + // register payloadGenerator4SQ_payloadBufQ_rWrPtr + reg [9 : 0] payloadGenerator4SQ_payloadBufQ_rWrPtr; + wire [9 : 0] payloadGenerator4SQ_payloadBufQ_rWrPtr_D_IN; + wire payloadGenerator4SQ_payloadBufQ_rWrPtr_EN; + + // register rqDmaReadCancelReg + reg rqDmaReadCancelReg; + wire rqDmaReadCancelReg_D_IN, rqDmaReadCancelReg_EN; + + // register rqDmaWriteCancelReg + reg rqDmaWriteCancelReg; + wire rqDmaWriteCancelReg_D_IN, rqDmaWriteCancelReg_EN; + + // register sqDmaReadCancelReg + reg sqDmaReadCancelReg; + wire sqDmaReadCancelReg_D_IN, sqDmaReadCancelReg_EN; + + // register sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg + reg [7 : 0] sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg; + wire [7 : 0] sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg_D_IN; + wire sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg_EN; + + // register sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg + reg sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg; + wire sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_D_IN, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN; + + // register sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg + reg sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg; + wire sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_D_IN, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN; + + // register sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg + reg [8 : 0] sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg; + wire [8 : 0] sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_D_IN; + wire sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_EN; + + // register sq_pendingWorkReqBuf_clearReg + reg sq_pendingWorkReqBuf_clearReg; + wire sq_pendingWorkReqBuf_clearReg_D_IN, sq_pendingWorkReqBuf_clearReg_EN; + + // register sq_pendingWorkReqBuf_dataVec_0 + reg [678 : 0] sq_pendingWorkReqBuf_dataVec_0; + wire [678 : 0] sq_pendingWorkReqBuf_dataVec_0_D_IN; + wire sq_pendingWorkReqBuf_dataVec_0_EN; + + // register sq_pendingWorkReqBuf_dataVec_1 + reg [678 : 0] sq_pendingWorkReqBuf_dataVec_1; + wire [678 : 0] sq_pendingWorkReqBuf_dataVec_1_D_IN; + wire sq_pendingWorkReqBuf_dataVec_1_EN; + + // register sq_pendingWorkReqBuf_dataVec_2 + reg [678 : 0] sq_pendingWorkReqBuf_dataVec_2; + wire [678 : 0] sq_pendingWorkReqBuf_dataVec_2_D_IN; + wire sq_pendingWorkReqBuf_dataVec_2_EN; + + // register sq_pendingWorkReqBuf_dataVec_3 + reg [678 : 0] sq_pendingWorkReqBuf_dataVec_3; + wire [678 : 0] sq_pendingWorkReqBuf_dataVec_3_D_IN; + wire sq_pendingWorkReqBuf_dataVec_3_EN; + + // register sq_pendingWorkReqBuf_deqPtrReg + reg [1 : 0] sq_pendingWorkReqBuf_deqPtrReg; + wire [1 : 0] sq_pendingWorkReqBuf_deqPtrReg_D_IN; + wire sq_pendingWorkReqBuf_deqPtrReg_EN; + + // register sq_pendingWorkReqBuf_emptyReg + reg sq_pendingWorkReqBuf_emptyReg; + wire sq_pendingWorkReqBuf_emptyReg_D_IN, sq_pendingWorkReqBuf_emptyReg_EN; + + // register sq_pendingWorkReqBuf_enqPtrReg + reg [1 : 0] sq_pendingWorkReqBuf_enqPtrReg; + wire [1 : 0] sq_pendingWorkReqBuf_enqPtrReg_D_IN; + wire sq_pendingWorkReqBuf_enqPtrReg_EN; + + // register sq_pendingWorkReqBuf_fullReg + reg sq_pendingWorkReqBuf_fullReg; + wire sq_pendingWorkReqBuf_fullReg_D_IN, sq_pendingWorkReqBuf_fullReg_EN; + + // register sq_pendingWorkReqBuf_headReg + reg [679 : 0] sq_pendingWorkReqBuf_headReg; + wire [679 : 0] sq_pendingWorkReqBuf_headReg_D_IN; + wire sq_pendingWorkReqBuf_headReg_EN; + + // register sq_pendingWorkReqBuf_popReg + reg sq_pendingWorkReqBuf_popReg; + wire sq_pendingWorkReqBuf_popReg_D_IN, sq_pendingWorkReqBuf_popReg_EN; + + // register sq_pendingWorkReqBuf_preScanRestartReg + reg sq_pendingWorkReqBuf_preScanRestartReg; + wire sq_pendingWorkReqBuf_preScanRestartReg_D_IN, + sq_pendingWorkReqBuf_preScanRestartReg_EN; + + // register sq_pendingWorkReqBuf_preScanStartReg + reg sq_pendingWorkReqBuf_preScanStartReg; + wire sq_pendingWorkReqBuf_preScanStartReg_D_IN, + sq_pendingWorkReqBuf_preScanStartReg_EN; + + // register sq_pendingWorkReqBuf_pushReg + reg [679 : 0] sq_pendingWorkReqBuf_pushReg; + wire [679 : 0] sq_pendingWorkReqBuf_pushReg_D_IN; + wire sq_pendingWorkReqBuf_pushReg_EN; + + // register sq_pendingWorkReqBuf_scanAlmostDoneReg + reg sq_pendingWorkReqBuf_scanAlmostDoneReg; + wire sq_pendingWorkReqBuf_scanAlmostDoneReg_D_IN, + sq_pendingWorkReqBuf_scanAlmostDoneReg_EN; + + // register sq_pendingWorkReqBuf_scanDoneReg + reg sq_pendingWorkReqBuf_scanDoneReg; + wire sq_pendingWorkReqBuf_scanDoneReg_D_IN, + sq_pendingWorkReqBuf_scanDoneReg_EN; + + // register sq_pendingWorkReqBuf_scanPtrReg + reg [1 : 0] sq_pendingWorkReqBuf_scanPtrReg; + wire [1 : 0] sq_pendingWorkReqBuf_scanPtrReg_D_IN; + wire sq_pendingWorkReqBuf_scanPtrReg_EN; + + // register sq_pendingWorkReqBuf_scanStartReg + reg sq_pendingWorkReqBuf_scanStartReg; + wire sq_pendingWorkReqBuf_scanStartReg_D_IN, + sq_pendingWorkReqBuf_scanStartReg_EN; + + // register sq_pendingWorkReqBuf_scanStateReg + reg [1 : 0] sq_pendingWorkReqBuf_scanStateReg; + reg [1 : 0] sq_pendingWorkReqBuf_scanStateReg_D_IN; + wire sq_pendingWorkReqBuf_scanStateReg_EN; + + // register sq_pendingWorkReqBuf_scanStopReg + reg sq_pendingWorkReqBuf_scanStopReg; + wire sq_pendingWorkReqBuf_scanStopReg_D_IN, + sq_pendingWorkReqBuf_scanStopReg_EN; + + // register sq_reqGenSQ_curPsnReg + reg [23 : 0] sq_reqGenSQ_curPsnReg; + wire [23 : 0] sq_reqGenSQ_curPsnReg_D_IN; + wire sq_reqGenSQ_curPsnReg_EN; + + // register sq_reqGenSQ_isFirstOrOnlyReqPktReg + reg sq_reqGenSQ_isFirstOrOnlyReqPktReg; + wire sq_reqGenSQ_isFirstOrOnlyReqPktReg_D_IN, + sq_reqGenSQ_isFirstOrOnlyReqPktReg_EN; + + // register sq_reqGenSQ_isNormalStateReg + reg sq_reqGenSQ_isNormalStateReg; + wire sq_reqGenSQ_isNormalStateReg_D_IN, sq_reqGenSQ_isNormalStateReg_EN; + + // register sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg + reg sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg; + wire sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg_D_IN, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg_EN; + + // register sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg + reg [592 : 0] sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg; + wire [592 : 0] sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg_D_IN; + wire sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg_EN; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg + reg [1 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg; + wire [1 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_D_IN; + wire sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_EN; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg + reg sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg; + wire sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg_D_IN, + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg_EN; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidBitNumReg + reg [8 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidBitNumReg; + wire [8 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidBitNumReg_D_IN; + wire sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidBitNumReg_EN; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg + reg [5 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg; + wire [5 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg_D_IN; + wire sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg_EN; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidBitNumReg + reg [8 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidBitNumReg; + wire [8 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidBitNumReg_D_IN; + wire sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidBitNumReg_EN; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidByteNumReg + reg [5 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidByteNumReg; + wire [5 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidByteNumReg_D_IN; + wire sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidByteNumReg_EN; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_isFirstReg + reg sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_isFirstReg; + wire sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_isFirstReg_D_IN, + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_isFirstReg_EN; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg + reg [289 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg; + wire [289 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_D_IN; + wire sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_EN; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg + reg [1 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg; + reg [1 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_D_IN; + wire sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_EN; + + // register sq_reqGenSQ_remainingPktNumReg + reg [24 : 0] sq_reqGenSQ_remainingPktNumReg; + wire [24 : 0] sq_reqGenSQ_remainingPktNumReg_D_IN; + wire sq_reqGenSQ_remainingPktNumReg_EN; + + // register sq_respHandleSQ_errOccurredReg + reg sq_respHandleSQ_errOccurredReg; + wire sq_respHandleSQ_errOccurredReg_D_IN, sq_respHandleSQ_errOccurredReg_EN; + + // register sq_respHandleSQ_hasInternalErrReg + reg sq_respHandleSQ_hasInternalErrReg; + wire sq_respHandleSQ_hasInternalErrReg_D_IN, + sq_respHandleSQ_hasInternalErrReg_EN; + + // register sq_respHandleSQ_hasTimeOutErrReg + reg sq_respHandleSQ_hasTimeOutErrReg; + wire sq_respHandleSQ_hasTimeOutErrReg_D_IN, + sq_respHandleSQ_hasTimeOutErrReg_EN; + + // register sq_respHandleSQ_nextReadRespWriteAddrReg + reg [63 : 0] sq_respHandleSQ_nextReadRespWriteAddrReg; + wire [63 : 0] sq_respHandleSQ_nextReadRespWriteAddrReg_D_IN; + wire sq_respHandleSQ_nextReadRespWriteAddrReg_EN; + + // register sq_respHandleSQ_preRdmaOpCodeReg + reg [4 : 0] sq_respHandleSQ_preRdmaOpCodeReg; + wire [4 : 0] sq_respHandleSQ_preRdmaOpCodeReg_D_IN; + wire sq_respHandleSQ_preRdmaOpCodeReg_EN; + + // register sq_respHandleSQ_preStageDeqPendingWorkReqReg + reg sq_respHandleSQ_preStageDeqPendingWorkReqReg; + wire sq_respHandleSQ_preStageDeqPendingWorkReqReg_D_IN, + sq_respHandleSQ_preStageDeqPendingWorkReqReg_EN; + + // register sq_respHandleSQ_preStageDeqPktMetaDataReg + reg sq_respHandleSQ_preStageDeqPktMetaDataReg; + wire sq_respHandleSQ_preStageDeqPktMetaDataReg_D_IN, + sq_respHandleSQ_preStageDeqPktMetaDataReg_EN; + + // register sq_respHandleSQ_preStagePktMetaDataReg + reg [648 : 0] sq_respHandleSQ_preStagePktMetaDataReg; + wire [648 : 0] sq_respHandleSQ_preStagePktMetaDataReg_D_IN; + wire sq_respHandleSQ_preStagePktMetaDataReg_EN; + + // register sq_respHandleSQ_preStageReqPktInfoReg + reg [134 : 0] sq_respHandleSQ_preStageReqPktInfoReg; + wire [134 : 0] sq_respHandleSQ_preStageReqPktInfoReg_D_IN; + wire sq_respHandleSQ_preStageReqPktInfoReg_EN; + + // register sq_respHandleSQ_preStageRespAndWorkReqRelationReg + reg [4 : 0] sq_respHandleSQ_preStageRespAndWorkReqRelationReg; + wire [4 : 0] sq_respHandleSQ_preStageRespAndWorkReqRelationReg_D_IN; + wire sq_respHandleSQ_preStageRespAndWorkReqRelationReg_EN; + + // register sq_respHandleSQ_preStageRespTypeReg + reg [1 : 0] sq_respHandleSQ_preStageRespTypeReg; + reg [1 : 0] sq_respHandleSQ_preStageRespTypeReg_D_IN; + wire sq_respHandleSQ_preStageRespTypeReg_EN; + + // register sq_respHandleSQ_preStageStateReg + reg [1 : 0] sq_respHandleSQ_preStageStateReg; + reg [1 : 0] sq_respHandleSQ_preStageStateReg_D_IN; + wire sq_respHandleSQ_preStageStateReg_EN; + + // register sq_respHandleSQ_preStageWorkCompReqTypeReg + reg [1 : 0] sq_respHandleSQ_preStageWorkCompReqTypeReg; + wire [1 : 0] sq_respHandleSQ_preStageWorkCompReqTypeReg_D_IN; + wire sq_respHandleSQ_preStageWorkCompReqTypeReg_EN; + + // register sq_respHandleSQ_preStageWorkReqAckTypeReg + reg [3 : 0] sq_respHandleSQ_preStageWorkReqAckTypeReg; + wire [3 : 0] sq_respHandleSQ_preStageWorkReqAckTypeReg_D_IN; + wire sq_respHandleSQ_preStageWorkReqAckTypeReg_EN; + + // register sq_respHandleSQ_recvErrRespReg + reg sq_respHandleSQ_recvErrRespReg; + wire sq_respHandleSQ_recvErrRespReg_D_IN, sq_respHandleSQ_recvErrRespReg_EN; + + // register sq_respHandleSQ_recvRetryRespReg + reg sq_respHandleSQ_recvRetryRespReg; + wire sq_respHandleSQ_recvRetryRespReg_D_IN, + sq_respHandleSQ_recvRetryRespReg_EN; + + // register sq_respHandleSQ_remainingReadRespLenReg + reg [31 : 0] sq_respHandleSQ_remainingReadRespLenReg; + wire [31 : 0] sq_respHandleSQ_remainingReadRespLenReg_D_IN; + wire sq_respHandleSQ_remainingReadRespLenReg_EN; + + // register sq_respHandleSQ_retryFlushReg + reg sq_respHandleSQ_retryFlushReg; + wire sq_respHandleSQ_retryFlushReg_D_IN, sq_respHandleSQ_retryFlushReg_EN; + + // register sq_respHandleSQ_retryResetReqReg + reg sq_respHandleSQ_retryResetReqReg; + wire sq_respHandleSQ_retryResetReqReg_D_IN, + sq_respHandleSQ_retryResetReqReg_EN; + + // register sq_retryHandler_disableRetryCntReg + reg sq_retryHandler_disableRetryCntReg; + wire sq_retryHandler_disableRetryCntReg_D_IN, + sq_retryHandler_disableRetryCntReg_EN; + + // register sq_retryHandler_disableTimeOutReg + reg sq_retryHandler_disableTimeOutReg; + wire sq_retryHandler_disableTimeOutReg_D_IN, + sq_retryHandler_disableTimeOutReg_EN; + + // register sq_retryHandler_isRnrWaitCntZeroReg + reg sq_retryHandler_isRnrWaitCntZeroReg; + wire sq_retryHandler_isRnrWaitCntZeroReg_D_IN, + sq_retryHandler_isRnrWaitCntZeroReg_EN; + + // register sq_retryHandler_isTimeOutCntHighPartZeroReg + reg sq_retryHandler_isTimeOutCntHighPartZeroReg; + wire sq_retryHandler_isTimeOutCntHighPartZeroReg_D_IN, + sq_retryHandler_isTimeOutCntHighPartZeroReg_EN; + + // register sq_retryHandler_isTimeOutCntLowPartZeroReg + reg sq_retryHandler_isTimeOutCntLowPartZeroReg; + wire sq_retryHandler_isTimeOutCntLowPartZeroReg_D_IN, + sq_retryHandler_isTimeOutCntLowPartZeroReg_EN; + + // register sq_retryHandler_pauseRetryHandleReg + reg sq_retryHandler_pauseRetryHandleReg; + wire sq_retryHandler_pauseRetryHandleReg_D_IN, + sq_retryHandler_pauseRetryHandleReg_EN; + + // register sq_retryHandler_psnDiffReg + reg [23 : 0] sq_retryHandler_psnDiffReg; + wire [23 : 0] sq_retryHandler_psnDiffReg_D_IN; + wire sq_retryHandler_psnDiffReg_EN; + + // register sq_retryHandler_retryCntReg + reg [2 : 0] sq_retryHandler_retryCntReg; + wire [2 : 0] sq_retryHandler_retryCntReg_D_IN; + wire sq_retryHandler_retryCntReg_EN; + + // register sq_retryHandler_retryCntrlStateReg + reg [1 : 0] sq_retryHandler_retryCntrlStateReg; + wire [1 : 0] sq_retryHandler_retryCntrlStateReg_D_IN; + wire sq_retryHandler_retryCntrlStateReg_EN; + + // register sq_retryHandler_retryHandleStateReg + reg [2 : 0] sq_retryHandler_retryHandleStateReg; + reg [2 : 0] sq_retryHandler_retryHandleStateReg_D_IN; + wire sq_retryHandler_retryHandleStateReg_EN; + + // register sq_retryHandler_retryReasonReg + reg [2 : 0] sq_retryHandler_retryReasonReg; + wire [2 : 0] sq_retryHandler_retryReasonReg_D_IN; + wire sq_retryHandler_retryReasonReg_EN; + + // register sq_retryHandler_retryRnrTimerReg + reg [4 : 0] sq_retryHandler_retryRnrTimerReg; + wire [4 : 0] sq_retryHandler_retryRnrTimerReg_D_IN; + wire sq_retryHandler_retryRnrTimerReg_EN; + + // register sq_retryHandler_retryStartPsnReg + reg [23 : 0] sq_retryHandler_retryStartPsnReg; + wire [23 : 0] sq_retryHandler_retryStartPsnReg_D_IN; + wire sq_retryHandler_retryStartPsnReg_EN; + + // register sq_retryHandler_retryWorkReqIdReg + reg [63 : 0] sq_retryHandler_retryWorkReqIdReg; + wire [63 : 0] sq_retryHandler_retryWorkReqIdReg_D_IN; + wire sq_retryHandler_retryWorkReqIdReg_EN; + + // register sq_retryHandler_rnrCntReg + reg [2 : 0] sq_retryHandler_rnrCntReg; + wire [2 : 0] sq_retryHandler_rnrCntReg_D_IN; + wire sq_retryHandler_rnrCntReg_EN; + + // register sq_retryHandler_rnrWaitCntReg + reg [26 : 0] sq_retryHandler_rnrWaitCntReg; + wire [26 : 0] sq_retryHandler_rnrWaitCntReg_D_IN; + wire sq_retryHandler_rnrWaitCntReg_EN; + + // register sq_retryHandler_timeOutCntReg + reg [41 : 0] sq_retryHandler_timeOutCntReg; + wire [41 : 0] sq_retryHandler_timeOutCntReg_D_IN; + wire sq_retryHandler_timeOutCntReg_EN; + + // register sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg + reg [63 : 0] sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg; + wire [63 : 0] sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg_D_IN; + wire sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg_EN; + + // register sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg + reg sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg; + wire sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_D_IN, + sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_EN; + + // register sq_workCompGenSQ_workCompGenStateReg + reg [1 : 0] sq_workCompGenSQ_workCompGenStateReg; + reg [1 : 0] sq_workCompGenSQ_workCompGenStateReg_D_IN; + wire sq_workCompGenSQ_workCompGenStateReg_EN; + + // ports of submodule cntrl_reqQ + wire [300 : 0] cntrl_reqQ_D_IN, cntrl_reqQ_D_OUT; + wire cntrl_reqQ_CLR, + cntrl_reqQ_DEQ, + cntrl_reqQ_EMPTY_N, + cntrl_reqQ_ENQ, + cntrl_reqQ_FULL_N; + + // ports of submodule cntrl_respQ + reg [273 : 0] cntrl_respQ_D_IN; + wire [273 : 0] cntrl_respQ_D_OUT; + wire cntrl_respQ_CLR, + cntrl_respQ_DEQ, + cntrl_respQ_EMPTY_N, + cntrl_respQ_ENQ, + cntrl_respQ_FULL_N; + + // ports of submodule cntrl_restoreQ + wire [28 : 0] cntrl_restoreQ_D_IN, cntrl_restoreQ_D_OUT; + wire cntrl_restoreQ_CLR, + cntrl_restoreQ_DEQ, + cntrl_restoreQ_EMPTY_N, + cntrl_restoreQ_ENQ; + + // ports of submodule dmaReadCntrl4SQ_addrChunkSrv_reqQ + wire [98 : 0] dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_IN, + dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT; + wire dmaReadCntrl4SQ_addrChunkSrv_reqQ_CLR, + dmaReadCntrl4SQ_addrChunkSrv_reqQ_DEQ, + dmaReadCntrl4SQ_addrChunkSrv_reqQ_EMPTY_N, + dmaReadCntrl4SQ_addrChunkSrv_reqQ_ENQ, + dmaReadCntrl4SQ_addrChunkSrv_reqQ_FULL_N; + + // ports of submodule dmaReadCntrl4SQ_addrChunkSrv_respQ + wire [78 : 0] dmaReadCntrl4SQ_addrChunkSrv_respQ_D_IN, + dmaReadCntrl4SQ_addrChunkSrv_respQ_D_OUT; + wire dmaReadCntrl4SQ_addrChunkSrv_respQ_CLR, + dmaReadCntrl4SQ_addrChunkSrv_respQ_DEQ, + dmaReadCntrl4SQ_addrChunkSrv_respQ_EMPTY_N, + dmaReadCntrl4SQ_addrChunkSrv_respQ_ENQ, + dmaReadCntrl4SQ_addrChunkSrv_respQ_FULL_N; + + // ports of submodule dmaReadCntrl4SQ_pendingDmaCntrlReqQ + wire [191 : 0] dmaReadCntrl4SQ_pendingDmaCntrlReqQ_D_IN, + dmaReadCntrl4SQ_pendingDmaCntrlReqQ_D_OUT; + wire dmaReadCntrl4SQ_pendingDmaCntrlReqQ_CLR, + dmaReadCntrl4SQ_pendingDmaCntrlReqQ_DEQ, + dmaReadCntrl4SQ_pendingDmaCntrlReqQ_EMPTY_N, + dmaReadCntrl4SQ_pendingDmaCntrlReqQ_ENQ, + dmaReadCntrl4SQ_pendingDmaCntrlReqQ_FULL_N; + + // ports of submodule dmaReadCntrl4SQ_pendingDmaReadReqQ + wire [171 : 0] dmaReadCntrl4SQ_pendingDmaReadReqQ_D_IN, + dmaReadCntrl4SQ_pendingDmaReadReqQ_D_OUT; + wire dmaReadCntrl4SQ_pendingDmaReadReqQ_CLR, + dmaReadCntrl4SQ_pendingDmaReadReqQ_DEQ, + dmaReadCntrl4SQ_pendingDmaReadReqQ_EMPTY_N, + dmaReadCntrl4SQ_pendingDmaReadReqQ_ENQ, + dmaReadCntrl4SQ_pendingDmaReadReqQ_FULL_N; + + // ports of submodule dmaReadCntrl4SQ_reqQ + wire [191 : 0] dmaReadCntrl4SQ_reqQ_D_IN, dmaReadCntrl4SQ_reqQ_D_OUT; + wire dmaReadCntrl4SQ_reqQ_CLR, + dmaReadCntrl4SQ_reqQ_DEQ, + dmaReadCntrl4SQ_reqQ_EMPTY_N, + dmaReadCntrl4SQ_reqQ_ENQ, + dmaReadCntrl4SQ_reqQ_FULL_N; + + // ports of submodule dmaReadCntrl4SQ_respQ + wire [384 : 0] dmaReadCntrl4SQ_respQ_D_IN, dmaReadCntrl4SQ_respQ_D_OUT; + wire dmaReadCntrl4SQ_respQ_CLR, + dmaReadCntrl4SQ_respQ_DEQ, + dmaReadCntrl4SQ_respQ_EMPTY_N, + dmaReadCntrl4SQ_respQ_ENQ, + dmaReadCntrl4SQ_respQ_FULL_N; + + // ports of submodule dmaReadProxy4SQ_reqQ + wire [169 : 0] dmaReadProxy4SQ_reqQ_D_IN, dmaReadProxy4SQ_reqQ_D_OUT; + wire dmaReadProxy4SQ_reqQ_CLR, + dmaReadProxy4SQ_reqQ_DEQ, + dmaReadProxy4SQ_reqQ_EMPTY_N, + dmaReadProxy4SQ_reqQ_ENQ, + dmaReadProxy4SQ_reqQ_FULL_N; + + // ports of submodule dmaReadProxy4SQ_respQ + wire [382 : 0] dmaReadProxy4SQ_respQ_D_IN, dmaReadProxy4SQ_respQ_D_OUT; + wire dmaReadProxy4SQ_respQ_CLR, + dmaReadProxy4SQ_respQ_DEQ, + dmaReadProxy4SQ_respQ_EMPTY_N, + dmaReadProxy4SQ_respQ_ENQ, + dmaReadProxy4SQ_respQ_FULL_N; + + // ports of submodule payloadGenerator4SQ_bramQ2PipeOut_postBramQ + wire [289 : 0] payloadGenerator4SQ_bramQ2PipeOut_postBramQ_D_IN, + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_D_OUT; + wire payloadGenerator4SQ_bramQ2PipeOut_postBramQ_CLR, + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_DEQ, + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_EMPTY_N, + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_ENQ, + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_FULL_N; + + // ports of submodule payloadGenerator4SQ_payloadBufQ_memory + wire [289 : 0] payloadGenerator4SQ_payloadBufQ_memory_DIA, + payloadGenerator4SQ_payloadBufQ_memory_DIB, + payloadGenerator4SQ_payloadBufQ_memory_DOB; + wire [8 : 0] payloadGenerator4SQ_payloadBufQ_memory_ADDRA, + payloadGenerator4SQ_payloadBufQ_memory_ADDRB; + wire payloadGenerator4SQ_payloadBufQ_memory_ENA, + payloadGenerator4SQ_payloadBufQ_memory_ENB, + payloadGenerator4SQ_payloadBufQ_memory_WEA, + payloadGenerator4SQ_payloadBufQ_memory_WEB; + + // ports of submodule payloadGenerator4SQ_payloadGenReqQ + wire [192 : 0] payloadGenerator4SQ_payloadGenReqQ_D_IN, + payloadGenerator4SQ_payloadGenReqQ_D_OUT; + wire payloadGenerator4SQ_payloadGenReqQ_CLR, + payloadGenerator4SQ_payloadGenReqQ_DEQ, + payloadGenerator4SQ_payloadGenReqQ_EMPTY_N, + payloadGenerator4SQ_payloadGenReqQ_ENQ, + payloadGenerator4SQ_payloadGenReqQ_FULL_N; + + // ports of submodule payloadGenerator4SQ_payloadGenRespQ + wire [1 : 0] payloadGenerator4SQ_payloadGenRespQ_D_IN, + payloadGenerator4SQ_payloadGenRespQ_D_OUT; + wire payloadGenerator4SQ_payloadGenRespQ_CLR, + payloadGenerator4SQ_payloadGenRespQ_DEQ, + payloadGenerator4SQ_payloadGenRespQ_EMPTY_N, + payloadGenerator4SQ_payloadGenRespQ_ENQ, + payloadGenerator4SQ_payloadGenRespQ_FULL_N; + + // ports of submodule payloadGenerator4SQ_pendingGenReqQ + wire [232 : 0] payloadGenerator4SQ_pendingGenReqQ_D_IN, + payloadGenerator4SQ_pendingGenReqQ_D_OUT; + wire payloadGenerator4SQ_pendingGenReqQ_CLR, + payloadGenerator4SQ_pendingGenReqQ_DEQ, + payloadGenerator4SQ_pendingGenReqQ_EMPTY_N, + payloadGenerator4SQ_pendingGenReqQ_ENQ, + payloadGenerator4SQ_pendingGenReqQ_FULL_N; + + // ports of submodule respPktPipe_metaDataQ + wire [648 : 0] respPktPipe_metaDataQ_D_IN, respPktPipe_metaDataQ_D_OUT; + wire respPktPipe_metaDataQ_CLR, + respPktPipe_metaDataQ_DEQ, + respPktPipe_metaDataQ_EMPTY_N, + respPktPipe_metaDataQ_ENQ, + respPktPipe_metaDataQ_FULL_N; + + // ports of submodule respPktPipe_payloadQ + wire [289 : 0] respPktPipe_payloadQ_D_IN; + wire respPktPipe_payloadQ_CLR, + respPktPipe_payloadQ_DEQ, + respPktPipe_payloadQ_ENQ, + respPktPipe_payloadQ_FULL_N; + + // ports of submodule sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ + wire [678 : 0] sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_D_IN, + sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_D_OUT; + wire sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_CLR, + sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_DEQ, + sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_EMPTY_N, + sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_ENQ, + sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_FULL_N; + + // ports of submodule sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ + wire sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_CLR, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_DEQ, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_D_IN, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_EMPTY_N, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_ENQ, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_FULL_N; + + // ports of submodule sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ + wire sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_CLR, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_DEQ, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_D_IN, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_EMPTY_N, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_ENQ, + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_FULL_N; + + // ports of submodule sq_pendingWorkReqBuf_itemCnt + wire [2 : 0] sq_pendingWorkReqBuf_itemCnt_DATA_A, + sq_pendingWorkReqBuf_itemCnt_DATA_B, + sq_pendingWorkReqBuf_itemCnt_DATA_C, + sq_pendingWorkReqBuf_itemCnt_DATA_F, + sq_pendingWorkReqBuf_itemCnt_Q_OUT; + wire sq_pendingWorkReqBuf_itemCnt_ADDA, + sq_pendingWorkReqBuf_itemCnt_ADDB, + sq_pendingWorkReqBuf_itemCnt_SETC, + sq_pendingWorkReqBuf_itemCnt_SETF; + + // ports of submodule sq_pendingWorkReqBuf_scanCnt + wire [2 : 0] sq_pendingWorkReqBuf_scanCnt_DATA_A, + sq_pendingWorkReqBuf_scanCnt_DATA_B, + sq_pendingWorkReqBuf_scanCnt_DATA_C, + sq_pendingWorkReqBuf_scanCnt_DATA_F, + sq_pendingWorkReqBuf_scanCnt_Q_OUT; + wire sq_pendingWorkReqBuf_scanCnt_ADDA, + sq_pendingWorkReqBuf_scanCnt_ADDB, + sq_pendingWorkReqBuf_scanCnt_SETC, + sq_pendingWorkReqBuf_scanCnt_SETF; + + // ports of submodule sq_pendingWorkReqBuf_scanOutQ + wire [678 : 0] sq_pendingWorkReqBuf_scanOutQ_D_IN, + sq_pendingWorkReqBuf_scanOutQ_D_OUT; + wire sq_pendingWorkReqBuf_scanOutQ_CLR, + sq_pendingWorkReqBuf_scanOutQ_DEQ, + sq_pendingWorkReqBuf_scanOutQ_EMPTY_N, + sq_pendingWorkReqBuf_scanOutQ_ENQ, + sq_pendingWorkReqBuf_scanOutQ_FULL_N; + + // ports of submodule sq_pendingWorkReqPipeOut_pipeMuxOutQ + wire [678 : 0] sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_IN, + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT; + wire sq_pendingWorkReqPipeOut_pipeMuxOutQ_CLR, + sq_pendingWorkReqPipeOut_pipeMuxOutQ_DEQ, + sq_pendingWorkReqPipeOut_pipeMuxOutQ_EMPTY_N, + sq_pendingWorkReqPipeOut_pipeMuxOutQ_ENQ, + sq_pendingWorkReqPipeOut_pipeMuxOutQ_FULL_N; + + // ports of submodule sq_reqGenSQ_pendingReqHeaderQ + wire [1228 : 0] sq_reqGenSQ_pendingReqHeaderQ_D_IN, + sq_reqGenSQ_pendingReqHeaderQ_D_OUT; + wire sq_reqGenSQ_pendingReqHeaderQ_CLR, + sq_reqGenSQ_pendingReqHeaderQ_DEQ, + sq_reqGenSQ_pendingReqHeaderQ_EMPTY_N, + sq_reqGenSQ_pendingReqHeaderQ_ENQ, + sq_reqGenSQ_pendingReqHeaderQ_FULL_N; + + // ports of submodule sq_reqGenSQ_pendingWorkReqOutQ + wire [678 : 0] sq_reqGenSQ_pendingWorkReqOutQ_D_IN, + sq_reqGenSQ_pendingWorkReqOutQ_D_OUT; + wire sq_reqGenSQ_pendingWorkReqOutQ_CLR, + sq_reqGenSQ_pendingWorkReqOutQ_DEQ, + sq_reqGenSQ_pendingWorkReqOutQ_EMPTY_N, + sq_reqGenSQ_pendingWorkReqOutQ_ENQ, + sq_reqGenSQ_pendingWorkReqOutQ_FULL_N; + + // ports of submodule sq_reqGenSQ_psnReqOutQ + wire [23 : 0] sq_reqGenSQ_psnReqOutQ_D_IN; + wire sq_reqGenSQ_psnReqOutQ_CLR, + sq_reqGenSQ_psnReqOutQ_DEQ, + sq_reqGenSQ_psnReqOutQ_EMPTY_N, + sq_reqGenSQ_psnReqOutQ_ENQ, + sq_reqGenSQ_psnReqOutQ_FULL_N; + + // ports of submodule sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ + wire [289 : 0] sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_IN, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT; + wire sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_CLR, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_DEQ, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_EMPTY_N, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_ENQ, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_FULL_N; + + // ports of submodule sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ + wire [16 : 0] sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_IN, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT; + wire sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_CLR, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_DEQ, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_EMPTY_N, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_ENQ, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_FULL_N; + + // ports of submodule sq_reqGenSQ_rdmaReqPipeOut_outputQ + wire [289 : 0] sq_reqGenSQ_rdmaReqPipeOut_outputQ_D_IN, + sq_reqGenSQ_rdmaReqPipeOut_outputQ_D_OUT; + wire sq_reqGenSQ_rdmaReqPipeOut_outputQ_CLR, + sq_reqGenSQ_rdmaReqPipeOut_outputQ_DEQ, + sq_reqGenSQ_rdmaReqPipeOut_outputQ_EMPTY_N, + sq_reqGenSQ_rdmaReqPipeOut_outputQ_ENQ, + sq_reqGenSQ_rdmaReqPipeOut_outputQ_FULL_N; + + // ports of submodule sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ + reg [289 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_D_IN; + wire [289 : 0] sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_D_OUT; + wire sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_CLR, + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_DEQ, + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_EMPTY_N, + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_ENQ, + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_FULL_N; + + // ports of submodule sq_reqGenSQ_reqCountQ + wire [683 : 0] sq_reqGenSQ_reqCountQ_D_IN, sq_reqGenSQ_reqCountQ_D_OUT; + wire sq_reqGenSQ_reqCountQ_CLR, + sq_reqGenSQ_reqCountQ_DEQ, + sq_reqGenSQ_reqCountQ_EMPTY_N, + sq_reqGenSQ_reqCountQ_ENQ, + sq_reqGenSQ_reqCountQ_FULL_N; + + // ports of submodule sq_reqGenSQ_reqHeaderGenQ + wire [1299 : 0] sq_reqGenSQ_reqHeaderGenQ_D_IN, + sq_reqGenSQ_reqHeaderGenQ_D_OUT; + wire sq_reqGenSQ_reqHeaderGenQ_CLR, + sq_reqGenSQ_reqHeaderGenQ_DEQ, + sq_reqGenSQ_reqHeaderGenQ_EMPTY_N, + sq_reqGenSQ_reqHeaderGenQ_ENQ, + sq_reqGenSQ_reqHeaderGenQ_FULL_N; + + // ports of submodule sq_reqGenSQ_reqHeaderOutQ + wire [592 : 0] sq_reqGenSQ_reqHeaderOutQ_D_IN, + sq_reqGenSQ_reqHeaderOutQ_D_OUT; + wire sq_reqGenSQ_reqHeaderOutQ_CLR, + sq_reqGenSQ_reqHeaderOutQ_DEQ, + sq_reqGenSQ_reqHeaderOutQ_EMPTY_N, + sq_reqGenSQ_reqHeaderOutQ_ENQ, + sq_reqGenSQ_reqHeaderOutQ_FULL_N; + + // ports of submodule sq_reqGenSQ_reqHeaderPrepareQ + wire [709 : 0] sq_reqGenSQ_reqHeaderPrepareQ_D_IN, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT; + wire sq_reqGenSQ_reqHeaderPrepareQ_CLR, + sq_reqGenSQ_reqHeaderPrepareQ_DEQ, + sq_reqGenSQ_reqHeaderPrepareQ_EMPTY_N, + sq_reqGenSQ_reqHeaderPrepareQ_ENQ, + sq_reqGenSQ_reqHeaderPrepareQ_FULL_N; + + // ports of submodule sq_reqGenSQ_workCompGenReqOutQ + wire [632 : 0] sq_reqGenSQ_workCompGenReqOutQ_D_IN, + sq_reqGenSQ_workCompGenReqOutQ_D_OUT; + wire sq_reqGenSQ_workCompGenReqOutQ_CLR, + sq_reqGenSQ_workCompGenReqOutQ_DEQ, + sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N, + sq_reqGenSQ_workCompGenReqOutQ_ENQ, + sq_reqGenSQ_workCompGenReqOutQ_FULL_N; + + // ports of submodule sq_reqGenSQ_workReqCheckQ + wire [683 : 0] sq_reqGenSQ_workReqCheckQ_D_IN, + sq_reqGenSQ_workReqCheckQ_D_OUT; + wire sq_reqGenSQ_workReqCheckQ_CLR, + sq_reqGenSQ_workReqCheckQ_DEQ, + sq_reqGenSQ_workReqCheckQ_EMPTY_N, + sq_reqGenSQ_workReqCheckQ_ENQ, + sq_reqGenSQ_workReqCheckQ_FULL_N; + + // ports of submodule sq_reqGenSQ_workReqOutQ + wire [683 : 0] sq_reqGenSQ_workReqOutQ_D_IN, sq_reqGenSQ_workReqOutQ_D_OUT; + wire sq_reqGenSQ_workReqOutQ_CLR, + sq_reqGenSQ_workReqOutQ_DEQ, + sq_reqGenSQ_workReqOutQ_EMPTY_N, + sq_reqGenSQ_workReqOutQ_ENQ, + sq_reqGenSQ_workReqOutQ_FULL_N; + + // ports of submodule sq_reqGenSQ_workReqPayloadGenQ + wire [719 : 0] sq_reqGenSQ_workReqPayloadGenQ_D_IN, + sq_reqGenSQ_workReqPayloadGenQ_D_OUT; + wire sq_reqGenSQ_workReqPayloadGenQ_CLR, + sq_reqGenSQ_workReqPayloadGenQ_DEQ, + sq_reqGenSQ_workReqPayloadGenQ_EMPTY_N, + sq_reqGenSQ_workReqPayloadGenQ_ENQ, + sq_reqGenSQ_workReqPayloadGenQ_FULL_N; + + // ports of submodule sq_reqGenSQ_workReqPktNumQ + wire [708 : 0] sq_reqGenSQ_workReqPktNumQ_D_IN, + sq_reqGenSQ_workReqPktNumQ_D_OUT; + wire sq_reqGenSQ_workReqPktNumQ_CLR, + sq_reqGenSQ_workReqPktNumQ_DEQ, + sq_reqGenSQ_workReqPktNumQ_EMPTY_N, + sq_reqGenSQ_workReqPktNumQ_ENQ, + sq_reqGenSQ_workReqPktNumQ_FULL_N; + + // ports of submodule sq_reqGenSQ_workReqPsnQ + wire [683 : 0] sq_reqGenSQ_workReqPsnQ_D_IN, sq_reqGenSQ_workReqPsnQ_D_OUT; + wire sq_reqGenSQ_workReqPsnQ_CLR, + sq_reqGenSQ_workReqPsnQ_DEQ, + sq_reqGenSQ_workReqPsnQ_EMPTY_N, + sq_reqGenSQ_workReqPsnQ_ENQ, + sq_reqGenSQ_workReqPsnQ_FULL_N; + + // ports of submodule sq_respHandleSQ_incomingRespQ + reg [1469 : 0] sq_respHandleSQ_incomingRespQ_D_IN; + wire [1469 : 0] sq_respHandleSQ_incomingRespQ_D_OUT; + wire sq_respHandleSQ_incomingRespQ_CLR, + sq_respHandleSQ_incomingRespQ_DEQ, + sq_respHandleSQ_incomingRespQ_EMPTY_N, + sq_respHandleSQ_incomingRespQ_ENQ, + sq_respHandleSQ_incomingRespQ_FULL_N; + + // ports of submodule sq_respHandleSQ_pendingAddrCalcQ + wire [1474 : 0] sq_respHandleSQ_pendingAddrCalcQ_D_IN, + sq_respHandleSQ_pendingAddrCalcQ_D_OUT; + wire sq_respHandleSQ_pendingAddrCalcQ_CLR, + sq_respHandleSQ_pendingAddrCalcQ_DEQ, + sq_respHandleSQ_pendingAddrCalcQ_EMPTY_N, + sq_respHandleSQ_pendingAddrCalcQ_ENQ, + sq_respHandleSQ_pendingAddrCalcQ_FULL_N; + + // ports of submodule sq_respHandleSQ_pendingDmaReqQ + wire [1538 : 0] sq_respHandleSQ_pendingDmaReqQ_D_IN, + sq_respHandleSQ_pendingDmaReqQ_D_OUT; + wire sq_respHandleSQ_pendingDmaReqQ_CLR, + sq_respHandleSQ_pendingDmaReqQ_DEQ, + sq_respHandleSQ_pendingDmaReqQ_EMPTY_N, + sq_respHandleSQ_pendingDmaReqQ_ENQ, + sq_respHandleSQ_pendingDmaReqQ_FULL_N; + + // ports of submodule sq_respHandleSQ_pendingLenCalcQ + wire [1538 : 0] sq_respHandleSQ_pendingLenCalcQ_D_IN, + sq_respHandleSQ_pendingLenCalcQ_D_OUT; + wire sq_respHandleSQ_pendingLenCalcQ_CLR, + sq_respHandleSQ_pendingLenCalcQ_DEQ, + sq_respHandleSQ_pendingLenCalcQ_EMPTY_N, + sq_respHandleSQ_pendingLenCalcQ_ENQ, + sq_respHandleSQ_pendingLenCalcQ_FULL_N; + + // ports of submodule sq_respHandleSQ_pendingLenCheckQ + wire [1572 : 0] sq_respHandleSQ_pendingLenCheckQ_D_IN, + sq_respHandleSQ_pendingLenCheckQ_D_OUT; + wire sq_respHandleSQ_pendingLenCheckQ_CLR, + sq_respHandleSQ_pendingLenCheckQ_DEQ, + sq_respHandleSQ_pendingLenCheckQ_EMPTY_N, + sq_respHandleSQ_pendingLenCheckQ_ENQ, + sq_respHandleSQ_pendingLenCheckQ_FULL_N; + + // ports of submodule sq_respHandleSQ_pendingPermCheckQ + wire [1475 : 0] sq_respHandleSQ_pendingPermCheckQ_D_IN, + sq_respHandleSQ_pendingPermCheckQ_D_OUT; + wire sq_respHandleSQ_pendingPermCheckQ_CLR, + sq_respHandleSQ_pendingPermCheckQ_DEQ, + sq_respHandleSQ_pendingPermCheckQ_EMPTY_N, + sq_respHandleSQ_pendingPermCheckQ_ENQ, + sq_respHandleSQ_pendingPermCheckQ_FULL_N; + + // ports of submodule sq_respHandleSQ_pendingPermQueryQ + wire [1468 : 0] sq_respHandleSQ_pendingPermQueryQ_D_IN, + sq_respHandleSQ_pendingPermQueryQ_D_OUT; + wire sq_respHandleSQ_pendingPermQueryQ_CLR, + sq_respHandleSQ_pendingPermQueryQ_DEQ, + sq_respHandleSQ_pendingPermQueryQ_EMPTY_N, + sq_respHandleSQ_pendingPermQueryQ_ENQ, + sq_respHandleSQ_pendingPermQueryQ_FULL_N; + + // ports of submodule sq_respHandleSQ_pendingRespQ + wire [1472 : 0] sq_respHandleSQ_pendingRespQ_D_IN, + sq_respHandleSQ_pendingRespQ_D_OUT; + wire sq_respHandleSQ_pendingRespQ_CLR, + sq_respHandleSQ_pendingRespQ_DEQ, + sq_respHandleSQ_pendingRespQ_EMPTY_N, + sq_respHandleSQ_pendingRespQ_ENQ, + sq_respHandleSQ_pendingRespQ_FULL_N; + + // ports of submodule sq_respHandleSQ_pendingRetryCheckQ + wire [1469 : 0] sq_respHandleSQ_pendingRetryCheckQ_D_IN, + sq_respHandleSQ_pendingRetryCheckQ_D_OUT; + wire sq_respHandleSQ_pendingRetryCheckQ_CLR, + sq_respHandleSQ_pendingRetryCheckQ_DEQ, + sq_respHandleSQ_pendingRetryCheckQ_EMPTY_N, + sq_respHandleSQ_pendingRetryCheckQ_ENQ, + sq_respHandleSQ_pendingRetryCheckQ_FULL_N; + + // ports of submodule sq_respHandleSQ_pendingSpaceCalcQ + wire [1604 : 0] sq_respHandleSQ_pendingSpaceCalcQ_D_IN, + sq_respHandleSQ_pendingSpaceCalcQ_D_OUT; + wire sq_respHandleSQ_pendingSpaceCalcQ_CLR, + sq_respHandleSQ_pendingSpaceCalcQ_DEQ, + sq_respHandleSQ_pendingSpaceCalcQ_EMPTY_N, + sq_respHandleSQ_pendingSpaceCalcQ_ENQ, + sq_respHandleSQ_pendingSpaceCalcQ_FULL_N; + + // ports of submodule sq_respHandleSQ_pendingWorkCompQ + wire [767 : 0] sq_respHandleSQ_pendingWorkCompQ_D_IN, + sq_respHandleSQ_pendingWorkCompQ_D_OUT; + wire sq_respHandleSQ_pendingWorkCompQ_CLR, + sq_respHandleSQ_pendingWorkCompQ_DEQ, + sq_respHandleSQ_pendingWorkCompQ_EMPTY_N, + sq_respHandleSQ_pendingWorkCompQ_ENQ, + sq_respHandleSQ_pendingWorkCompQ_FULL_N; + + // ports of submodule sq_respHandleSQ_workCompGenReqOutQ + wire [632 : 0] sq_respHandleSQ_workCompGenReqOutQ_D_IN, + sq_respHandleSQ_workCompGenReqOutQ_D_OUT; + wire sq_respHandleSQ_workCompGenReqOutQ_CLR, + sq_respHandleSQ_workCompGenReqOutQ_DEQ, + sq_respHandleSQ_workCompGenReqOutQ_EMPTY_N, + sq_respHandleSQ_workCompGenReqOutQ_ENQ, + sq_respHandleSQ_workCompGenReqOutQ_FULL_N; + + // ports of submodule sq_retryHandler_prepareRetryRespQ + wire [3 : 0] sq_retryHandler_prepareRetryRespQ_D_IN, + sq_retryHandler_prepareRetryRespQ_D_OUT; + wire sq_retryHandler_prepareRetryRespQ_CLR, + sq_retryHandler_prepareRetryRespQ_DEQ, + sq_retryHandler_prepareRetryRespQ_EMPTY_N, + sq_retryHandler_prepareRetryRespQ_ENQ, + sq_retryHandler_prepareRetryRespQ_FULL_N; + + // ports of submodule sq_retryHandler_resetReqQ + wire sq_retryHandler_resetReqQ_CLR, + sq_retryHandler_resetReqQ_DEQ, + sq_retryHandler_resetReqQ_D_IN, + sq_retryHandler_resetReqQ_D_OUT, + sq_retryHandler_resetReqQ_EMPTY_N, + sq_retryHandler_resetReqQ_ENQ, + sq_retryHandler_resetReqQ_FULL_N; + + // ports of submodule sq_retryHandler_resetRetryCntQ + wire sq_retryHandler_resetRetryCntQ_CLR, + sq_retryHandler_resetRetryCntQ_DEQ, + sq_retryHandler_resetRetryCntQ_D_IN, + sq_retryHandler_resetRetryCntQ_EMPTY_N, + sq_retryHandler_resetRetryCntQ_ENQ, + sq_retryHandler_resetRetryCntQ_FULL_N; + + // ports of submodule sq_retryHandler_resetTimeOutQ + wire sq_retryHandler_resetTimeOutQ_CLR, + sq_retryHandler_resetTimeOutQ_DEQ, + sq_retryHandler_resetTimeOutQ_D_IN, + sq_retryHandler_resetTimeOutQ_EMPTY_N, + sq_retryHandler_resetTimeOutQ_ENQ, + sq_retryHandler_resetTimeOutQ_FULL_N; + + // ports of submodule sq_retryHandler_retryActionQ + wire [97 : 0] sq_retryHandler_retryActionQ_D_IN, + sq_retryHandler_retryActionQ_D_OUT; + wire sq_retryHandler_retryActionQ_CLR, + sq_retryHandler_retryActionQ_DEQ, + sq_retryHandler_retryActionQ_EMPTY_N, + sq_retryHandler_retryActionQ_ENQ, + sq_retryHandler_retryActionQ_FULL_N; + + // ports of submodule sq_retryHandler_retryNotificationQ + wire [97 : 0] sq_retryHandler_retryNotificationQ_D_IN, + sq_retryHandler_retryNotificationQ_D_OUT; + wire sq_retryHandler_retryNotificationQ_CLR, + sq_retryHandler_retryNotificationQ_DEQ, + sq_retryHandler_retryNotificationQ_EMPTY_N, + sq_retryHandler_retryNotificationQ_ENQ, + sq_retryHandler_retryNotificationQ_FULL_N; + + // ports of submodule sq_retryHandler_retryReqQ + wire [96 : 0] sq_retryHandler_retryReqQ_D_IN, + sq_retryHandler_retryReqQ_D_OUT; + wire sq_retryHandler_retryReqQ_CLR, + sq_retryHandler_retryReqQ_DEQ, + sq_retryHandler_retryReqQ_EMPTY_N, + sq_retryHandler_retryReqQ_ENQ, + sq_retryHandler_retryReqQ_FULL_N; + + // ports of submodule sq_retryHandler_retryRespQ + wire sq_retryHandler_retryRespQ_CLR, + sq_retryHandler_retryRespQ_DEQ, + sq_retryHandler_retryRespQ_D_IN, + sq_retryHandler_retryRespQ_D_OUT, + sq_retryHandler_retryRespQ_EMPTY_N, + sq_retryHandler_retryRespQ_ENQ, + sq_retryHandler_retryRespQ_FULL_N; + + // ports of submodule sq_retryHandler_timeOutNotificationQ + wire sq_retryHandler_timeOutNotificationQ_CLR, + sq_retryHandler_timeOutNotificationQ_DEQ, + sq_retryHandler_timeOutNotificationQ_D_IN, + sq_retryHandler_timeOutNotificationQ_D_OUT, + sq_retryHandler_timeOutNotificationQ_EMPTY_N, + sq_retryHandler_timeOutNotificationQ_ENQ, + sq_retryHandler_timeOutNotificationQ_FULL_N; + + // ports of submodule sq_retryHandler_timeOutTriggerQ + wire sq_retryHandler_timeOutTriggerQ_CLR, + sq_retryHandler_timeOutTriggerQ_DEQ, + sq_retryHandler_timeOutTriggerQ_D_IN, + sq_retryHandler_timeOutTriggerQ_EMPTY_N, + sq_retryHandler_timeOutTriggerQ_ENQ, + sq_retryHandler_timeOutTriggerQ_FULL_N; + + // ports of submodule sq_retryHandler_updateRetryCntQ + wire [3 : 0] sq_retryHandler_updateRetryCntQ_D_IN, + sq_retryHandler_updateRetryCntQ_D_OUT; + wire sq_retryHandler_updateRetryCntQ_CLR, + sq_retryHandler_updateRetryCntQ_DEQ, + sq_retryHandler_updateRetryCntQ_EMPTY_N, + sq_retryHandler_updateRetryCntQ_ENQ, + sq_retryHandler_updateRetryCntQ_FULL_N; + + // ports of submodule sq_workCompGenSQ_dmaWaitingQ + wire [856 : 0] sq_workCompGenSQ_dmaWaitingQ_D_IN, + sq_workCompGenSQ_dmaWaitingQ_D_OUT; + wire sq_workCompGenSQ_dmaWaitingQ_CLR, + sq_workCompGenSQ_dmaWaitingQ_DEQ, + sq_workCompGenSQ_dmaWaitingQ_EMPTY_N, + sq_workCompGenSQ_dmaWaitingQ_ENQ, + sq_workCompGenSQ_dmaWaitingQ_FULL_N; + + // ports of submodule sq_workCompGenSQ_genWorkCompQ + wire [856 : 0] sq_workCompGenSQ_genWorkCompQ_D_IN, + sq_workCompGenSQ_genWorkCompQ_D_OUT; + wire sq_workCompGenSQ_genWorkCompQ_CLR, + sq_workCompGenSQ_genWorkCompQ_DEQ, + sq_workCompGenSQ_genWorkCompQ_EMPTY_N, + sq_workCompGenSQ_genWorkCompQ_ENQ, + sq_workCompGenSQ_genWorkCompQ_FULL_N; + + // ports of submodule sq_workCompGenSQ_pendingWorkCompQ4SQ + wire [632 : 0] sq_workCompGenSQ_pendingWorkCompQ4SQ_D_IN, + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT; + wire sq_workCompGenSQ_pendingWorkCompQ4SQ_CLR, + sq_workCompGenSQ_pendingWorkCompQ4SQ_DEQ, + sq_workCompGenSQ_pendingWorkCompQ4SQ_EMPTY_N, + sq_workCompGenSQ_pendingWorkCompQ4SQ_ENQ, + sq_workCompGenSQ_pendingWorkCompQ4SQ_FULL_N; + + // ports of submodule sq_workCompGenSQ_workCompOutQ4SQ + wire [221 : 0] sq_workCompGenSQ_workCompOutQ4SQ_D_IN, + sq_workCompGenSQ_workCompOutQ4SQ_D_OUT; + wire sq_workCompGenSQ_workCompOutQ4SQ_CLR, + sq_workCompGenSQ_workCompOutQ4SQ_DEQ, + sq_workCompGenSQ_workCompOutQ4SQ_EMPTY_N, + sq_workCompGenSQ_workCompOutQ4SQ_ENQ, + sq_workCompGenSQ_workCompOutQ4SQ_FULL_N; + + // ports of submodule workReqQ + wire [600 : 0] workReqQ_D_IN, workReqQ_D_OUT; + wire workReqQ_CLR, + workReqQ_DEQ, + workReqQ_EMPTY_N, + workReqQ_ENQ, + workReqQ_FULL_N; + + // rule scheduling signals + reg CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll; + wire CAN_FIRE_RL_cancelDmaReadSQ, + CAN_FIRE_RL_cntrl_canonicalize, + CAN_FIRE_RL_cntrl_onCreate, + CAN_FIRE_RL_cntrl_onERR, + CAN_FIRE_RL_cntrl_onINIT, + CAN_FIRE_RL_cntrl_onRTR, + CAN_FIRE_RL_cntrl_onRTS, + CAN_FIRE_RL_cntrl_onReset, + CAN_FIRE_RL_cntrl_onSQD, + CAN_FIRE_RL_cntrl_resetAndClear, + CAN_FIRE_RL_cntrl_restore, + CAN_FIRE_RL_cntrl_updatePreState, + CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_genResp, + CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq, + CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_resetAndClear, + CAN_FIRE_RL_dmaReadCntrl4SQ_issueDmaReq, + CAN_FIRE_RL_dmaReadCntrl4SQ_recvDmaResp, + CAN_FIRE_RL_dmaReadCntrl4SQ_recvReq, + CAN_FIRE_RL_dmaReadCntrl4SQ_resetAndClear, + CAN_FIRE_RL_dmaReadCntrl4SQ_setGracefulStop, + CAN_FIRE_RL_errTrigger, + CAN_FIRE_RL_payloadGenerator4SQ_bramQ2PipeOut_mkConnectionGetPut, + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding, + CAN_FIRE_RL_payloadGenerator4SQ_payloadBufQ_portA, + CAN_FIRE_RL_payloadGenerator4SQ_payloadBufQ_portB, + CAN_FIRE_RL_payloadGenerator4SQ_payloadBufQ_portB_read_data, + CAN_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq, + CAN_FIRE_RL_payloadGenerator4SQ_resetAndClear, + CAN_FIRE_RL_resetAndClear, + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_checkPendingNewWorkReqCnt, + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_decrPendingNewWorkReqCnt, + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_flushWR, + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_genPendingWR, + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrement, + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrAndDecr, + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_increment, + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_write, + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_resetAndClear, + CAN_FIRE_RL_sq_pendingWorkReq2Q_mkConnectionGetPut, + CAN_FIRE_RL_sq_pendingWorkReqBuf_canonicalize, + CAN_FIRE_RL_sq_pendingWorkReqBuf_check, + CAN_FIRE_RL_sq_pendingWorkReqBuf_fifoMode, + CAN_FIRE_RL_sq_pendingWorkReqBuf_preScanMode, + CAN_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange, + CAN_FIRE_RL_sq_pendingWorkReqBuf_scanNext, + CAN_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn1, + CAN_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn2, + CAN_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq, + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq, + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq, + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt, + CAN_FIRE_RL_sq_reqGenSQ_errFlushWR, + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader, + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq, + CAN_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq, + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen, + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_connect, + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_outputHeader, + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_resetAndClear, + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_extraLastFrag, + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData, + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader, + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData, + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_resetAndClear, + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp, + CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq, + CAN_FIRE_RL_sq_reqGenSQ_resetAndClear, + CAN_FIRE_RL_sq_resetAndClear, + CAN_FIRE_RL_sq_respHandleSQ_calcEnoughDmaSpace, + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespAddr, + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespLen, + CAN_FIRE_RL_sq_respHandleSQ_canonicalize, + CAN_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp, + CAN_FIRE_RL_sq_respHandleSQ_checkReadRespLen, + CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr, + CAN_FIRE_RL_sq_respHandleSQ_checkTimeOutErr, + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq, + CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp, + CAN_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp, + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq, + CAN_FIRE_RL_sq_respHandleSQ_genWorkCompSQ, + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType, + CAN_FIRE_RL_sq_respHandleSQ_issueDmaReq, + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo, + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo, + CAN_FIRE_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp, + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader, + CAN_FIRE_RL_sq_respHandleSQ_resetAndClear, + CAN_FIRE_RL_sq_respHandleSQ_retryFlushDone, + CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload, + CAN_FIRE_RL_sq_retryHandler_checkPartialRetry, + CAN_FIRE_RL_sq_retryHandler_checkTimeOut, + CAN_FIRE_RL_sq_retryHandler_handleNotifiedRetryAndTimeOut, + CAN_FIRE_RL_sq_retryHandler_handleRetryAction, + CAN_FIRE_RL_sq_retryHandler_handleRetryCntUpdate, + CAN_FIRE_RL_sq_retryHandler_initRetry, + CAN_FIRE_RL_sq_retryHandler_initRetryCntAndTimeOutTimer, + CAN_FIRE_RL_sq_retryHandler_modifyPartialRetryWR, + CAN_FIRE_RL_sq_retryHandler_recvResetReq, + CAN_FIRE_RL_sq_retryHandler_recvRetryReq, + CAN_FIRE_RL_sq_retryHandler_resetAndClear, + CAN_FIRE_RL_sq_retryHandler_rnrCheck, + CAN_FIRE_RL_sq_retryHandler_rnrWait, + CAN_FIRE_RL_sq_retryHandler_sendRetryResp, + CAN_FIRE_RL_sq_retryHandler_startPreRetry, + CAN_FIRE_RL_sq_retryHandler_startRetry, + CAN_FIRE_RL_sq_retryHandler_stopScanQ, + CAN_FIRE_RL_sq_retryHandler_waitRetryDone, + CAN_FIRE_RL_sq_retryHandler_waitRetryFinish, + CAN_FIRE_RL_sq_workCompGenSQ_errFlushSQ, + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ, + CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ, + CAN_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ, + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ, + CAN_FIRE_RL_sq_workCompGenSQ_resetAndClear, + CAN_FIRE_RL_sq_workCompGenSQ_start, + CAN_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ, + CAN_FIRE_RL_waitGracefulStop, + CAN_FIRE_dmaReadClt4SQ_request_get, + CAN_FIRE_dmaReadClt4SQ_response_put, + CAN_FIRE_rdmaReqPipeOut_deq, + CAN_FIRE_respPktPipeIn_payload_put, + CAN_FIRE_respPktPipeIn_pktMetaData_put, + CAN_FIRE_srvPortQP_request_put, + CAN_FIRE_srvPortQP_response_get, + CAN_FIRE_workCompPipeOutSQ_deq, + CAN_FIRE_workReqIn_put, + WILL_FIRE_RL_cancelDmaReadSQ, + WILL_FIRE_RL_cntrl_canonicalize, + WILL_FIRE_RL_cntrl_onCreate, + WILL_FIRE_RL_cntrl_onERR, + WILL_FIRE_RL_cntrl_onINIT, + WILL_FIRE_RL_cntrl_onRTR, + WILL_FIRE_RL_cntrl_onRTS, + WILL_FIRE_RL_cntrl_onReset, + WILL_FIRE_RL_cntrl_onSQD, + WILL_FIRE_RL_cntrl_resetAndClear, + WILL_FIRE_RL_cntrl_restore, + WILL_FIRE_RL_cntrl_updatePreState, + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_genResp, + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq, + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_resetAndClear, + WILL_FIRE_RL_dmaReadCntrl4SQ_issueDmaReq, + WILL_FIRE_RL_dmaReadCntrl4SQ_recvDmaResp, + WILL_FIRE_RL_dmaReadCntrl4SQ_recvReq, + WILL_FIRE_RL_dmaReadCntrl4SQ_resetAndClear, + WILL_FIRE_RL_dmaReadCntrl4SQ_setGracefulStop, + WILL_FIRE_RL_errTrigger, + WILL_FIRE_RL_payloadGenerator4SQ_bramQ2PipeOut_mkConnectionGetPut, + WILL_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding, + WILL_FIRE_RL_payloadGenerator4SQ_payloadBufQ_portA, + WILL_FIRE_RL_payloadGenerator4SQ_payloadBufQ_portB, + WILL_FIRE_RL_payloadGenerator4SQ_payloadBufQ_portB_read_data, + WILL_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq, + WILL_FIRE_RL_payloadGenerator4SQ_resetAndClear, + WILL_FIRE_RL_resetAndClear, + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_checkPendingNewWorkReqCnt, + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_decrPendingNewWorkReqCnt, + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_flushWR, + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_genPendingWR, + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrement, + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrAndDecr, + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_increment, + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_write, + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_resetAndClear, + WILL_FIRE_RL_sq_pendingWorkReq2Q_mkConnectionGetPut, + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize, + WILL_FIRE_RL_sq_pendingWorkReqBuf_check, + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll, + WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode, + WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode, + WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange, + WILL_FIRE_RL_sq_pendingWorkReqBuf_scanNext, + WILL_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn1, + WILL_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn2, + WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq, + WILL_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq, + WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq, + WILL_FIRE_RL_sq_reqGenSQ_countReqPkt, + WILL_FIRE_RL_sq_reqGenSQ_errFlushWR, + WILL_FIRE_RL_sq_reqGenSQ_genReqHeader, + WILL_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq, + WILL_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq, + WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen, + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_connect, + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_outputHeader, + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_resetAndClear, + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_extraLastFrag, + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData, + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader, + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData, + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_resetAndClear, + WILL_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp, + WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq, + WILL_FIRE_RL_sq_reqGenSQ_resetAndClear, + WILL_FIRE_RL_sq_resetAndClear, + WILL_FIRE_RL_sq_respHandleSQ_calcEnoughDmaSpace, + WILL_FIRE_RL_sq_respHandleSQ_calcReadRespAddr, + WILL_FIRE_RL_sq_respHandleSQ_calcReadRespLen, + WILL_FIRE_RL_sq_respHandleSQ_canonicalize, + WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp, + WILL_FIRE_RL_sq_respHandleSQ_checkReadRespLen, + WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr, + WILL_FIRE_RL_sq_respHandleSQ_checkTimeOutErr, + WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq, + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp, + WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp, + WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq, + WILL_FIRE_RL_sq_respHandleSQ_genWorkCompSQ, + WILL_FIRE_RL_sq_respHandleSQ_handleRespByType, + WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq, + WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo, + WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo, + WILL_FIRE_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp, + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader, + WILL_FIRE_RL_sq_respHandleSQ_resetAndClear, + WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone, + WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload, + WILL_FIRE_RL_sq_retryHandler_checkPartialRetry, + WILL_FIRE_RL_sq_retryHandler_checkTimeOut, + WILL_FIRE_RL_sq_retryHandler_handleNotifiedRetryAndTimeOut, + WILL_FIRE_RL_sq_retryHandler_handleRetryAction, + WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate, + WILL_FIRE_RL_sq_retryHandler_initRetry, + WILL_FIRE_RL_sq_retryHandler_initRetryCntAndTimeOutTimer, + WILL_FIRE_RL_sq_retryHandler_modifyPartialRetryWR, + WILL_FIRE_RL_sq_retryHandler_recvResetReq, + WILL_FIRE_RL_sq_retryHandler_recvRetryReq, + WILL_FIRE_RL_sq_retryHandler_resetAndClear, + WILL_FIRE_RL_sq_retryHandler_rnrCheck, + WILL_FIRE_RL_sq_retryHandler_rnrWait, + WILL_FIRE_RL_sq_retryHandler_sendRetryResp, + WILL_FIRE_RL_sq_retryHandler_startPreRetry, + WILL_FIRE_RL_sq_retryHandler_startRetry, + WILL_FIRE_RL_sq_retryHandler_stopScanQ, + WILL_FIRE_RL_sq_retryHandler_waitRetryDone, + WILL_FIRE_RL_sq_retryHandler_waitRetryFinish, + WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ, + WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ, + WILL_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ, + WILL_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ, + WILL_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ, + WILL_FIRE_RL_sq_workCompGenSQ_resetAndClear, + WILL_FIRE_RL_sq_workCompGenSQ_start, + WILL_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ, + WILL_FIRE_RL_waitGracefulStop, + WILL_FIRE_dmaReadClt4SQ_request_get, + WILL_FIRE_dmaReadClt4SQ_response_put, + WILL_FIRE_rdmaReqPipeOut_deq, + WILL_FIRE_respPktPipeIn_payload_put, + WILL_FIRE_respPktPipeIn_pktMetaData_put, + WILL_FIRE_srvPortQP_request_put, + WILL_FIRE_srvPortQP_response_get, + WILL_FIRE_workCompPipeOutSQ_deq, + WILL_FIRE_workReqIn_put; + + // inputs to muxes for submodule ports + reg [63 : 0] MUX_dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg_write_1__VAL_2; + reg [26 : 0] MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2; + wire [1469 : 0] MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_1, + MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_3, + MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_4, + MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_5; + wire [679 : 0] MUX_sq_pendingWorkReqBuf_headReg_write_1__VAL_2; + wire [289 : 0] MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_enq_1__VAL_2, + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_enq_1__VAL_3, + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_write_1__VAL_1; + wire [273 : 0] MUX_cntrl_respQ_enq_1__VAL_1, + MUX_cntrl_respQ_enq_1__VAL_2, + MUX_cntrl_respQ_enq_1__VAL_3, + MUX_cntrl_respQ_enq_1__VAL_4, + MUX_cntrl_respQ_enq_1__VAL_5, + MUX_cntrl_respQ_enq_1__VAL_6, + MUX_cntrl_respQ_enq_1__VAL_7; + wire [221 : 0] MUX_sq_workCompGenSQ_workCompOutQ4SQ_enq_1__VAL_2; + wire [41 : 0] MUX_sq_retryHandler_timeOutCntReg_write_1__VAL_1; + wire [26 : 0] MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_1; + wire [24 : 0] MUX_dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_write_1__VAL_1, + MUX_dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_write_1__VAL_2; + wire [7 : 0] MUX_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg_write_1__VAL_1; + wire [4 : 0] MUX_cntrl_nextStateReg_port0__write_1__VAL_2, + MUX_cntrl_nextStateReg_port0__write_1__VAL_7; + wire [2 : 0] MUX_sq_retryHandler_retryCntReg_write_1__VAL_1, + MUX_sq_retryHandler_retryHandleStateReg_write_1__VAL_3, + MUX_sq_retryHandler_rnrCntReg_write_1__VAL_1; + wire [1 : 0] MUX_sq_pendingWorkReqBuf_deqPtrReg_write_1__VAL_1, + MUX_sq_pendingWorkReqBuf_enqPtrReg_write_1__VAL_1, + MUX_sq_pendingWorkReqBuf_scanPtrReg_write_1__VAL_2, + MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__VAL_3, + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_write_1__VAL_1, + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_write_1__VAL_2, + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__VAL_1, + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__VAL_2, + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__VAL_3; + wire MUX_cntrl_nextStateReg_port0__write_1__SEL_1, + MUX_cntrl_nextStateReg_port0__write_1__SEL_2, + MUX_cntrl_nextStateReg_port0__write_1__SEL_3, + MUX_cntrl_nextStateReg_port0__write_1__SEL_4, + MUX_cntrl_nextStateReg_port0__write_1__SEL_5, + MUX_cntrl_nextStateReg_port0__write_1__SEL_6, + MUX_cntrl_nextStateReg_port0__write_1__SEL_7, + MUX_cntrl_npsnReg_write_1__SEL_1, + MUX_dmaReadCntrl4SQ_addrChunkSrv_busyReg_write_1__VAL_1, + MUX_dmaReadCntrl4SQ_addrChunkSrv_isFirstReg_write_1__SEL_1, + MUX_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg_write_1__SEL_1, + MUX_sq_pendingWorkReqBuf_emptyReg_write_1__VAL_1, + MUX_sq_pendingWorkReqBuf_fullReg_write_1__VAL_1, + MUX_sq_pendingWorkReqBuf_headReg_write_1__SEL_1, + MUX_sq_pendingWorkReqBuf_scanAlmostDoneReg_write_1__VAL_1, + MUX_sq_pendingWorkReqBuf_scanAlmostDoneReg_write_1__VAL_2, + MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__SEL_1, + MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__SEL_2, + MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__SEL_3, + MUX_sq_reqGenSQ_isFirstOrOnlyReqPktReg_write_1__VAL_1, + MUX_sq_reqGenSQ_isNormalStateReg_write_1__SEL_1, + MUX_sq_reqGenSQ_pendingWorkReqOutQ_enq_1__SEL_1, + MUX_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg_write_1__VAL_1, + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_enq_1__SEL_1, + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_write_1__SEL_1, + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_write_1__SEL_1, + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__SEL_2, + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__SEL_4, + MUX_sq_respHandleSQ_hasInternalErrReg_port0__write_1__SEL_1, + MUX_sq_respHandleSQ_hasTimeOutErrReg_port0__write_1__SEL_1, + MUX_sq_respHandleSQ_incomingRespQ_enq_1__SEL_1, + MUX_sq_respHandleSQ_preRdmaOpCodeReg_write_1__SEL_1, + MUX_sq_respHandleSQ_preStageStateReg_write_1__SEL_1, + MUX_sq_respHandleSQ_recvRetryRespReg_write_1__SEL_2, + MUX_sq_retryHandler_disableRetryCntReg_write_1__SEL_1, + MUX_sq_retryHandler_disableTimeOutReg_write_1__SEL_1, + MUX_sq_retryHandler_isRnrWaitCntZeroReg_write_1__SEL_1, + MUX_sq_retryHandler_isRnrWaitCntZeroReg_write_1__VAL_1, + MUX_sq_retryHandler_isTimeOutCntHighPartZeroReg_write_1__SEL_1, + MUX_sq_retryHandler_isTimeOutCntHighPartZeroReg_write_1__VAL_1, + MUX_sq_retryHandler_isTimeOutCntLowPartZeroReg_write_1__VAL_1, + MUX_sq_retryHandler_pauseRetryHandleReg_write_1__SEL_1, + MUX_sq_retryHandler_retryCntReg_write_1__SEL_1, + MUX_sq_retryHandler_retryCntrlStateReg_port0__write_1__SEL_1, + MUX_sq_retryHandler_retryHandleStateReg_write_1__SEL_1, + MUX_sq_retryHandler_retryHandleStateReg_write_1__SEL_2, + MUX_sq_retryHandler_rnrCntReg_write_1__SEL_1, + MUX_sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_write_1__SEL_1, + MUX_sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_write_1__SEL_2, + MUX_sq_workCompGenSQ_workCompOutQ4SQ_enq_1__SEL_1; + + // declarations used by system tasks + // synopsys translate_off + reg [63 : 0] v__h3884; + reg [63 : 0] v__h4313; + reg [63 : 0] v__h4717; + reg [63 : 0] v__h5169; + reg [63 : 0] v__h5606; + reg [63 : 0] v__h5829; + reg [63 : 0] v__h6391; + reg [63 : 0] v__h6614; + reg [63 : 0] v__h7317; + reg [63 : 0] v__h9162; + reg [63 : 0] v__h13758; + reg [63 : 0] v__h14296; + reg [63 : 0] v__h43308; + reg [63 : 0] v__h50137; + reg [63 : 0] v__h50289; + reg [63 : 0] v__h50515; + reg [63 : 0] v__h50628; + reg [63 : 0] v__h50773; + reg [63 : 0] v__h54300; + reg [63 : 0] v__h58741; + reg [63 : 0] v__h61335; + reg [63 : 0] v__h63194; + reg [63 : 0] v__h69848; + reg [63 : 0] v__h73012; + reg [63 : 0] v__h48088; + reg [63 : 0] v__h48212; + reg [63 : 0] v__h48664; + reg [63 : 0] v__h93157; + reg [63 : 0] v__h93514; + reg [63 : 0] v__h93774; + reg [63 : 0] v__h57516; + reg [63 : 0] v__h97761; + reg [63 : 0] v__h97941; + reg [63 : 0] v__h98281; + reg [63 : 0] v__h98457; + reg [63 : 0] v__h101559; + reg [63 : 0] v__h101795; + reg [63 : 0] v__h101959; + reg [63 : 0] v__h103981; + reg [63 : 0] v__h104178; + reg [63 : 0] v__h106970; + reg [63 : 0] v__h107194; + reg [63 : 0] v__h107798; + reg [63 : 0] v__h109873; + reg [63 : 0] v__h117067; + reg [63 : 0] v__h117291; + reg [63 : 0] v__h117643; + reg [63 : 0] v__h122840; + reg [63 : 0] v__h36446; + reg [63 : 0] v__h36711; + reg [63 : 0] v__h37491; + reg [63 : 0] v__h38910; + reg [63 : 0] v__h35350; + reg [63 : 0] v__h35692; + reg [63 : 0] v__h35995; + reg [63 : 0] v__h34459; + reg [63 : 0] v__h34954; + reg [63 : 0] v__h98868; + reg [63 : 0] v__h99106; + reg [63 : 0] v__h120515; + reg [63 : 0] v__h29295; + reg [63 : 0] v__h29442; + reg [63 : 0] v__h29608; + reg [63 : 0] v__h29763; + reg [63 : 0] v__h29891; + reg [63 : 0] v__h30076; + reg [63 : 0] v__h30289; + reg [63 : 0] v__h30463; + reg [63 : 0] v__h30613; + reg [63 : 0] v__h24390; + reg [63 : 0] v__h24503; + reg [63 : 0] v__h24769; + reg [63 : 0] v__h24880; + reg [63 : 0] v__h25249; + reg [63 : 0] v__h25475; + reg [63 : 0] v__h43204; + reg [63 : 0] v__h118758; + reg [63 : 0] v__h126312; + reg [63 : 0] v__h3196; + reg [63 : 0] v__h3517; + reg [63 : 0] v__h129863; + reg [63 : 0] v__h130013; + reg [63 : 0] v__h7672; + // synopsys translate_on + + // remaining internal signals + reg [511 : 0] CASE_cntrl_sqTypeReg_2_a3239_3_a3239_a3241__q6, + CASE_cntrl_sqTypeReg_2_a3243_3_a3243_a3245__q7, + CASE_cntrl_sqTypeReg_2_a3247_3_a3247_4_a3249_a_ETC__q8, + CASE_cntrl_sqTypeReg_2_a3253_3_a3253_4_a3255_a_ETC__q9, + value__h63238, + value__h69886; + reg [63 : 0] SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d838, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d855, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d768, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d786, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d804, + value__h99740, + value__h99767, + x__h39125, + x__h39664; + reg [41 : 0] x__h32835; + reg [31 : 0] CASE_payloadGenerator4SQ_payloadGenReqQD_OUT__ETC__q1, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d871, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d888, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d937, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1561, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1618, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d792, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d798, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d810, + value__h99797, + value__h99824, + value__h99908, + x__h39399; + reg [24 : 0] SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d1650, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d994, + tmpPktNum__h9229, + x__h52242; + reg [23 : 0] SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d904, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d921, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d961, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d978, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1619, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d816, + value__h99854, + value__h99881, + value__h99939, + value__h99966, + x__h6205, + x__h63485; + reg [15 : 0] IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d99; + reg [11 : 0] pmtuResidue__h9230, x__h52371; + reg [10 : 0] IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d155; + reg [7 : 0] CASE_sq_workCompGenSQ_pendingWorkCompQ4SQD_OU_ETC__q34, + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d95, + x__h6243; + reg [6 : 0] CASE_cntrl_sqTypeReg_2_12_3_12_4_20_16__q4, + CASE_cntrl_sqTypeReg_2_28_3_28_32__q2, + CASE_cntrl_sqTypeReg_2_b3244_3_b3244_b3246__q3, + CASE_cntrl_sqTypeReg_2_b3254_3_b3254_4_24_b3258__q5, + value__h68654, + value__h72405; + reg [4 : 0] CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q22, + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q23, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3300, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d780, + enumBits__h93928; + reg [3 : 0] CASE_sq_respHandleSQ_incomingRespQD_OUT_BITS__ETC__q28, + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q32, + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d60, + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4298, + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4302, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1521, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d774; + reg [2 : 0] CASE_sq_respHandleSQ_pendingRespQD_OUT_BITS_4_ETC__q33, + IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208; + reg [1 : 0] CASE_respPktPipe_metaDataQD_OUT_BITS_527_TO_5_ETC__q29, + CASE_respPktPipe_metaDataQD_OUT_BITS_529_TO_5_ETC__q30, + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q24, + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q31, + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4308, + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4312; + reg CASE_sq_reqGenSQ_reqHeaderPrepareQD_OUT_BITS__ETC__q18, + CASE_sq_reqGenSQ_reqHeaderPrepareQD_OUT_BITS__ETC__q19, + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q15, + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q16, + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q17, + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q20, + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q21, + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q25, + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q26, + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q27, + CASE_sq_respHandleSQ_preRdmaOpCodeReg_13_NOT_s_ETC__q14, + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q10, + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q11, + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q12, + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q13, + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q35, + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q36, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2711, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2722, + IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4824, + IF_sq_respHandleSQ_pendingRetryCheckQ_first__9_ETC___d4989, + IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4271, + IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4275, + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1473, + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1491, + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3994, + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4003, + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4012, + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4021, + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4030, + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4039, + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4048, + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4057, + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074, + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4083, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3896, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3915, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3935, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1001, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1007, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1474, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1492, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1620, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1621, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1624, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1626, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1629, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1631, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1634, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1636, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1652, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1653, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d822, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d828, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d845, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d861, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d878, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d894, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d911, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d927, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d951, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d968, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d984; + wire [520 : 0] IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3420; + wire [511 : 0] IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_IF_cntrl__ETC___d3311, + IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_IF_sq_req_ETC___d3316, + a__h63239, + a__h63241, + a__h63243, + a__h63245, + a__h63247, + a__h63249, + a__h63251, + a__h63253, + a__h63255, + a__h63257, + a__h63259, + a__h63261, + a__h63269, + a__h63272, + a__h69887, + a__h69889, + a__h69891, + a__h69893, + a__h69903, + a__h69905, + leftShiftHeaderData__h47318, + tmpData__h49087, + x__h47525, + x__h74169; + wire [255 : 0] leftShiftData__h49541, + rightShiftHeaderLastFragData__h48757, + x__read_data__h12578; + wire [77 : 0] NOT_sq_retryHandler_retryReasonReg_431_EQ_4_46_ETC___d1656, + sq_reqGenSQ_workReqPsnQ_first__498_BIT_4_499_O_ETC___d2558; + wire [63 : 0] _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443, + leftShiftHeaderByteEn__h47319, + tmpByteEn__h49088, + x__h47528; + wire [41 : 0] x__h33715; + wire [31 : 0] _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577, + leftShiftByteEn__h49542, + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_fi_ETC___d1852, + rightShiftHeaderLastFragByteEn__h48758, + x__h14424, + x__read_byteEn__h12579, + y_avValue_byteEn__h17055; + wire [24 : 0] _theResult___snd__h61670, + a__h52253, + a__h52263, + a__h52273, + a__h52283, + a__h52293, + a__h9345, + a__h9355, + a__h9365, + a__h9375, + a__h9385, + remainingPktNum___1__h61681, + remainingPktNum___1__h61739, + totalPktNum__h55209, + x__h37628, + x__h56223; + wire [23 : 0] curPSN__h61636, + endPktSeqNum__h56057, + nextPktSeqNum__h56056, + startPlusOne__h56122, + v__h37423, + x__h56104; + wire [16 : 0] IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1770, + sq_reqGenSQ_pendingReqHeaderQ_first__424_BITS__ETC___d3563; + wire [12 : 0] addrChunkResp_chunkLen__h10586, + sq_respHandleSQ_pendingRetryCheckQ_first__949__ETC___d5187; + wire [11 : 0] b__h52254, + b__h52264, + b__h52274, + b__h52284, + b__h9346, + b__h9356, + b__h9366, + b__h9376; + wire [9 : 0] x__h12662, x__h12913; + wire [8 : 0] headerLastFragValidBitNum__h47980; + wire [7 : 0] x__h16825, x__h41815, x__h41903, y__h42773; + wire [6 : 0] IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_12_ELSE_16___d3346, + IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_IF_sq_req_ETC___d3349, + b__h63244, + b__h63246, + b__h63254, + b__h63258, + b__h69892, + b__h69894, + remainingHeaderLen__h47316, + x__h81436; + wire [5 : 0] headerLastFragInvalidByteNum__h47982, + lastFragValidByteNumWithPadding__h13828, + lastFragValidByteNum__h13827, + lastFragValidByteNum__h13849, + lastFragValidByteNum__h87658; + wire [4 : 0] rnrTimer__h36783; + wire [2 : 0] x__h35617, x__h35647; + wire [1 : 0] IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1737, + IF_sq_retryHandler_retryRespQ_first__023_THEN__ETC___d5182, + bits__h49179, + bth_padCnt__h63283, + bth_padCnt__h69915, + padCnt__h13826, + padCnt__h63476, + remainingHeaderFragNum__h47317; + wire IF_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_ETC___d1515, + IF_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_ETC___d4106, + IF_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_ETC___d4116, + IF_IF_sq_retryHandler_updateRetryCntQ_first__3_ETC___d1388, + IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d1501, + IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4097, + IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4105, + IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4108, + IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4115, + IF_cntrl_npsnReg_41_BIT_23_518_EQ_IF_IF_sq_req_ETC___d2534, + IF_cntrl_stateReg_EQ_3_AND_sq_pendingWorkReqPi_ETC___d1880, + IF_cntrl_stateReg_EQ_3_AND_sq_pendingWorkReqPi_ETC___d2074, + IF_sq_pendingWorkReqBuf_pushReg_port1__read__7_ETC___d702, + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1734, + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1742, + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1745, + IF_sq_reqGenSQ_reqHeaderGenQ_first__570_BIT_24_ETC___d3578, + IF_sq_reqGenSQ_reqHeaderGenQ_first__570_BIT_26_ETC___d3579, + IF_sq_reqGenSQ_reqHeaderGenQ_first__570_BIT_62_ETC___d3580, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2724, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2730, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2734, + IF_sq_reqGenSQ_workCompGenReqOutQ_notEmpty__69_ETC___d5707, + IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4844, + IF_sq_respHandleSQ_pendingRetryCheckQ_first__9_ETC___d5037, + IF_sq_retryHandler_resetTimeOutQ_notEmpty__176_ETC___d1197, + IF_sq_retryHandler_updateRetryCntQ_first__309__ETC___d1340, + IF_sq_workCompGenSQ_genWorkCompQ_first__802_BI_ETC___d5808, + NOT_IF_IF_sq_reqGenSQ_workReqPsnQ_first__498_B_ETC___d2524, + NOT_IF_IF_sq_reqGenSQ_workReqPsnQ_first__498_B_ETC___d2536, + NOT_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVe_ETC___d1503, + NOT_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVe_ETC___d1514, + NOT_IF_sq_retryHandler_retryReasonReg_431_EQ_4_ETC___d1505, + NOT_IF_sq_retryHandler_retryReasonReg_431_EQ_4_ETC___d1516, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3783, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3794, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3806, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3822, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3836, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3851, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3867, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3870, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3884, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3887, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3902, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3905, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3921, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3927, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3941, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3947, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3956, + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3962, + NOT_cntrl_npsnReg_41_ULT_IF_IF_sq_reqGenSQ_wor_ETC___d2522, + NOT_cntrl_npsnReg_41_ULT_IF_IF_sq_reqGenSQ_wor_ETC___d2533, + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712, + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723, + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2742, + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2882, + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2889, + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2902, + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2973, + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2978, + NOT_dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_77__ETC___d376, + NOT_sq_newPendingWorkReqPiptOut_pendingNewWork_ETC___d1707, + NOT_sq_pendingWorkReqPipeOut_pipeMuxOutQ_first_ETC___d1919, + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992, + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352, + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4479, + NOT_sq_respHandleSQ_retryFlushReg_616_617_OR_s_ETC___d4756, + NOT_sq_retryHandler_resetTimeOutQ_notEmpty__17_ETC___d1219, + __duses1049, + __duses1054, + __duses727, + __duses732, + __duses737, + __duses742, + __duses747, + __duses752, + __duses753, + __duses757, + __duses762, + __duses767, + __duses772, + __duses777, + __duses782, + __duses783, + __duses787, + __duses792, + __duses795, + __duses806, + __duses810, + __duses815, + __duses819, + __duses824, + __duses828, + __duses833, + __duses837, + __duses842, + __duses847, + __duses852, + __duses863, + __duses874, + __duses885, + __duses896, + __duses907, + __duses918, + __duses929, + __duses940, + __duses953, + __duses964, + __duses975, + __duses986, + __duses988, + __duses991, + __duses996, + __duses999, + cntrl_stateReg_EQ_3_AND_NOT_sq_respHandleSQ_re_ETC___d5647, + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_preSta_ETC___d3624, + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_preSta_ETC___d4329, + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_recvEr_ETC___d5683, + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_retryF_ETC___d5690, + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_77_BITS_ETC___d366, + dmaReadCntrl4SQ_respQ_i_notEmpty__42_AND_NOT_d_ETC___d657, + payloadGenerator4SQ_payloadBufQ_rRdPtr_read__7_ETC___d487, + respPktPipe_metaDataQ_first__626_BITS_554_TO_5_ETC___d4098, + respPktPipe_metaDataQ_first__626_BITS_554_TO_5_ETC___d4109, + sq_pendingWorkReqBuf_deqPtrReg_90_EQ_sq_pendin_ETC___d1031, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAnd_ETC___d1747, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAnd_ETC___d1808, + sq_reqGenSQ_workReqCheckQ_i_notEmpty__561_AND__ETC___d2573, + sq_respHandleSQ_pendingRetryCheckQ_first__949__ETC___d5046, + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4187, + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4200, + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4203, + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4208, + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4214, + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4220, + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4267, + sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4697, + sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4716, + sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4746, + sq_retryHandler_prepareRetryRespQ_i_notEmpty___ETC___d1411, + sq_retryHandler_resetReqQ_i_notEmpty__149_AND__ETC___d1155, + sq_retryHandler_resetTimeOutQ_notEmpty__176_OR_ETC___d1209, + sq_retryHandler_updateRetryCntQ_i_notEmpty__30_ETC___d1313, + sq_workCompGenSQ_dmaWaitingQ_i_notFull__721_AN_ETC___d5767, + x__h87589; + + // action method srvPortQP_request_put + assign RDY_srvPortQP_request_put = cntrl_reqQ_FULL_N ; + assign CAN_FIRE_srvPortQP_request_put = cntrl_reqQ_FULL_N ; + assign WILL_FIRE_srvPortQP_request_put = EN_srvPortQP_request_put ; + + // actionvalue method srvPortQP_response_get + assign srvPortQP_response_get = cntrl_respQ_D_OUT ; + assign RDY_srvPortQP_response_get = cntrl_respQ_EMPTY_N ; + assign CAN_FIRE_srvPortQP_response_get = cntrl_respQ_EMPTY_N ; + assign WILL_FIRE_srvPortQP_response_get = EN_srvPortQP_response_get ; + + // action method workReqIn_put + assign RDY_workReqIn_put = workReqQ_FULL_N ; + assign CAN_FIRE_workReqIn_put = workReqQ_FULL_N ; + assign WILL_FIRE_workReqIn_put = EN_workReqIn_put ; + + // actionvalue method dmaReadClt4SQ_request_get + assign dmaReadClt4SQ_request_get = dmaReadProxy4SQ_reqQ_D_OUT ; + assign RDY_dmaReadClt4SQ_request_get = dmaReadProxy4SQ_reqQ_EMPTY_N ; + assign CAN_FIRE_dmaReadClt4SQ_request_get = dmaReadProxy4SQ_reqQ_EMPTY_N ; + assign WILL_FIRE_dmaReadClt4SQ_request_get = EN_dmaReadClt4SQ_request_get ; + + // action method dmaReadClt4SQ_response_put + assign RDY_dmaReadClt4SQ_response_put = dmaReadProxy4SQ_respQ_FULL_N ; + assign CAN_FIRE_dmaReadClt4SQ_response_put = dmaReadProxy4SQ_respQ_FULL_N ; + assign WILL_FIRE_dmaReadClt4SQ_response_put = + EN_dmaReadClt4SQ_response_put ; + + // action method respPktPipeIn_pktMetaData_put + assign RDY_respPktPipeIn_pktMetaData_put = respPktPipe_metaDataQ_FULL_N ; + assign CAN_FIRE_respPktPipeIn_pktMetaData_put = + respPktPipe_metaDataQ_FULL_N ; + assign WILL_FIRE_respPktPipeIn_pktMetaData_put = + EN_respPktPipeIn_pktMetaData_put ; + + // action method respPktPipeIn_payload_put + assign RDY_respPktPipeIn_payload_put = respPktPipe_payloadQ_FULL_N ; + assign CAN_FIRE_respPktPipeIn_payload_put = respPktPipe_payloadQ_FULL_N ; + assign WILL_FIRE_respPktPipeIn_payload_put = EN_respPktPipeIn_payload_put ; + + // value method statusSQ_comm_isCreate + assign statusSQ_comm_isCreate = cntrl_stateReg == 4'd8 ; + assign RDY_statusSQ_comm_isCreate = 1'd1 ; + + // value method statusSQ_comm_isERR + assign statusSQ_comm_isERR = cntrl_stateReg == 4'd6 ; + assign RDY_statusSQ_comm_isERR = 1'd1 ; + + // value method statusSQ_comm_isInit + assign statusSQ_comm_isInit = cntrl_stateReg == 4'd1 ; + assign RDY_statusSQ_comm_isInit = 1'd1 ; + + // value method statusSQ_comm_isReset + assign statusSQ_comm_isReset = cntrl_stateReg == 4'd0 ; + assign RDY_statusSQ_comm_isReset = 1'd1 ; + + // value method statusSQ_comm_isRTR + assign statusSQ_comm_isRTR = cntrl_stateReg == 4'd2 ; + assign RDY_statusSQ_comm_isRTR = 1'd1 ; + + // value method statusSQ_comm_isRTS + assign statusSQ_comm_isRTS = cntrl_stateReg == 4'd3 ; + assign RDY_statusSQ_comm_isRTS = 1'd1 ; + + // value method statusSQ_comm_isSQD + assign statusSQ_comm_isSQD = cntrl_stateReg == 4'd4 ; + assign RDY_statusSQ_comm_isSQD = 1'd1 ; + + // value method statusSQ_comm_isNonErr + assign statusSQ_comm_isNonErr = + cntrl_stateReg == 4'd2 || cntrl_stateReg == 4'd3 || + cntrl_stateReg == 4'd4 ; + assign RDY_statusSQ_comm_isNonErr = 1'd1 ; + + // value method statusSQ_comm_isUnknown + assign statusSQ_comm_isUnknown = cntrl_stateReg == 4'd7 ; + assign RDY_statusSQ_comm_isUnknown = 1'd1 ; + + // value method statusSQ_comm_isRTR2RTS + assign statusSQ_comm_isRTR2RTS = + cntrl_preStateReg == 4'd2 && cntrl_stateReg == 4'd3 ; + assign RDY_statusSQ_comm_isRTR2RTS = 1'd1 ; + + // value method statusSQ_comm_isStableRTS + assign statusSQ_comm_isStableRTS = + cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 ; + assign RDY_statusSQ_comm_isStableRTS = 1'd1 ; + + // value method statusSQ_comm_getAccessFlags + assign statusSQ_comm_getAccessFlags = cntrl_qpAccessFlagsReg ; + assign RDY_statusSQ_comm_getAccessFlags = RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getMaxRnrCnt + assign statusSQ_comm_getMaxRnrCnt = cntrl_maxRnrCntReg ; + assign RDY_statusSQ_comm_getMaxRnrCnt = RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getMaxRetryCnt + assign statusSQ_comm_getMaxRetryCnt = cntrl_maxRetryCntReg ; + assign RDY_statusSQ_comm_getMaxRetryCnt = RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getMinRnrTimer + assign statusSQ_comm_getMinRnrTimer = cntrl_minRnrTimerReg ; + assign RDY_statusSQ_comm_getMinRnrTimer = RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getMaxTimeOut + assign statusSQ_comm_getMaxTimeOut = cntrl_maxTimeOutReg ; + assign RDY_statusSQ_comm_getMaxTimeOut = RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getPendingWorkReqNum + assign statusSQ_comm_getPendingWorkReqNum = cntrl_pendingWorkReqNumReg ; + assign RDY_statusSQ_comm_getPendingWorkReqNum = RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getPendingRecvReqNum + assign statusSQ_comm_getPendingRecvReqNum = cntrl_pendingRecvReqNumReg ; + assign RDY_statusSQ_comm_getPendingRecvReqNum = RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getPendingReadAtomicReqNum + assign statusSQ_comm_getPendingReadAtomicReqNum = + cntrl_pendingReadAtomicReqNumReg ; + assign RDY_statusSQ_comm_getPendingReadAtomicReqNum = + RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getPendingDestReadAtomicReqNum + assign statusSQ_comm_getPendingDestReadAtomicReqNum = + cntrl_pendingDestReadAtomicReqNumReg ; + assign RDY_statusSQ_comm_getPendingDestReadAtomicReqNum = + RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getSigAll + assign statusSQ_comm_getSigAll = cntrl_sqSigAllReg ; + assign RDY_statusSQ_comm_getSigAll = RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getSQPN + assign statusSQ_comm_getSQPN = cntrl_sqpnReg ; + assign RDY_statusSQ_comm_getSQPN = RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getDQPN + assign statusSQ_comm_getDQPN = cntrl_dqpnReg ; + assign RDY_statusSQ_comm_getDQPN = RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getPKEY + assign statusSQ_comm_getPKEY = cntrl_pkeyReg ; + assign RDY_statusSQ_comm_getPKEY = RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getQKEY + assign statusSQ_comm_getQKEY = cntrl_qkeyReg ; + assign RDY_statusSQ_comm_getQKEY = RDY_statusSQ_comm_getPMTU ; + + // value method statusSQ_comm_getPMTU + assign statusSQ_comm_getPMTU = cntrl_pmtuReg ; + assign RDY_statusSQ_comm_getPMTU = + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7 ; + + // value method statusSQ_getTypeQP + assign statusSQ_getTypeQP = cntrl_sqTypeReg ; + assign RDY_statusSQ_getTypeQP = 1'd1 ; + + // value method statusSQ_isSQ + assign statusSQ_isSQ = 1'd1 ; + assign RDY_statusSQ_isSQ = 1'd1 ; + + // value method rdmaReqPipeOut_first + assign rdmaReqPipeOut_first = sq_reqGenSQ_rdmaReqPipeOut_outputQ_D_OUT ; + assign RDY_rdmaReqPipeOut_first = + sq_reqGenSQ_rdmaReqPipeOut_outputQ_EMPTY_N ; + + // action method rdmaReqPipeOut_deq + assign RDY_rdmaReqPipeOut_deq = sq_reqGenSQ_rdmaReqPipeOut_outputQ_EMPTY_N ; + assign CAN_FIRE_rdmaReqPipeOut_deq = + sq_reqGenSQ_rdmaReqPipeOut_outputQ_EMPTY_N ; + assign WILL_FIRE_rdmaReqPipeOut_deq = EN_rdmaReqPipeOut_deq ; + + // value method rdmaReqPipeOut_notEmpty + assign rdmaReqPipeOut_notEmpty = + sq_reqGenSQ_rdmaReqPipeOut_outputQ_EMPTY_N ; + assign RDY_rdmaReqPipeOut_notEmpty = 1'd1 ; + + // value method workCompPipeOutSQ_first + assign workCompPipeOutSQ_first = sq_workCompGenSQ_workCompOutQ4SQ_D_OUT ; + assign RDY_workCompPipeOutSQ_first = + sq_workCompGenSQ_workCompOutQ4SQ_EMPTY_N ; + + // action method workCompPipeOutSQ_deq + assign RDY_workCompPipeOutSQ_deq = + sq_workCompGenSQ_workCompOutQ4SQ_EMPTY_N ; + assign CAN_FIRE_workCompPipeOutSQ_deq = + sq_workCompGenSQ_workCompOutQ4SQ_EMPTY_N ; + assign WILL_FIRE_workCompPipeOutSQ_deq = EN_workCompPipeOutSQ_deq ; + + // value method workCompPipeOutSQ_notEmpty + assign workCompPipeOutSQ_notEmpty = + sq_workCompGenSQ_workCompOutQ4SQ_EMPTY_N ; + assign RDY_workCompPipeOutSQ_notEmpty = 1'd1 ; + + // submodule cntrl_reqQ + FIFO2 #(.width(32'd301), .guarded(1'd1)) cntrl_reqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(cntrl_reqQ_D_IN), + .ENQ(cntrl_reqQ_ENQ), + .DEQ(cntrl_reqQ_DEQ), + .CLR(cntrl_reqQ_CLR), + .D_OUT(cntrl_reqQ_D_OUT), + .FULL_N(cntrl_reqQ_FULL_N), + .EMPTY_N(cntrl_reqQ_EMPTY_N)); + + // submodule cntrl_respQ + FIFO2 #(.width(32'd274), .guarded(1'd1)) cntrl_respQ(.RST(RST_N), + .CLK(CLK), + .D_IN(cntrl_respQ_D_IN), + .ENQ(cntrl_respQ_ENQ), + .DEQ(cntrl_respQ_DEQ), + .CLR(cntrl_respQ_CLR), + .D_OUT(cntrl_respQ_D_OUT), + .FULL_N(cntrl_respQ_FULL_N), + .EMPTY_N(cntrl_respQ_EMPTY_N)); + + // submodule cntrl_restoreQ + FIFO2 #(.width(32'd29), .guarded(1'd1)) cntrl_restoreQ(.RST(RST_N), + .CLK(CLK), + .D_IN(cntrl_restoreQ_D_IN), + .ENQ(cntrl_restoreQ_ENQ), + .DEQ(cntrl_restoreQ_DEQ), + .CLR(cntrl_restoreQ_CLR), + .D_OUT(cntrl_restoreQ_D_OUT), + .FULL_N(), + .EMPTY_N(cntrl_restoreQ_EMPTY_N)); + + // submodule dmaReadCntrl4SQ_addrChunkSrv_reqQ + FIFO2 #(.width(32'd99), + .guarded(1'd1)) dmaReadCntrl4SQ_addrChunkSrv_reqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_IN), + .ENQ(dmaReadCntrl4SQ_addrChunkSrv_reqQ_ENQ), + .DEQ(dmaReadCntrl4SQ_addrChunkSrv_reqQ_DEQ), + .CLR(dmaReadCntrl4SQ_addrChunkSrv_reqQ_CLR), + .D_OUT(dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT), + .FULL_N(dmaReadCntrl4SQ_addrChunkSrv_reqQ_FULL_N), + .EMPTY_N(dmaReadCntrl4SQ_addrChunkSrv_reqQ_EMPTY_N)); + + // submodule dmaReadCntrl4SQ_addrChunkSrv_respQ + FIFO2 #(.width(32'd79), + .guarded(1'd1)) dmaReadCntrl4SQ_addrChunkSrv_respQ(.RST(RST_N), + .CLK(CLK), + .D_IN(dmaReadCntrl4SQ_addrChunkSrv_respQ_D_IN), + .ENQ(dmaReadCntrl4SQ_addrChunkSrv_respQ_ENQ), + .DEQ(dmaReadCntrl4SQ_addrChunkSrv_respQ_DEQ), + .CLR(dmaReadCntrl4SQ_addrChunkSrv_respQ_CLR), + .D_OUT(dmaReadCntrl4SQ_addrChunkSrv_respQ_D_OUT), + .FULL_N(dmaReadCntrl4SQ_addrChunkSrv_respQ_FULL_N), + .EMPTY_N(dmaReadCntrl4SQ_addrChunkSrv_respQ_EMPTY_N)); + + // submodule dmaReadCntrl4SQ_pendingDmaCntrlReqQ + FIFO2 #(.width(32'd192), + .guarded(1'd1)) dmaReadCntrl4SQ_pendingDmaCntrlReqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(dmaReadCntrl4SQ_pendingDmaCntrlReqQ_D_IN), + .ENQ(dmaReadCntrl4SQ_pendingDmaCntrlReqQ_ENQ), + .DEQ(dmaReadCntrl4SQ_pendingDmaCntrlReqQ_DEQ), + .CLR(dmaReadCntrl4SQ_pendingDmaCntrlReqQ_CLR), + .D_OUT(dmaReadCntrl4SQ_pendingDmaCntrlReqQ_D_OUT), + .FULL_N(dmaReadCntrl4SQ_pendingDmaCntrlReqQ_FULL_N), + .EMPTY_N(dmaReadCntrl4SQ_pendingDmaCntrlReqQ_EMPTY_N)); + + // submodule dmaReadCntrl4SQ_pendingDmaReadReqQ + FIFO2 #(.width(32'd172), + .guarded(1'd1)) dmaReadCntrl4SQ_pendingDmaReadReqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(dmaReadCntrl4SQ_pendingDmaReadReqQ_D_IN), + .ENQ(dmaReadCntrl4SQ_pendingDmaReadReqQ_ENQ), + .DEQ(dmaReadCntrl4SQ_pendingDmaReadReqQ_DEQ), + .CLR(dmaReadCntrl4SQ_pendingDmaReadReqQ_CLR), + .D_OUT(dmaReadCntrl4SQ_pendingDmaReadReqQ_D_OUT), + .FULL_N(dmaReadCntrl4SQ_pendingDmaReadReqQ_FULL_N), + .EMPTY_N(dmaReadCntrl4SQ_pendingDmaReadReqQ_EMPTY_N)); + + // submodule dmaReadCntrl4SQ_reqQ + FIFO2 #(.width(32'd192), .guarded(1'd1)) dmaReadCntrl4SQ_reqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(dmaReadCntrl4SQ_reqQ_D_IN), + .ENQ(dmaReadCntrl4SQ_reqQ_ENQ), + .DEQ(dmaReadCntrl4SQ_reqQ_DEQ), + .CLR(dmaReadCntrl4SQ_reqQ_CLR), + .D_OUT(dmaReadCntrl4SQ_reqQ_D_OUT), + .FULL_N(dmaReadCntrl4SQ_reqQ_FULL_N), + .EMPTY_N(dmaReadCntrl4SQ_reqQ_EMPTY_N)); + + // submodule dmaReadCntrl4SQ_respQ + FIFO2 #(.width(32'd385), .guarded(1'd1)) dmaReadCntrl4SQ_respQ(.RST(RST_N), + .CLK(CLK), + .D_IN(dmaReadCntrl4SQ_respQ_D_IN), + .ENQ(dmaReadCntrl4SQ_respQ_ENQ), + .DEQ(dmaReadCntrl4SQ_respQ_DEQ), + .CLR(dmaReadCntrl4SQ_respQ_CLR), + .D_OUT(dmaReadCntrl4SQ_respQ_D_OUT), + .FULL_N(dmaReadCntrl4SQ_respQ_FULL_N), + .EMPTY_N(dmaReadCntrl4SQ_respQ_EMPTY_N)); + + // submodule dmaReadProxy4SQ_reqQ + FIFO2 #(.width(32'd170), .guarded(1'd1)) dmaReadProxy4SQ_reqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(dmaReadProxy4SQ_reqQ_D_IN), + .ENQ(dmaReadProxy4SQ_reqQ_ENQ), + .DEQ(dmaReadProxy4SQ_reqQ_DEQ), + .CLR(dmaReadProxy4SQ_reqQ_CLR), + .D_OUT(dmaReadProxy4SQ_reqQ_D_OUT), + .FULL_N(dmaReadProxy4SQ_reqQ_FULL_N), + .EMPTY_N(dmaReadProxy4SQ_reqQ_EMPTY_N)); + + // submodule dmaReadProxy4SQ_respQ + FIFO2 #(.width(32'd383), .guarded(1'd1)) dmaReadProxy4SQ_respQ(.RST(RST_N), + .CLK(CLK), + .D_IN(dmaReadProxy4SQ_respQ_D_IN), + .ENQ(dmaReadProxy4SQ_respQ_ENQ), + .DEQ(dmaReadProxy4SQ_respQ_DEQ), + .CLR(dmaReadProxy4SQ_respQ_CLR), + .D_OUT(dmaReadProxy4SQ_respQ_D_OUT), + .FULL_N(dmaReadProxy4SQ_respQ_FULL_N), + .EMPTY_N(dmaReadProxy4SQ_respQ_EMPTY_N)); + + // submodule payloadGenerator4SQ_bramQ2PipeOut_postBramQ + FIFO2 #(.width(32'd290), + .guarded(1'd1)) payloadGenerator4SQ_bramQ2PipeOut_postBramQ(.RST(RST_N), + .CLK(CLK), + .D_IN(payloadGenerator4SQ_bramQ2PipeOut_postBramQ_D_IN), + .ENQ(payloadGenerator4SQ_bramQ2PipeOut_postBramQ_ENQ), + .DEQ(payloadGenerator4SQ_bramQ2PipeOut_postBramQ_DEQ), + .CLR(payloadGenerator4SQ_bramQ2PipeOut_postBramQ_CLR), + .D_OUT(payloadGenerator4SQ_bramQ2PipeOut_postBramQ_D_OUT), + .FULL_N(payloadGenerator4SQ_bramQ2PipeOut_postBramQ_FULL_N), + .EMPTY_N(payloadGenerator4SQ_bramQ2PipeOut_postBramQ_EMPTY_N)); + + // submodule payloadGenerator4SQ_payloadBufQ_memory + BRAM2 #(.PIPELINED(1'd0), + .ADDR_WIDTH(32'd9), + .DATA_WIDTH(32'd290), + .MEMSIZE(10'd512)) payloadGenerator4SQ_payloadBufQ_memory(.CLKA(CLK), + .CLKB(CLK), + .ADDRA(payloadGenerator4SQ_payloadBufQ_memory_ADDRA), + .ADDRB(payloadGenerator4SQ_payloadBufQ_memory_ADDRB), + .DIA(payloadGenerator4SQ_payloadBufQ_memory_DIA), + .DIB(payloadGenerator4SQ_payloadBufQ_memory_DIB), + .WEA(payloadGenerator4SQ_payloadBufQ_memory_WEA), + .WEB(payloadGenerator4SQ_payloadBufQ_memory_WEB), + .ENA(payloadGenerator4SQ_payloadBufQ_memory_ENA), + .ENB(payloadGenerator4SQ_payloadBufQ_memory_ENB), + .DOA(), + .DOB(payloadGenerator4SQ_payloadBufQ_memory_DOB)); + + // submodule payloadGenerator4SQ_payloadGenReqQ + FIFO2 #(.width(32'd193), + .guarded(1'd1)) payloadGenerator4SQ_payloadGenReqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(payloadGenerator4SQ_payloadGenReqQ_D_IN), + .ENQ(payloadGenerator4SQ_payloadGenReqQ_ENQ), + .DEQ(payloadGenerator4SQ_payloadGenReqQ_DEQ), + .CLR(payloadGenerator4SQ_payloadGenReqQ_CLR), + .D_OUT(payloadGenerator4SQ_payloadGenReqQ_D_OUT), + .FULL_N(payloadGenerator4SQ_payloadGenReqQ_FULL_N), + .EMPTY_N(payloadGenerator4SQ_payloadGenReqQ_EMPTY_N)); + + // submodule payloadGenerator4SQ_payloadGenRespQ + FIFO2 #(.width(32'd2), + .guarded(1'd1)) payloadGenerator4SQ_payloadGenRespQ(.RST(RST_N), + .CLK(CLK), + .D_IN(payloadGenerator4SQ_payloadGenRespQ_D_IN), + .ENQ(payloadGenerator4SQ_payloadGenRespQ_ENQ), + .DEQ(payloadGenerator4SQ_payloadGenRespQ_DEQ), + .CLR(payloadGenerator4SQ_payloadGenRespQ_CLR), + .D_OUT(payloadGenerator4SQ_payloadGenRespQ_D_OUT), + .FULL_N(payloadGenerator4SQ_payloadGenRespQ_FULL_N), + .EMPTY_N(payloadGenerator4SQ_payloadGenRespQ_EMPTY_N)); + + // submodule payloadGenerator4SQ_pendingGenReqQ + FIFO2 #(.width(32'd233), + .guarded(1'd1)) payloadGenerator4SQ_pendingGenReqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(payloadGenerator4SQ_pendingGenReqQ_D_IN), + .ENQ(payloadGenerator4SQ_pendingGenReqQ_ENQ), + .DEQ(payloadGenerator4SQ_pendingGenReqQ_DEQ), + .CLR(payloadGenerator4SQ_pendingGenReqQ_CLR), + .D_OUT(payloadGenerator4SQ_pendingGenReqQ_D_OUT), + .FULL_N(payloadGenerator4SQ_pendingGenReqQ_FULL_N), + .EMPTY_N(payloadGenerator4SQ_pendingGenReqQ_EMPTY_N)); + + // submodule respPktPipe_metaDataQ + FIFO2 #(.width(32'd649), .guarded(1'd1)) respPktPipe_metaDataQ(.RST(RST_N), + .CLK(CLK), + .D_IN(respPktPipe_metaDataQ_D_IN), + .ENQ(respPktPipe_metaDataQ_ENQ), + .DEQ(respPktPipe_metaDataQ_DEQ), + .CLR(respPktPipe_metaDataQ_CLR), + .D_OUT(respPktPipe_metaDataQ_D_OUT), + .FULL_N(respPktPipe_metaDataQ_FULL_N), + .EMPTY_N(respPktPipe_metaDataQ_EMPTY_N)); + + // submodule respPktPipe_payloadQ + FIFO2 #(.width(32'd290), .guarded(1'd1)) respPktPipe_payloadQ(.RST(RST_N), + .CLK(CLK), + .D_IN(respPktPipe_payloadQ_D_IN), + .ENQ(respPktPipe_payloadQ_ENQ), + .DEQ(respPktPipe_payloadQ_DEQ), + .CLR(respPktPipe_payloadQ_CLR), + .D_OUT(), + .FULL_N(respPktPipe_payloadQ_FULL_N), + .EMPTY_N()); + + // submodule sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ + FIFO2 #(.width(32'd679), + .guarded(1'd1)) sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_D_IN), + .ENQ(sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_ENQ), + .DEQ(sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_DEQ), + .CLR(sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_CLR), + .D_OUT(sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_D_OUT), + .FULL_N(sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_FULL_N), + .EMPTY_N(sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_EMPTY_N)); + + // submodule sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ + FIFO2 #(.width(32'd1), + .guarded(1'd1)) sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_D_IN), + .ENQ(sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_ENQ), + .DEQ(sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_DEQ), + .CLR(sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_CLR), + .D_OUT(), + .FULL_N(sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_FULL_N), + .EMPTY_N(sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_EMPTY_N)); + + // submodule sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ + FIFO2 #(.width(32'd1), + .guarded(1'd1)) sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_D_IN), + .ENQ(sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_ENQ), + .DEQ(sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_DEQ), + .CLR(sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_CLR), + .D_OUT(), + .FULL_N(sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_FULL_N), + .EMPTY_N(sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_EMPTY_N)); + + // submodule sq_pendingWorkReqBuf_itemCnt + Counter #(.width(32'd3), + .init(3'd0)) sq_pendingWorkReqBuf_itemCnt(.CLK(CLK), + .RST(RST_N), + .DATA_A(sq_pendingWorkReqBuf_itemCnt_DATA_A), + .DATA_B(sq_pendingWorkReqBuf_itemCnt_DATA_B), + .DATA_C(sq_pendingWorkReqBuf_itemCnt_DATA_C), + .DATA_F(sq_pendingWorkReqBuf_itemCnt_DATA_F), + .ADDA(sq_pendingWorkReqBuf_itemCnt_ADDA), + .ADDB(sq_pendingWorkReqBuf_itemCnt_ADDB), + .SETC(sq_pendingWorkReqBuf_itemCnt_SETC), + .SETF(sq_pendingWorkReqBuf_itemCnt_SETF), + .Q_OUT(sq_pendingWorkReqBuf_itemCnt_Q_OUT)); + + // submodule sq_pendingWorkReqBuf_scanCnt + Counter #(.width(32'd3), + .init(3'd0)) sq_pendingWorkReqBuf_scanCnt(.CLK(CLK), + .RST(RST_N), + .DATA_A(sq_pendingWorkReqBuf_scanCnt_DATA_A), + .DATA_B(sq_pendingWorkReqBuf_scanCnt_DATA_B), + .DATA_C(sq_pendingWorkReqBuf_scanCnt_DATA_C), + .DATA_F(sq_pendingWorkReqBuf_scanCnt_DATA_F), + .ADDA(sq_pendingWorkReqBuf_scanCnt_ADDA), + .ADDB(sq_pendingWorkReqBuf_scanCnt_ADDB), + .SETC(sq_pendingWorkReqBuf_scanCnt_SETC), + .SETF(sq_pendingWorkReqBuf_scanCnt_SETF), + .Q_OUT(sq_pendingWorkReqBuf_scanCnt_Q_OUT)); + + // submodule sq_pendingWorkReqBuf_scanOutQ + FIFO2 #(.width(32'd679), + .guarded(1'd1)) sq_pendingWorkReqBuf_scanOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_pendingWorkReqBuf_scanOutQ_D_IN), + .ENQ(sq_pendingWorkReqBuf_scanOutQ_ENQ), + .DEQ(sq_pendingWorkReqBuf_scanOutQ_DEQ), + .CLR(sq_pendingWorkReqBuf_scanOutQ_CLR), + .D_OUT(sq_pendingWorkReqBuf_scanOutQ_D_OUT), + .FULL_N(sq_pendingWorkReqBuf_scanOutQ_FULL_N), + .EMPTY_N(sq_pendingWorkReqBuf_scanOutQ_EMPTY_N)); + + // submodule sq_pendingWorkReqPipeOut_pipeMuxOutQ + FIFO2 #(.width(32'd679), + .guarded(1'd1)) sq_pendingWorkReqPipeOut_pipeMuxOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_IN), + .ENQ(sq_pendingWorkReqPipeOut_pipeMuxOutQ_ENQ), + .DEQ(sq_pendingWorkReqPipeOut_pipeMuxOutQ_DEQ), + .CLR(sq_pendingWorkReqPipeOut_pipeMuxOutQ_CLR), + .D_OUT(sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT), + .FULL_N(sq_pendingWorkReqPipeOut_pipeMuxOutQ_FULL_N), + .EMPTY_N(sq_pendingWorkReqPipeOut_pipeMuxOutQ_EMPTY_N)); + + // submodule sq_reqGenSQ_pendingReqHeaderQ + FIFO2 #(.width(32'd1229), + .guarded(1'd1)) sq_reqGenSQ_pendingReqHeaderQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_pendingReqHeaderQ_D_IN), + .ENQ(sq_reqGenSQ_pendingReqHeaderQ_ENQ), + .DEQ(sq_reqGenSQ_pendingReqHeaderQ_DEQ), + .CLR(sq_reqGenSQ_pendingReqHeaderQ_CLR), + .D_OUT(sq_reqGenSQ_pendingReqHeaderQ_D_OUT), + .FULL_N(sq_reqGenSQ_pendingReqHeaderQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_pendingReqHeaderQ_EMPTY_N)); + + // submodule sq_reqGenSQ_pendingWorkReqOutQ + FIFO2 #(.width(32'd679), + .guarded(1'd1)) sq_reqGenSQ_pendingWorkReqOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_pendingWorkReqOutQ_D_IN), + .ENQ(sq_reqGenSQ_pendingWorkReqOutQ_ENQ), + .DEQ(sq_reqGenSQ_pendingWorkReqOutQ_DEQ), + .CLR(sq_reqGenSQ_pendingWorkReqOutQ_CLR), + .D_OUT(sq_reqGenSQ_pendingWorkReqOutQ_D_OUT), + .FULL_N(sq_reqGenSQ_pendingWorkReqOutQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_pendingWorkReqOutQ_EMPTY_N)); + + // submodule sq_reqGenSQ_psnReqOutQ + FIFO2 #(.width(32'd24), .guarded(1'd1)) sq_reqGenSQ_psnReqOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_psnReqOutQ_D_IN), + .ENQ(sq_reqGenSQ_psnReqOutQ_ENQ), + .DEQ(sq_reqGenSQ_psnReqOutQ_DEQ), + .CLR(sq_reqGenSQ_psnReqOutQ_CLR), + .D_OUT(), + .FULL_N(sq_reqGenSQ_psnReqOutQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_psnReqOutQ_EMPTY_N)); + + // submodule sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ + FIFO2 #(.width(32'd290), + .guarded(1'd1)) sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_IN), + .ENQ(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_ENQ), + .DEQ(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_DEQ), + .CLR(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_CLR), + .D_OUT(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT), + .FULL_N(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_EMPTY_N)); + + // submodule sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ + FIFO2 #(.width(32'd17), + .guarded(1'd1)) sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_IN), + .ENQ(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_ENQ), + .DEQ(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_DEQ), + .CLR(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_CLR), + .D_OUT(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT), + .FULL_N(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_EMPTY_N)); + + // submodule sq_reqGenSQ_rdmaReqPipeOut_outputQ + FIFO2 #(.width(32'd290), + .guarded(1'd1)) sq_reqGenSQ_rdmaReqPipeOut_outputQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_rdmaReqPipeOut_outputQ_D_IN), + .ENQ(sq_reqGenSQ_rdmaReqPipeOut_outputQ_ENQ), + .DEQ(sq_reqGenSQ_rdmaReqPipeOut_outputQ_DEQ), + .CLR(sq_reqGenSQ_rdmaReqPipeOut_outputQ_CLR), + .D_OUT(sq_reqGenSQ_rdmaReqPipeOut_outputQ_D_OUT), + .FULL_N(sq_reqGenSQ_rdmaReqPipeOut_outputQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_rdmaReqPipeOut_outputQ_EMPTY_N)); + + // submodule sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ + FIFO2 #(.width(32'd290), + .guarded(1'd1)) sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_D_IN), + .ENQ(sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_ENQ), + .DEQ(sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_DEQ), + .CLR(sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_CLR), + .D_OUT(sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_D_OUT), + .FULL_N(sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_EMPTY_N)); + + // submodule sq_reqGenSQ_reqCountQ + FIFO2 #(.width(32'd684), .guarded(1'd1)) sq_reqGenSQ_reqCountQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_reqCountQ_D_IN), + .ENQ(sq_reqGenSQ_reqCountQ_ENQ), + .DEQ(sq_reqGenSQ_reqCountQ_DEQ), + .CLR(sq_reqGenSQ_reqCountQ_CLR), + .D_OUT(sq_reqGenSQ_reqCountQ_D_OUT), + .FULL_N(sq_reqGenSQ_reqCountQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_reqCountQ_EMPTY_N)); + + // submodule sq_reqGenSQ_reqHeaderGenQ + FIFO2 #(.width(32'd1300), + .guarded(1'd1)) sq_reqGenSQ_reqHeaderGenQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_reqHeaderGenQ_D_IN), + .ENQ(sq_reqGenSQ_reqHeaderGenQ_ENQ), + .DEQ(sq_reqGenSQ_reqHeaderGenQ_DEQ), + .CLR(sq_reqGenSQ_reqHeaderGenQ_CLR), + .D_OUT(sq_reqGenSQ_reqHeaderGenQ_D_OUT), + .FULL_N(sq_reqGenSQ_reqHeaderGenQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_reqHeaderGenQ_EMPTY_N)); + + // submodule sq_reqGenSQ_reqHeaderOutQ + FIFO2 #(.width(32'd593), + .guarded(1'd1)) sq_reqGenSQ_reqHeaderOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_reqHeaderOutQ_D_IN), + .ENQ(sq_reqGenSQ_reqHeaderOutQ_ENQ), + .DEQ(sq_reqGenSQ_reqHeaderOutQ_DEQ), + .CLR(sq_reqGenSQ_reqHeaderOutQ_CLR), + .D_OUT(sq_reqGenSQ_reqHeaderOutQ_D_OUT), + .FULL_N(sq_reqGenSQ_reqHeaderOutQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_reqHeaderOutQ_EMPTY_N)); + + // submodule sq_reqGenSQ_reqHeaderPrepareQ + FIFO2 #(.width(32'd710), + .guarded(1'd1)) sq_reqGenSQ_reqHeaderPrepareQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_reqHeaderPrepareQ_D_IN), + .ENQ(sq_reqGenSQ_reqHeaderPrepareQ_ENQ), + .DEQ(sq_reqGenSQ_reqHeaderPrepareQ_DEQ), + .CLR(sq_reqGenSQ_reqHeaderPrepareQ_CLR), + .D_OUT(sq_reqGenSQ_reqHeaderPrepareQ_D_OUT), + .FULL_N(sq_reqGenSQ_reqHeaderPrepareQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_reqHeaderPrepareQ_EMPTY_N)); + + // submodule sq_reqGenSQ_workCompGenReqOutQ + FIFO2 #(.width(32'd633), + .guarded(1'd1)) sq_reqGenSQ_workCompGenReqOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_workCompGenReqOutQ_D_IN), + .ENQ(sq_reqGenSQ_workCompGenReqOutQ_ENQ), + .DEQ(sq_reqGenSQ_workCompGenReqOutQ_DEQ), + .CLR(sq_reqGenSQ_workCompGenReqOutQ_CLR), + .D_OUT(sq_reqGenSQ_workCompGenReqOutQ_D_OUT), + .FULL_N(sq_reqGenSQ_workCompGenReqOutQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N)); + + // submodule sq_reqGenSQ_workReqCheckQ + FIFO2 #(.width(32'd684), + .guarded(1'd1)) sq_reqGenSQ_workReqCheckQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_workReqCheckQ_D_IN), + .ENQ(sq_reqGenSQ_workReqCheckQ_ENQ), + .DEQ(sq_reqGenSQ_workReqCheckQ_DEQ), + .CLR(sq_reqGenSQ_workReqCheckQ_CLR), + .D_OUT(sq_reqGenSQ_workReqCheckQ_D_OUT), + .FULL_N(sq_reqGenSQ_workReqCheckQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_workReqCheckQ_EMPTY_N)); + + // submodule sq_reqGenSQ_workReqOutQ + FIFO2 #(.width(32'd684), + .guarded(1'd1)) sq_reqGenSQ_workReqOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_workReqOutQ_D_IN), + .ENQ(sq_reqGenSQ_workReqOutQ_ENQ), + .DEQ(sq_reqGenSQ_workReqOutQ_DEQ), + .CLR(sq_reqGenSQ_workReqOutQ_CLR), + .D_OUT(sq_reqGenSQ_workReqOutQ_D_OUT), + .FULL_N(sq_reqGenSQ_workReqOutQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_workReqOutQ_EMPTY_N)); + + // submodule sq_reqGenSQ_workReqPayloadGenQ + FIFO2 #(.width(32'd720), + .guarded(1'd1)) sq_reqGenSQ_workReqPayloadGenQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_workReqPayloadGenQ_D_IN), + .ENQ(sq_reqGenSQ_workReqPayloadGenQ_ENQ), + .DEQ(sq_reqGenSQ_workReqPayloadGenQ_DEQ), + .CLR(sq_reqGenSQ_workReqPayloadGenQ_CLR), + .D_OUT(sq_reqGenSQ_workReqPayloadGenQ_D_OUT), + .FULL_N(sq_reqGenSQ_workReqPayloadGenQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_workReqPayloadGenQ_EMPTY_N)); + + // submodule sq_reqGenSQ_workReqPktNumQ + FIFO2 #(.width(32'd709), + .guarded(1'd1)) sq_reqGenSQ_workReqPktNumQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_workReqPktNumQ_D_IN), + .ENQ(sq_reqGenSQ_workReqPktNumQ_ENQ), + .DEQ(sq_reqGenSQ_workReqPktNumQ_DEQ), + .CLR(sq_reqGenSQ_workReqPktNumQ_CLR), + .D_OUT(sq_reqGenSQ_workReqPktNumQ_D_OUT), + .FULL_N(sq_reqGenSQ_workReqPktNumQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_workReqPktNumQ_EMPTY_N)); + + // submodule sq_reqGenSQ_workReqPsnQ + FIFO2 #(.width(32'd684), + .guarded(1'd1)) sq_reqGenSQ_workReqPsnQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_reqGenSQ_workReqPsnQ_D_IN), + .ENQ(sq_reqGenSQ_workReqPsnQ_ENQ), + .DEQ(sq_reqGenSQ_workReqPsnQ_DEQ), + .CLR(sq_reqGenSQ_workReqPsnQ_CLR), + .D_OUT(sq_reqGenSQ_workReqPsnQ_D_OUT), + .FULL_N(sq_reqGenSQ_workReqPsnQ_FULL_N), + .EMPTY_N(sq_reqGenSQ_workReqPsnQ_EMPTY_N)); + + // submodule sq_respHandleSQ_incomingRespQ + FIFO2 #(.width(32'd1470), + .guarded(1'd1)) sq_respHandleSQ_incomingRespQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_respHandleSQ_incomingRespQ_D_IN), + .ENQ(sq_respHandleSQ_incomingRespQ_ENQ), + .DEQ(sq_respHandleSQ_incomingRespQ_DEQ), + .CLR(sq_respHandleSQ_incomingRespQ_CLR), + .D_OUT(sq_respHandleSQ_incomingRespQ_D_OUT), + .FULL_N(sq_respHandleSQ_incomingRespQ_FULL_N), + .EMPTY_N(sq_respHandleSQ_incomingRespQ_EMPTY_N)); + + // submodule sq_respHandleSQ_pendingAddrCalcQ + FIFO2 #(.width(32'd1475), + .guarded(1'd1)) sq_respHandleSQ_pendingAddrCalcQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_respHandleSQ_pendingAddrCalcQ_D_IN), + .ENQ(sq_respHandleSQ_pendingAddrCalcQ_ENQ), + .DEQ(sq_respHandleSQ_pendingAddrCalcQ_DEQ), + .CLR(sq_respHandleSQ_pendingAddrCalcQ_CLR), + .D_OUT(sq_respHandleSQ_pendingAddrCalcQ_D_OUT), + .FULL_N(sq_respHandleSQ_pendingAddrCalcQ_FULL_N), + .EMPTY_N(sq_respHandleSQ_pendingAddrCalcQ_EMPTY_N)); + + // submodule sq_respHandleSQ_pendingDmaReqQ + FIFO2 #(.width(32'd1539), + .guarded(1'd1)) sq_respHandleSQ_pendingDmaReqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_respHandleSQ_pendingDmaReqQ_D_IN), + .ENQ(sq_respHandleSQ_pendingDmaReqQ_ENQ), + .DEQ(sq_respHandleSQ_pendingDmaReqQ_DEQ), + .CLR(sq_respHandleSQ_pendingDmaReqQ_CLR), + .D_OUT(sq_respHandleSQ_pendingDmaReqQ_D_OUT), + .FULL_N(sq_respHandleSQ_pendingDmaReqQ_FULL_N), + .EMPTY_N(sq_respHandleSQ_pendingDmaReqQ_EMPTY_N)); + + // submodule sq_respHandleSQ_pendingLenCalcQ + FIFO2 #(.width(32'd1539), + .guarded(1'd1)) sq_respHandleSQ_pendingLenCalcQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_respHandleSQ_pendingLenCalcQ_D_IN), + .ENQ(sq_respHandleSQ_pendingLenCalcQ_ENQ), + .DEQ(sq_respHandleSQ_pendingLenCalcQ_DEQ), + .CLR(sq_respHandleSQ_pendingLenCalcQ_CLR), + .D_OUT(sq_respHandleSQ_pendingLenCalcQ_D_OUT), + .FULL_N(sq_respHandleSQ_pendingLenCalcQ_FULL_N), + .EMPTY_N(sq_respHandleSQ_pendingLenCalcQ_EMPTY_N)); + + // submodule sq_respHandleSQ_pendingLenCheckQ + FIFO2 #(.width(32'd1573), + .guarded(1'd1)) sq_respHandleSQ_pendingLenCheckQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_respHandleSQ_pendingLenCheckQ_D_IN), + .ENQ(sq_respHandleSQ_pendingLenCheckQ_ENQ), + .DEQ(sq_respHandleSQ_pendingLenCheckQ_DEQ), + .CLR(sq_respHandleSQ_pendingLenCheckQ_CLR), + .D_OUT(sq_respHandleSQ_pendingLenCheckQ_D_OUT), + .FULL_N(sq_respHandleSQ_pendingLenCheckQ_FULL_N), + .EMPTY_N(sq_respHandleSQ_pendingLenCheckQ_EMPTY_N)); + + // submodule sq_respHandleSQ_pendingPermCheckQ + FIFO2 #(.width(32'd1476), + .guarded(1'd1)) sq_respHandleSQ_pendingPermCheckQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_respHandleSQ_pendingPermCheckQ_D_IN), + .ENQ(sq_respHandleSQ_pendingPermCheckQ_ENQ), + .DEQ(sq_respHandleSQ_pendingPermCheckQ_DEQ), + .CLR(sq_respHandleSQ_pendingPermCheckQ_CLR), + .D_OUT(sq_respHandleSQ_pendingPermCheckQ_D_OUT), + .FULL_N(sq_respHandleSQ_pendingPermCheckQ_FULL_N), + .EMPTY_N(sq_respHandleSQ_pendingPermCheckQ_EMPTY_N)); + + // submodule sq_respHandleSQ_pendingPermQueryQ + FIFO2 #(.width(32'd1469), + .guarded(1'd1)) sq_respHandleSQ_pendingPermQueryQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_respHandleSQ_pendingPermQueryQ_D_IN), + .ENQ(sq_respHandleSQ_pendingPermQueryQ_ENQ), + .DEQ(sq_respHandleSQ_pendingPermQueryQ_DEQ), + .CLR(sq_respHandleSQ_pendingPermQueryQ_CLR), + .D_OUT(sq_respHandleSQ_pendingPermQueryQ_D_OUT), + .FULL_N(sq_respHandleSQ_pendingPermQueryQ_FULL_N), + .EMPTY_N(sq_respHandleSQ_pendingPermQueryQ_EMPTY_N)); + + // submodule sq_respHandleSQ_pendingRespQ + FIFO2 #(.width(32'd1473), + .guarded(1'd1)) sq_respHandleSQ_pendingRespQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_respHandleSQ_pendingRespQ_D_IN), + .ENQ(sq_respHandleSQ_pendingRespQ_ENQ), + .DEQ(sq_respHandleSQ_pendingRespQ_DEQ), + .CLR(sq_respHandleSQ_pendingRespQ_CLR), + .D_OUT(sq_respHandleSQ_pendingRespQ_D_OUT), + .FULL_N(sq_respHandleSQ_pendingRespQ_FULL_N), + .EMPTY_N(sq_respHandleSQ_pendingRespQ_EMPTY_N)); + + // submodule sq_respHandleSQ_pendingRetryCheckQ + FIFO2 #(.width(32'd1470), + .guarded(1'd1)) sq_respHandleSQ_pendingRetryCheckQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_respHandleSQ_pendingRetryCheckQ_D_IN), + .ENQ(sq_respHandleSQ_pendingRetryCheckQ_ENQ), + .DEQ(sq_respHandleSQ_pendingRetryCheckQ_DEQ), + .CLR(sq_respHandleSQ_pendingRetryCheckQ_CLR), + .D_OUT(sq_respHandleSQ_pendingRetryCheckQ_D_OUT), + .FULL_N(sq_respHandleSQ_pendingRetryCheckQ_FULL_N), + .EMPTY_N(sq_respHandleSQ_pendingRetryCheckQ_EMPTY_N)); + + // submodule sq_respHandleSQ_pendingSpaceCalcQ + FIFO2 #(.width(32'd1605), + .guarded(1'd1)) sq_respHandleSQ_pendingSpaceCalcQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_respHandleSQ_pendingSpaceCalcQ_D_IN), + .ENQ(sq_respHandleSQ_pendingSpaceCalcQ_ENQ), + .DEQ(sq_respHandleSQ_pendingSpaceCalcQ_DEQ), + .CLR(sq_respHandleSQ_pendingSpaceCalcQ_CLR), + .D_OUT(sq_respHandleSQ_pendingSpaceCalcQ_D_OUT), + .FULL_N(sq_respHandleSQ_pendingSpaceCalcQ_FULL_N), + .EMPTY_N(sq_respHandleSQ_pendingSpaceCalcQ_EMPTY_N)); + + // submodule sq_respHandleSQ_pendingWorkCompQ + FIFO2 #(.width(32'd768), + .guarded(1'd1)) sq_respHandleSQ_pendingWorkCompQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_respHandleSQ_pendingWorkCompQ_D_IN), + .ENQ(sq_respHandleSQ_pendingWorkCompQ_ENQ), + .DEQ(sq_respHandleSQ_pendingWorkCompQ_DEQ), + .CLR(sq_respHandleSQ_pendingWorkCompQ_CLR), + .D_OUT(sq_respHandleSQ_pendingWorkCompQ_D_OUT), + .FULL_N(sq_respHandleSQ_pendingWorkCompQ_FULL_N), + .EMPTY_N(sq_respHandleSQ_pendingWorkCompQ_EMPTY_N)); + + // submodule sq_respHandleSQ_workCompGenReqOutQ + FIFO2 #(.width(32'd633), + .guarded(1'd1)) sq_respHandleSQ_workCompGenReqOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_respHandleSQ_workCompGenReqOutQ_D_IN), + .ENQ(sq_respHandleSQ_workCompGenReqOutQ_ENQ), + .DEQ(sq_respHandleSQ_workCompGenReqOutQ_DEQ), + .CLR(sq_respHandleSQ_workCompGenReqOutQ_CLR), + .D_OUT(sq_respHandleSQ_workCompGenReqOutQ_D_OUT), + .FULL_N(sq_respHandleSQ_workCompGenReqOutQ_FULL_N), + .EMPTY_N(sq_respHandleSQ_workCompGenReqOutQ_EMPTY_N)); + + // submodule sq_retryHandler_prepareRetryRespQ + FIFO2 #(.width(32'd4), + .guarded(1'd1)) sq_retryHandler_prepareRetryRespQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_retryHandler_prepareRetryRespQ_D_IN), + .ENQ(sq_retryHandler_prepareRetryRespQ_ENQ), + .DEQ(sq_retryHandler_prepareRetryRespQ_DEQ), + .CLR(sq_retryHandler_prepareRetryRespQ_CLR), + .D_OUT(sq_retryHandler_prepareRetryRespQ_D_OUT), + .FULL_N(sq_retryHandler_prepareRetryRespQ_FULL_N), + .EMPTY_N(sq_retryHandler_prepareRetryRespQ_EMPTY_N)); + + // submodule sq_retryHandler_resetReqQ + FIFO2 #(.width(32'd1), + .guarded(1'd1)) sq_retryHandler_resetReqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_retryHandler_resetReqQ_D_IN), + .ENQ(sq_retryHandler_resetReqQ_ENQ), + .DEQ(sq_retryHandler_resetReqQ_DEQ), + .CLR(sq_retryHandler_resetReqQ_CLR), + .D_OUT(sq_retryHandler_resetReqQ_D_OUT), + .FULL_N(sq_retryHandler_resetReqQ_FULL_N), + .EMPTY_N(sq_retryHandler_resetReqQ_EMPTY_N)); + + // submodule sq_retryHandler_resetRetryCntQ + FIFO2 #(.width(32'd1), + .guarded(1'd1)) sq_retryHandler_resetRetryCntQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_retryHandler_resetRetryCntQ_D_IN), + .ENQ(sq_retryHandler_resetRetryCntQ_ENQ), + .DEQ(sq_retryHandler_resetRetryCntQ_DEQ), + .CLR(sq_retryHandler_resetRetryCntQ_CLR), + .D_OUT(), + .FULL_N(sq_retryHandler_resetRetryCntQ_FULL_N), + .EMPTY_N(sq_retryHandler_resetRetryCntQ_EMPTY_N)); + + // submodule sq_retryHandler_resetTimeOutQ + FIFO2 #(.width(32'd1), + .guarded(1'd1)) sq_retryHandler_resetTimeOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_retryHandler_resetTimeOutQ_D_IN), + .ENQ(sq_retryHandler_resetTimeOutQ_ENQ), + .DEQ(sq_retryHandler_resetTimeOutQ_DEQ), + .CLR(sq_retryHandler_resetTimeOutQ_CLR), + .D_OUT(), + .FULL_N(sq_retryHandler_resetTimeOutQ_FULL_N), + .EMPTY_N(sq_retryHandler_resetTimeOutQ_EMPTY_N)); + + // submodule sq_retryHandler_retryActionQ + FIFO2 #(.width(32'd98), + .guarded(1'd1)) sq_retryHandler_retryActionQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_retryHandler_retryActionQ_D_IN), + .ENQ(sq_retryHandler_retryActionQ_ENQ), + .DEQ(sq_retryHandler_retryActionQ_DEQ), + .CLR(sq_retryHandler_retryActionQ_CLR), + .D_OUT(sq_retryHandler_retryActionQ_D_OUT), + .FULL_N(sq_retryHandler_retryActionQ_FULL_N), + .EMPTY_N(sq_retryHandler_retryActionQ_EMPTY_N)); + + // submodule sq_retryHandler_retryNotificationQ + FIFO2 #(.width(32'd98), + .guarded(1'd1)) sq_retryHandler_retryNotificationQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_retryHandler_retryNotificationQ_D_IN), + .ENQ(sq_retryHandler_retryNotificationQ_ENQ), + .DEQ(sq_retryHandler_retryNotificationQ_DEQ), + .CLR(sq_retryHandler_retryNotificationQ_CLR), + .D_OUT(sq_retryHandler_retryNotificationQ_D_OUT), + .FULL_N(sq_retryHandler_retryNotificationQ_FULL_N), + .EMPTY_N(sq_retryHandler_retryNotificationQ_EMPTY_N)); + + // submodule sq_retryHandler_retryReqQ + FIFO2 #(.width(32'd97), + .guarded(1'd1)) sq_retryHandler_retryReqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_retryHandler_retryReqQ_D_IN), + .ENQ(sq_retryHandler_retryReqQ_ENQ), + .DEQ(sq_retryHandler_retryReqQ_DEQ), + .CLR(sq_retryHandler_retryReqQ_CLR), + .D_OUT(sq_retryHandler_retryReqQ_D_OUT), + .FULL_N(sq_retryHandler_retryReqQ_FULL_N), + .EMPTY_N(sq_retryHandler_retryReqQ_EMPTY_N)); + + // submodule sq_retryHandler_retryRespQ + FIFO2 #(.width(32'd1), + .guarded(1'd1)) sq_retryHandler_retryRespQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_retryHandler_retryRespQ_D_IN), + .ENQ(sq_retryHandler_retryRespQ_ENQ), + .DEQ(sq_retryHandler_retryRespQ_DEQ), + .CLR(sq_retryHandler_retryRespQ_CLR), + .D_OUT(sq_retryHandler_retryRespQ_D_OUT), + .FULL_N(sq_retryHandler_retryRespQ_FULL_N), + .EMPTY_N(sq_retryHandler_retryRespQ_EMPTY_N)); + + // submodule sq_retryHandler_timeOutNotificationQ + FIFO2 #(.width(32'd1), + .guarded(1'd1)) sq_retryHandler_timeOutNotificationQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_retryHandler_timeOutNotificationQ_D_IN), + .ENQ(sq_retryHandler_timeOutNotificationQ_ENQ), + .DEQ(sq_retryHandler_timeOutNotificationQ_DEQ), + .CLR(sq_retryHandler_timeOutNotificationQ_CLR), + .D_OUT(sq_retryHandler_timeOutNotificationQ_D_OUT), + .FULL_N(sq_retryHandler_timeOutNotificationQ_FULL_N), + .EMPTY_N(sq_retryHandler_timeOutNotificationQ_EMPTY_N)); + + // submodule sq_retryHandler_timeOutTriggerQ + FIFO2 #(.width(32'd1), + .guarded(1'd1)) sq_retryHandler_timeOutTriggerQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_retryHandler_timeOutTriggerQ_D_IN), + .ENQ(sq_retryHandler_timeOutTriggerQ_ENQ), + .DEQ(sq_retryHandler_timeOutTriggerQ_DEQ), + .CLR(sq_retryHandler_timeOutTriggerQ_CLR), + .D_OUT(), + .FULL_N(sq_retryHandler_timeOutTriggerQ_FULL_N), + .EMPTY_N(sq_retryHandler_timeOutTriggerQ_EMPTY_N)); + + // submodule sq_retryHandler_updateRetryCntQ + FIFO2 #(.width(32'd4), + .guarded(1'd1)) sq_retryHandler_updateRetryCntQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_retryHandler_updateRetryCntQ_D_IN), + .ENQ(sq_retryHandler_updateRetryCntQ_ENQ), + .DEQ(sq_retryHandler_updateRetryCntQ_DEQ), + .CLR(sq_retryHandler_updateRetryCntQ_CLR), + .D_OUT(sq_retryHandler_updateRetryCntQ_D_OUT), + .FULL_N(sq_retryHandler_updateRetryCntQ_FULL_N), + .EMPTY_N(sq_retryHandler_updateRetryCntQ_EMPTY_N)); + + // submodule sq_workCompGenSQ_dmaWaitingQ + FIFO2 #(.width(32'd857), + .guarded(1'd1)) sq_workCompGenSQ_dmaWaitingQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_workCompGenSQ_dmaWaitingQ_D_IN), + .ENQ(sq_workCompGenSQ_dmaWaitingQ_ENQ), + .DEQ(sq_workCompGenSQ_dmaWaitingQ_DEQ), + .CLR(sq_workCompGenSQ_dmaWaitingQ_CLR), + .D_OUT(sq_workCompGenSQ_dmaWaitingQ_D_OUT), + .FULL_N(sq_workCompGenSQ_dmaWaitingQ_FULL_N), + .EMPTY_N(sq_workCompGenSQ_dmaWaitingQ_EMPTY_N)); + + // submodule sq_workCompGenSQ_genWorkCompQ + FIFO2 #(.width(32'd857), + .guarded(1'd1)) sq_workCompGenSQ_genWorkCompQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_workCompGenSQ_genWorkCompQ_D_IN), + .ENQ(sq_workCompGenSQ_genWorkCompQ_ENQ), + .DEQ(sq_workCompGenSQ_genWorkCompQ_DEQ), + .CLR(sq_workCompGenSQ_genWorkCompQ_CLR), + .D_OUT(sq_workCompGenSQ_genWorkCompQ_D_OUT), + .FULL_N(sq_workCompGenSQ_genWorkCompQ_FULL_N), + .EMPTY_N(sq_workCompGenSQ_genWorkCompQ_EMPTY_N)); + + // submodule sq_workCompGenSQ_pendingWorkCompQ4SQ + SizedFIFO #(.p1width(32'd633), + .p2depth(32'd8), + .p3cntr_width(32'd3), + .guarded(1'd1)) sq_workCompGenSQ_pendingWorkCompQ4SQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_workCompGenSQ_pendingWorkCompQ4SQ_D_IN), + .ENQ(sq_workCompGenSQ_pendingWorkCompQ4SQ_ENQ), + .DEQ(sq_workCompGenSQ_pendingWorkCompQ4SQ_DEQ), + .CLR(sq_workCompGenSQ_pendingWorkCompQ4SQ_CLR), + .D_OUT(sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT), + .FULL_N(sq_workCompGenSQ_pendingWorkCompQ4SQ_FULL_N), + .EMPTY_N(sq_workCompGenSQ_pendingWorkCompQ4SQ_EMPTY_N)); + + // submodule sq_workCompGenSQ_workCompOutQ4SQ + SizedFIFO #(.p1width(32'd222), + .p2depth(32'd4), + .p3cntr_width(32'd2), + .guarded(1'd1)) sq_workCompGenSQ_workCompOutQ4SQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sq_workCompGenSQ_workCompOutQ4SQ_D_IN), + .ENQ(sq_workCompGenSQ_workCompOutQ4SQ_ENQ), + .DEQ(sq_workCompGenSQ_workCompOutQ4SQ_DEQ), + .CLR(sq_workCompGenSQ_workCompOutQ4SQ_CLR), + .D_OUT(sq_workCompGenSQ_workCompOutQ4SQ_D_OUT), + .FULL_N(sq_workCompGenSQ_workCompOutQ4SQ_FULL_N), + .EMPTY_N(sq_workCompGenSQ_workCompOutQ4SQ_EMPTY_N)); + + // submodule workReqQ + FIFO2 #(.width(32'd601), .guarded(1'd1)) workReqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(workReqQ_D_IN), + .ENQ(workReqQ_ENQ), + .DEQ(workReqQ_DEQ), + .CLR(workReqQ_CLR), + .D_OUT(workReqQ_D_OUT), + .FULL_N(workReqQ_FULL_N), + .EMPTY_N(workReqQ_EMPTY_N)); + + // rule RL_errTrigger + assign CAN_FIRE_RL_errTrigger = + (cntrl_stateReg == 4'd2 || cntrl_stateReg == 4'd3 || + cntrl_stateReg == 4'd4) && + sq_workCompGenSQ_workCompGenStateReg == 2'd2 ; + assign WILL_FIRE_RL_errTrigger = CAN_FIRE_RL_errTrigger ; + + // rule RL_cancelDmaReadSQ + assign CAN_FIRE_RL_cancelDmaReadSQ = + !sqDmaReadCancelReg && cntrl_stateReg == 4'd6 && + (!sq_reqGenSQ_psnReqOutQ_EMPTY_N || + payloadGenerator4SQ_payloadBufQ_rRdPtr_read__7_ETC___d487 || + !payloadGenerator4SQ_bramQ2PipeOut_postBramQ_EMPTY_N) ; + assign WILL_FIRE_RL_cancelDmaReadSQ = CAN_FIRE_RL_cancelDmaReadSQ ; + + // rule RL_waitGracefulStop + assign CAN_FIRE_RL_waitGracefulStop = + cntrl_stateReg == 4'd6 && !cntrl_errFlushDoneReg && + !workReqQ_EMPTY_N && + sq_pendingWorkReqBuf_emptyReg && + rqDmaReadCancelReg && + rqDmaWriteCancelReg && + sqDmaReadCancelReg && + dmaReadCntrl4SQ_gracefulStopReg ; + assign WILL_FIRE_RL_waitGracefulStop = CAN_FIRE_RL_waitGracefulStop ; + + // rule RL_cntrl_resetAndClear + assign CAN_FIRE_RL_cntrl_resetAndClear = cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_cntrl_resetAndClear = cntrl_stateReg == 4'd0 ; + + // rule RL_cntrl_restore + assign CAN_FIRE_RL_cntrl_restore = + cntrl_restoreQ_EMPTY_N && + (cntrl_stateReg == 4'd2 || cntrl_stateReg == 4'd3 || + cntrl_stateReg == 4'd4) ; + assign WILL_FIRE_RL_cntrl_restore = CAN_FIRE_RL_cntrl_restore ; + + // rule RL_cntrl_onINIT + assign CAN_FIRE_RL_cntrl_onINIT = + cntrl_reqQ_EMPTY_N && cntrl_respQ_FULL_N && + cntrl_stateReg == 4'd1 ; + assign WILL_FIRE_RL_cntrl_onINIT = CAN_FIRE_RL_cntrl_onINIT ; + + // rule RL_cntrl_onRTR + assign CAN_FIRE_RL_cntrl_onRTR = + cntrl_reqQ_EMPTY_N && cntrl_respQ_FULL_N && + cntrl_stateReg == 4'd2 ; + assign WILL_FIRE_RL_cntrl_onRTR = CAN_FIRE_RL_cntrl_onRTR ; + + // rule RL_cntrl_onRTS + assign CAN_FIRE_RL_cntrl_onRTS = + cntrl_reqQ_EMPTY_N && cntrl_respQ_FULL_N && + cntrl_stateReg == 4'd3 ; + assign WILL_FIRE_RL_cntrl_onRTS = CAN_FIRE_RL_cntrl_onRTS ; + + // rule RL_cntrl_onSQD + assign CAN_FIRE_RL_cntrl_onSQD = + cntrl_reqQ_EMPTY_N && cntrl_respQ_FULL_N && + cntrl_stateReg == 4'd4 ; + assign WILL_FIRE_RL_cntrl_onSQD = CAN_FIRE_RL_cntrl_onSQD ; + + // rule RL_cntrl_onERR + assign CAN_FIRE_RL_cntrl_onERR = + cntrl_reqQ_EMPTY_N && cntrl_respQ_FULL_N && + cntrl_stateReg == 4'd6 && + cntrl_errFlushDoneReg ; + assign WILL_FIRE_RL_cntrl_onERR = CAN_FIRE_RL_cntrl_onERR ; + + // rule RL_dmaReadCntrl4SQ_resetAndClear + assign CAN_FIRE_RL_dmaReadCntrl4SQ_resetAndClear = cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_dmaReadCntrl4SQ_resetAndClear = cntrl_stateReg == 4'd0 ; + + // rule RL_dmaReadCntrl4SQ_recvReq + assign CAN_FIRE_RL_dmaReadCntrl4SQ_recvReq = + dmaReadCntrl4SQ_reqQ_EMPTY_N && + dmaReadCntrl4SQ_pendingDmaCntrlReqQ_FULL_N && + dmaReadCntrl4SQ_addrChunkSrv_reqQ_FULL_N && + cntrl_stateReg != 4'd0 && + !dmaReadCntrl4SQ_cancelReg_port1__read ; + assign WILL_FIRE_RL_dmaReadCntrl4SQ_recvReq = + CAN_FIRE_RL_dmaReadCntrl4SQ_recvReq ; + + // rule RL_dmaReadCntrl4SQ_issueDmaReq + assign CAN_FIRE_RL_dmaReadCntrl4SQ_issueDmaReq = + dmaReadCntrl4SQ_addrChunkSrv_respQ_EMPTY_N && + dmaReadProxy4SQ_reqQ_FULL_N && + dmaReadCntrl4SQ_pendingDmaCntrlReqQ_EMPTY_N && + dmaReadCntrl4SQ_pendingDmaReadReqQ_FULL_N && + cntrl_stateReg != 4'd0 && + !dmaReadCntrl4SQ_cancelReg_port1__read ; + assign WILL_FIRE_RL_dmaReadCntrl4SQ_issueDmaReq = + CAN_FIRE_RL_dmaReadCntrl4SQ_issueDmaReq ; + + // rule RL_dmaReadCntrl4SQ_setGracefulStop + assign CAN_FIRE_RL_dmaReadCntrl4SQ_setGracefulStop = + dmaReadCntrl4SQ_cancelReg_port1__read && + !dmaReadCntrl4SQ_gracefulStopReg_port1__read && + !dmaReadCntrl4SQ_respQ_EMPTY_N && + !dmaReadCntrl4SQ_pendingDmaReadReqQ_EMPTY_N && + cntrl_stateReg != 4'd0 ; + assign WILL_FIRE_RL_dmaReadCntrl4SQ_setGracefulStop = + CAN_FIRE_RL_dmaReadCntrl4SQ_setGracefulStop ; + + // rule RL_dmaReadCntrl4SQ_recvDmaResp + assign CAN_FIRE_RL_dmaReadCntrl4SQ_recvDmaResp = + dmaReadProxy4SQ_respQ_EMPTY_N && dmaReadCntrl4SQ_respQ_FULL_N && + (!dmaReadProxy4SQ_respQ_D_OUT[1] || + dmaReadCntrl4SQ_pendingDmaReadReqQ_EMPTY_N) && + (!dmaReadProxy4SQ_respQ_D_OUT[0] || + dmaReadCntrl4SQ_pendingDmaReadReqQ_EMPTY_N) && + cntrl_stateReg != 4'd0 ; + assign WILL_FIRE_RL_dmaReadCntrl4SQ_recvDmaResp = + CAN_FIRE_RL_dmaReadCntrl4SQ_recvDmaResp ; + + // rule RL_dmaReadCntrl4SQ_addrChunkSrv_resetAndClear + assign CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_resetAndClear = + cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_resetAndClear = + cntrl_stateReg == 4'd0 ; + + // rule RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq + assign CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq = + dmaReadCntrl4SQ_addrChunkSrv_reqQ_EMPTY_N && + cntrl_stateReg != 4'd0 && + !dmaReadCntrl4SQ_addrChunkSrv_busyReg ; + assign WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq = + CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq ; + + // rule RL_dmaReadCntrl4SQ_addrChunkSrv_genResp + assign CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_genResp = + dmaReadCntrl4SQ_addrChunkSrv_respQ_FULL_N && + cntrl_stateReg != 4'd0 && + dmaReadCntrl4SQ_addrChunkSrv_busyReg ; + assign WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_genResp = + CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_genResp ; + + // rule RL_payloadGenerator4SQ_recvPayloadGenReq + assign CAN_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq = + payloadGenerator4SQ_payloadGenReqQ_EMPTY_N && + payloadGenerator4SQ_pendingGenReqQ_FULL_N && + dmaReadCntrl4SQ_reqQ_FULL_N && + (cntrl_stateReg == 4'd2 || cntrl_stateReg == 4'd3 || + cntrl_stateReg == 4'd4) && + payloadGenerator4SQ_isNormalStateReg ; + assign WILL_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq = + CAN_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq ; + + // rule RL_payloadGenerator4SQ_lastFragAddPadding + assign CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding = + payloadGenerator4SQ_payloadBufQ_rRdPtr + 10'd256 != + payloadGenerator4SQ_payloadBufQ_rWrPtr && + dmaReadCntrl4SQ_respQ_i_notEmpty__42_AND_NOT_d_ETC___d657 && + (cntrl_stateReg == 4'd2 || cntrl_stateReg == 4'd3 || + cntrl_stateReg == 4'd4 || + cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding = + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding ; + + // rule RL_payloadGenerator4SQ_payloadBufQ_portB_read_data + assign CAN_FIRE_RL_payloadGenerator4SQ_payloadBufQ_portB_read_data = 1'd1 ; + assign WILL_FIRE_RL_payloadGenerator4SQ_payloadBufQ_portB_read_data = 1'd1 ; + + // rule RL_payloadGenerator4SQ_bramQ2PipeOut_mkConnectionGetPut + assign CAN_FIRE_RL_payloadGenerator4SQ_bramQ2PipeOut_mkConnectionGetPut = + !payloadGenerator4SQ_payloadBufQ_rRdPtr_read__7_ETC___d487 && + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_FULL_N ; + assign WILL_FIRE_RL_payloadGenerator4SQ_bramQ2PipeOut_mkConnectionGetPut = + CAN_FIRE_RL_payloadGenerator4SQ_bramQ2PipeOut_mkConnectionGetPut ; + + // rule RL_payloadGenerator4SQ_resetAndClear + assign CAN_FIRE_RL_payloadGenerator4SQ_resetAndClear = + cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_payloadGenerator4SQ_resetAndClear = + cntrl_stateReg == 4'd0 ; + + // rule RL_payloadGenerator4SQ_payloadBufQ_portA + assign CAN_FIRE_RL_payloadGenerator4SQ_payloadBufQ_portA = 1'd1 ; + assign WILL_FIRE_RL_payloadGenerator4SQ_payloadBufQ_portA = 1'd1 ; + + // rule RL_payloadGenerator4SQ_payloadBufQ_portB + assign CAN_FIRE_RL_payloadGenerator4SQ_payloadBufQ_portB = 1'd1 ; + assign WILL_FIRE_RL_payloadGenerator4SQ_payloadBufQ_portB = 1'd1 ; + + // rule RL_sq_resetAndClear + assign CAN_FIRE_RL_sq_resetAndClear = cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_sq_resetAndClear = cntrl_stateReg == 4'd0 ; + + // rule RL_sq_retryHandler_handleNotifiedRetryAndTimeOut + assign CAN_FIRE_RL_sq_retryHandler_handleNotifiedRetryAndTimeOut = + (!sq_retryHandler_timeOutTriggerQ_EMPTY_N && + !sq_retryHandler_retryNotificationQ_EMPTY_N || + sq_retryHandler_retryActionQ_FULL_N) && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 ; + assign WILL_FIRE_RL_sq_retryHandler_handleNotifiedRetryAndTimeOut = + CAN_FIRE_RL_sq_retryHandler_handleNotifiedRetryAndTimeOut ; + + // rule RL_sq_retryHandler_recvRetryReq + assign CAN_FIRE_RL_sq_retryHandler_recvRetryReq = + (!sq_retryHandler_retryReqQ_EMPTY_N && + !sq_retryHandler_resetRetryCntQ_EMPTY_N || + sq_retryHandler_retryNotificationQ_FULL_N) && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 ; + assign WILL_FIRE_RL_sq_retryHandler_recvRetryReq = + CAN_FIRE_RL_sq_retryHandler_recvRetryReq ; + + // rule RL_sq_retryHandler_checkTimeOut + assign CAN_FIRE_RL_sq_retryHandler_checkTimeOut = + IF_sq_retryHandler_resetTimeOutQ_notEmpty__176_ETC___d1197 && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 ; + assign WILL_FIRE_RL_sq_retryHandler_checkTimeOut = + CAN_FIRE_RL_sq_retryHandler_checkTimeOut ; + + // rule RL_sq_retryHandler_recvResetReq + assign CAN_FIRE_RL_sq_retryHandler_recvResetReq = + sq_retryHandler_resetReqQ_i_notEmpty__149_AND__ETC___d1155 && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 ; + assign WILL_FIRE_RL_sq_retryHandler_recvResetReq = + CAN_FIRE_RL_sq_retryHandler_recvResetReq ; + + // rule RL_sq_retryHandler_sendRetryResp + assign CAN_FIRE_RL_sq_retryHandler_sendRetryResp = + sq_retryHandler_prepareRetryRespQ_i_notEmpty___ETC___d1411 && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 ; + assign WILL_FIRE_RL_sq_retryHandler_sendRetryResp = + CAN_FIRE_RL_sq_retryHandler_sendRetryResp ; + + // rule RL_sq_retryHandler_waitRetryFinish + assign CAN_FIRE_RL_sq_retryHandler_waitRetryFinish = + cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 && + sq_retryHandler_retryCntrlStateReg == 2'd3 && + sq_retryHandler_retryHandleStateReg == 3'd7 ; + assign WILL_FIRE_RL_sq_retryHandler_waitRetryFinish = + CAN_FIRE_RL_sq_retryHandler_waitRetryFinish ; + + // rule RL_sq_retryHandler_stopScanQ + assign CAN_FIRE_RL_sq_retryHandler_stopScanQ = + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + (cntrl_stateReg == 4'd6 || + sq_retryHandler_pauseRetryHandleReg && + sq_retryHandler_retryCntrlStateReg == 2'd1) ; + assign WILL_FIRE_RL_sq_retryHandler_stopScanQ = + CAN_FIRE_RL_sq_retryHandler_stopScanQ ; + + // rule RL_sq_retryHandler_resetAndClear + assign CAN_FIRE_RL_sq_retryHandler_resetAndClear = cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_sq_retryHandler_resetAndClear = cntrl_stateReg == 4'd0 ; + + // rule RL_sq_newPendingWorkReqPiptOut_flushWR + assign CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_flushWR = + workReqQ_EMPTY_N && + sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_FULL_N && + cntrl_stateReg == 4'd6 ; + assign WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_flushWR = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_flushWR ; + + // rule RL_sq_newPendingWorkReqPiptOut_genPendingWR + assign CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_genPendingWR = + workReqQ_EMPTY_N && + sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_FULL_N && + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_FULL_N && + cntrl_stateReg == 4'd3 && + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg < + y__h42773 ; + assign WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_genPendingWR = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_genPendingWR ; + + // rule RL_sq_newPendingWorkReqPiptOut_checkPendingNewWorkReqCnt + assign CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_checkPendingNewWorkReqCnt = + 1'd1 ; + assign WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_checkPendingNewWorkReqCnt = + 1'd1 ; + + // rule RL_sq_pendingWorkReqPipeOut_outputPipeIn1 + assign CAN_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn1 = + sq_pendingWorkReqPipeOut_pipeMuxOutQ_FULL_N && + sq_pendingWorkReqBuf_scanOutQ_EMPTY_N ; + assign WILL_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn1 = + CAN_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn1 ; + + // rule RL_sq_pendingWorkReqPipeOut_outputPipeIn2 + assign CAN_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn2 = + sq_pendingWorkReqPipeOut_pipeMuxOutQ_FULL_N && + sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_EMPTY_N && + sq_pendingWorkReqBuf_scanStateReg == 2'd0 && + !sq_pendingWorkReqBuf_scanOutQ_EMPTY_N ; + assign WILL_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn2 = + CAN_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn2 ; + + // rule RL_sq_newPendingWorkReqPiptOut_resetAndClear + assign CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_resetAndClear = + cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_resetAndClear = + cntrl_stateReg == 4'd0 ; + + // rule RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_increment + assign CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_increment = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_EMPTY_N && + !sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__read[8] ; + assign WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_increment = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_increment ; + + // rule RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrement + assign CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrement = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_EMPTY_N && + !sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__read[8] ; + assign WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrement = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrement ; + + // rule RL_sq_reqGenSQ_recvWorkReq + assign CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq = + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_EMPTY_N && + (IF_cntrl_stateReg_EQ_3_AND_sq_pendingWorkReqPi_ETC___d1880 || + sq_reqGenSQ_workReqPayloadGenQ_FULL_N) && + (cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 || + cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq = + CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq ; + + // rule RL_sq_reqGenSQ_issuePayloadGenReq + assign CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq = + sq_reqGenSQ_workReqPayloadGenQ_EMPTY_N && + sq_reqGenSQ_workReqPktNumQ_FULL_N && + (!sq_reqGenSQ_workReqPayloadGenQ_D_OUT[3] || + payloadGenerator4SQ_payloadGenReqQ_FULL_N) && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg ; + assign WILL_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + + // rule RL_sq_reqGenSQ_calcPktNum4NewWorkReq + assign CAN_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq = + sq_reqGenSQ_workReqPktNumQ_EMPTY_N && + sq_reqGenSQ_workReqPsnQ_FULL_N && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg ; + assign WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq = + CAN_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq ; + + // rule RL_sq_reqGenSQ_checkPendingWorkReq + assign CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq = + sq_reqGenSQ_workReqCheckQ_i_notEmpty__561_AND__ETC___d2573 && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg ; + assign WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + + // rule RL_sq_reqGenSQ_outputNewPendingWorkReq + assign CAN_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq = + sq_reqGenSQ_workReqOutQ_EMPTY_N && + (!sq_reqGenSQ_workReqOutQ_D_OUT[4] || + !sq_reqGenSQ_workReqOutQ_D_OUT[2] || + sq_reqGenSQ_pendingWorkReqOutQ_FULL_N) && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg ; + assign WILL_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq = + CAN_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq ; + + // rule RL_sq_reqGenSQ_countReqPkt + assign CAN_FIRE_RL_sq_reqGenSQ_countReqPkt = + sq_reqGenSQ_reqCountQ_EMPTY_N && + sq_reqGenSQ_reqHeaderPrepareQ_FULL_N && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg ; + assign WILL_FIRE_RL_sq_reqGenSQ_countReqPkt = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + + // rule RL_sq_reqGenSQ_prepareReqHeaderGen + assign CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen = + sq_reqGenSQ_reqHeaderPrepareQ_EMPTY_N && + sq_reqGenSQ_pendingReqHeaderQ_FULL_N && + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2734 && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg ; + assign WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + + // rule RL_sq_reqGenSQ_genReqHeader + assign CAN_FIRE_RL_sq_reqGenSQ_genReqHeader = + sq_reqGenSQ_pendingReqHeaderQ_EMPTY_N && + sq_reqGenSQ_reqHeaderGenQ_FULL_N && + (!sq_reqGenSQ_pendingReqHeaderQ_D_OUT[544] || + !sq_reqGenSQ_pendingReqHeaderQ_D_OUT[545] || + payloadGenerator4SQ_payloadGenRespQ_EMPTY_N) && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg ; + assign WILL_FIRE_RL_sq_reqGenSQ_genReqHeader = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + + // rule RL_sq_reqGenSQ_errFlushWR + assign CAN_FIRE_RL_sq_reqGenSQ_errFlushWR = + sq_reqGenSQ_workReqPayloadGenQ_EMPTY_N && + (!sq_reqGenSQ_workReqPayloadGenQ_D_OUT[2] || + !sq_reqGenSQ_workReqPayloadGenQ_D_OUT[1] || + sq_reqGenSQ_pendingWorkReqOutQ_FULL_N) && + (cntrl_stateReg == 4'd6 || + cntrl_stateReg == 4'd3 && !sq_reqGenSQ_isNormalStateReg) ; + assign WILL_FIRE_RL_sq_reqGenSQ_errFlushWR = + CAN_FIRE_RL_sq_reqGenSQ_errFlushWR ; + + // rule RL_sq_reqGenSQ_rdmaReqPipeOut_connect + assign CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_connect = + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_EMPTY_N && + sq_reqGenSQ_rdmaReqPipeOut_outputQ_FULL_N && + (!sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_D_OUT[0] || + sq_reqGenSQ_psnReqOutQ_EMPTY_N) ; + assign WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_connect = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_connect ; + + // rule RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_resetAndClear + assign CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_resetAndClear = + cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_resetAndClear = + cntrl_stateReg == 4'd0 ; + + // rule RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_outputHeader + assign CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_outputHeader = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAnd_ETC___d1747 && + cntrl_stateReg != 4'd0 ; + assign WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_outputHeader = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_outputHeader ; + + // rule RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_resetAndClear + assign CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_resetAndClear = + cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_resetAndClear = + cntrl_stateReg == 4'd0 ; + + // rule RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData + assign CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_EMPTY_N && + cntrl_stateReg != 4'd0 && + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg == + 2'd0 ; + assign WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData ; + + // rule RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader + assign CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAnd_ETC___d1808 && + cntrl_stateReg != 4'd0 && + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg == + 2'd1 ; + assign WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader ; + + // rule RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData + assign CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData = + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_FULL_N && + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_EMPTY_N && + cntrl_stateReg != 4'd0 && + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg == + 2'd2 ; + assign WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData ; + + // rule RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_extraLastFrag + assign CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_extraLastFrag = + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_FULL_N && + cntrl_stateReg != 4'd0 && + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg == + 2'd3 ; + assign WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_extraLastFrag = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_extraLastFrag ; + + // rule RL_sq_pendingWorkReq2Q_mkConnectionGetPut + assign CAN_FIRE_RL_sq_pendingWorkReq2Q_mkConnectionGetPut = + !sq_pendingWorkReqBuf_fullReg && + sq_pendingWorkReqBuf_scanStateReg == 2'd0 && + sq_reqGenSQ_pendingWorkReqOutQ_EMPTY_N ; + assign WILL_FIRE_RL_sq_pendingWorkReq2Q_mkConnectionGetPut = + CAN_FIRE_RL_sq_pendingWorkReq2Q_mkConnectionGetPut ; + + // rule RL_sq_respHandleSQ_preBuildRespInfo + assign CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo = + !sq_pendingWorkReqBuf_emptyReg && + respPktPipe_metaDataQ_EMPTY_N && + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_preSta_ETC___d3624 ; + assign WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + + // rule RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq + assign CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq = + sq_reqGenSQ_workReqPsnQ_EMPTY_N && + sq_reqGenSQ_workReqCheckQ_FULL_N && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg ; + assign WILL_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq = + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq ; + + // rule RL_sq_respHandleSQ_preProcRespInfo + assign CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo = + cntrl_stateReg == 4'd3 && !sq_pendingWorkReqBuf_emptyReg && + sq_respHandleSQ_preStageStateReg == 2'd1 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg ; + assign WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + + // rule RL_sq_respHandleSQ_recvRespHeader + assign CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader = + sq_respHandleSQ_incomingRespQ_EMPTY_N && + sq_respHandleSQ_pendingRespQ_FULL_N && + (cntrl_preStateReg != 4'd3 || cntrl_stateReg != 4'd3 || + sq_retryHandler_resetReqQ_FULL_N) && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + + // rule RL_sq_respHandleSQ_handleRespByType + assign CAN_FIRE_RL_sq_respHandleSQ_handleRespByType = + sq_respHandleSQ_pendingRespQ_EMPTY_N && + sq_respHandleSQ_pendingPermQueryQ_FULL_N && + (sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd9 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd10 || + sq_retryHandler_retryReqQ_FULL_N) && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_sq_respHandleSQ_handleRespByType = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + + // rule RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp + assign CAN_FIRE_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp = + sq_respHandleSQ_pendingPermQueryQ_EMPTY_N && + sq_respHandleSQ_pendingRetryCheckQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp = + CAN_FIRE_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp ; + + // rule RL_sq_respHandleSQ_checkRetryErr + assign CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr = + sq_respHandleSQ_pendingRetryCheckQ_EMPTY_N && + sq_respHandleSQ_pendingPermCheckQ_FULL_N && + sq_respHandleSQ_pendingRetryCheckQ_first__949__ETC___d5046 && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr = + CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr ; + + // rule RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp + assign CAN_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp = + sq_respHandleSQ_pendingPermCheckQ_EMPTY_N && + sq_respHandleSQ_pendingAddrCalcQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp = + CAN_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp ; + + // rule RL_sq_respHandleSQ_calcReadRespAddr + assign CAN_FIRE_RL_sq_respHandleSQ_calcReadRespAddr = + sq_respHandleSQ_pendingAddrCalcQ_EMPTY_N && + sq_respHandleSQ_pendingLenCalcQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_sq_respHandleSQ_calcReadRespAddr = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespAddr ; + + // rule RL_sq_respHandleSQ_calcReadRespLen + assign CAN_FIRE_RL_sq_respHandleSQ_calcReadRespLen = + sq_respHandleSQ_pendingLenCalcQ_EMPTY_N && + sq_respHandleSQ_pendingSpaceCalcQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_sq_respHandleSQ_calcReadRespLen = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespLen ; + + // rule RL_sq_respHandleSQ_calcEnoughDmaSpace + assign CAN_FIRE_RL_sq_respHandleSQ_calcEnoughDmaSpace = + sq_respHandleSQ_pendingSpaceCalcQ_EMPTY_N && + sq_respHandleSQ_pendingLenCheckQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_sq_respHandleSQ_calcEnoughDmaSpace = + CAN_FIRE_RL_sq_respHandleSQ_calcEnoughDmaSpace ; + + // rule RL_sq_respHandleSQ_checkReadRespLen + assign CAN_FIRE_RL_sq_respHandleSQ_checkReadRespLen = + sq_respHandleSQ_pendingLenCheckQ_EMPTY_N && + sq_respHandleSQ_pendingDmaReqQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_sq_respHandleSQ_checkReadRespLen = + CAN_FIRE_RL_sq_respHandleSQ_checkReadRespLen ; + + // rule RL_sq_respHandleSQ_issueDmaReq + assign CAN_FIRE_RL_sq_respHandleSQ_issueDmaReq = + sq_respHandleSQ_pendingDmaReqQ_EMPTY_N && + sq_respHandleSQ_pendingWorkCompQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq = + CAN_FIRE_RL_sq_respHandleSQ_issueDmaReq ; + + // rule RL_sq_respHandleSQ_discardGhostResp + assign CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp = + respPktPipe_metaDataQ_EMPTY_N && + sq_respHandleSQ_incomingRespQ_FULL_N && + cntrl_stateReg_EQ_3_AND_NOT_sq_respHandleSQ_re_ETC___d5647 && + sq_pendingWorkReqBuf_emptyReg ; + assign WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp = + CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp ; + + // rule RL_sq_respHandleSQ_checkTimeOutErr + assign CAN_FIRE_RL_sq_respHandleSQ_checkTimeOutErr = + sq_retryHandler_timeOutNotificationQ_EMPTY_N && + cntrl_stateReg_EQ_3_AND_NOT_sq_respHandleSQ_re_ETC___d5647 ; + assign WILL_FIRE_RL_sq_respHandleSQ_checkTimeOutErr = + CAN_FIRE_RL_sq_respHandleSQ_checkTimeOutErr ; + + // rule RL_sq_retryHandler_initRetryCntAndTimeOutTimer + assign CAN_FIRE_RL_sq_retryHandler_initRetryCntAndTimeOutTimer = + statusSQ_comm_isRTR2RTS ; + assign WILL_FIRE_RL_sq_retryHandler_initRetryCntAndTimeOutTimer = + statusSQ_comm_isRTR2RTS ; + + // rule RL_sq_respHandleSQ_errFlushIncomingResp + assign CAN_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp = + respPktPipe_metaDataQ_EMPTY_N && + sq_respHandleSQ_incomingRespQ_FULL_N && + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_recvEr_ETC___d5683 ; + assign WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp = + CAN_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp ; + + // rule RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload + assign CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload = + (!respPktPipe_metaDataQ_EMPTY_N || + sq_respHandleSQ_incomingRespQ_FULL_N) && + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_retryF_ETC___d5690 ; + assign WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload = + CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload ; + + // rule RL_resetAndClear + assign CAN_FIRE_RL_resetAndClear = cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_resetAndClear = cntrl_stateReg == 4'd0 ; + + // rule RL_sq_respHandleSQ_retryFlushDone + assign CAN_FIRE_RL_sq_respHandleSQ_retryFlushDone = + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_retryF_ETC___d5690 ; + assign WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone = + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_retryF_ETC___d5690 ; + + // rule RL_sq_retryHandler_initRetry + assign CAN_FIRE_RL_sq_retryHandler_initRetry = + cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 && + sq_retryHandler_pauseRetryHandleReg && + sq_retryHandler_retryCntrlStateReg == 2'd2 ; + assign WILL_FIRE_RL_sq_retryHandler_initRetry = + CAN_FIRE_RL_sq_retryHandler_initRetry ; + + // rule RL_sq_retryHandler_startPreRetry + assign CAN_FIRE_RL_sq_retryHandler_startPreRetry = + (sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + !sq_retryHandler_pauseRetryHandleReg && + sq_retryHandler_retryHandleStateReg == 3'd1 ; + assign WILL_FIRE_RL_sq_retryHandler_startPreRetry = + CAN_FIRE_RL_sq_retryHandler_startPreRetry ; + + // rule RL_sq_retryHandler_rnrCheck + assign CAN_FIRE_RL_sq_retryHandler_rnrCheck = + cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 && + !sq_retryHandler_pauseRetryHandleReg && + sq_retryHandler_retryHandleStateReg == 3'd2 ; + assign WILL_FIRE_RL_sq_retryHandler_rnrCheck = + CAN_FIRE_RL_sq_retryHandler_rnrCheck ; + + // rule RL_sq_retryHandler_rnrWait + assign CAN_FIRE_RL_sq_retryHandler_rnrWait = + cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 && + !sq_retryHandler_pauseRetryHandleReg && + sq_retryHandler_retryHandleStateReg == 3'd3 ; + assign WILL_FIRE_RL_sq_retryHandler_rnrWait = + CAN_FIRE_RL_sq_retryHandler_rnrWait ; + + // rule RL_sq_retryHandler_checkPartialRetry + assign CAN_FIRE_RL_sq_retryHandler_checkPartialRetry = + sq_pendingWorkReqBuf_scanStateReg == 2'd1 && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + !sq_retryHandler_pauseRetryHandleReg && + sq_retryHandler_retryHandleStateReg == 3'd4 ; + assign WILL_FIRE_RL_sq_retryHandler_checkPartialRetry = + CAN_FIRE_RL_sq_retryHandler_checkPartialRetry ; + + // rule RL_sq_retryHandler_modifyPartialRetryWR + assign CAN_FIRE_RL_sq_retryHandler_modifyPartialRetryWR = + sq_pendingWorkReqBuf_scanStateReg == 2'd1 && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + !sq_retryHandler_pauseRetryHandleReg && + sq_retryHandler_retryHandleStateReg == 3'd5 ; + assign WILL_FIRE_RL_sq_retryHandler_modifyPartialRetryWR = + CAN_FIRE_RL_sq_retryHandler_modifyPartialRetryWR ; + + // rule RL_sq_retryHandler_startRetry + assign CAN_FIRE_RL_sq_retryHandler_startRetry = + sq_pendingWorkReqBuf_scanStateReg == 2'd1 && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + !sq_retryHandler_pauseRetryHandleReg && + sq_retryHandler_retryHandleStateReg == 3'd6 ; + assign WILL_FIRE_RL_sq_retryHandler_startRetry = + CAN_FIRE_RL_sq_retryHandler_startRetry ; + + // rule RL_sq_retryHandler_waitRetryDone + assign CAN_FIRE_RL_sq_retryHandler_waitRetryDone = + cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 && + !sq_retryHandler_pauseRetryHandleReg && + sq_retryHandler_retryHandleStateReg == 3'd7 ; + assign WILL_FIRE_RL_sq_retryHandler_waitRetryDone = + CAN_FIRE_RL_sq_retryHandler_waitRetryDone ; + + // rule RL_sq_retryHandler_handleRetryCntUpdate + assign CAN_FIRE_RL_sq_retryHandler_handleRetryCntUpdate = + sq_retryHandler_updateRetryCntQ_i_notEmpty__30_ETC___d1313 && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + !sq_retryHandler_pauseRetryHandleReg ; + assign WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate = + CAN_FIRE_RL_sq_retryHandler_handleRetryCntUpdate ; + + // rule RL_sq_retryHandler_handleRetryAction + assign CAN_FIRE_RL_sq_retryHandler_handleRetryAction = + sq_retryHandler_retryActionQ_EMPTY_N && + sq_retryHandler_updateRetryCntQ_FULL_N && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 ; + assign WILL_FIRE_RL_sq_retryHandler_handleRetryAction = + CAN_FIRE_RL_sq_retryHandler_handleRetryAction ; + + // rule RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq + assign CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq = + !sq_pendingWorkReqBuf_emptyReg && + sq_respHandleSQ_incomingRespQ_FULL_N && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_preSta_ETC___d4329 ; + assign WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + + // rule RL_sq_respHandleSQ_errFlushWorkReq + assign CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq = + !sq_pendingWorkReqBuf_emptyReg && + (sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + sq_respHandleSQ_incomingRespQ_FULL_N && + (cntrl_stateReg == 4'd3 && + (sq_respHandleSQ_recvErrRespReg || + sq_respHandleSQ_errOccurredReg) || + cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + + // rule RL_sq_pendingWorkReqBuf_check + assign CAN_FIRE_RL_sq_pendingWorkReqBuf_check = + CAN_FIRE_RL_sq_pendingWorkReqBuf_canonicalize ; + assign WILL_FIRE_RL_sq_pendingWorkReqBuf_check = + CAN_FIRE_RL_sq_pendingWorkReqBuf_canonicalize ; + + // rule RL_sq_pendingWorkReqBuf_fifoMode + assign CAN_FIRE_RL_sq_pendingWorkReqBuf_fifoMode = + !CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll && + sq_pendingWorkReqBuf_scanStateReg == 2'd0 ; + assign WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode = + CAN_FIRE_RL_sq_pendingWorkReqBuf_fifoMode ; + + // rule RL_sq_pendingWorkReqBuf_preScanMode + assign CAN_FIRE_RL_sq_pendingWorkReqBuf_preScanMode = + !CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll && + sq_pendingWorkReqBuf_scanStateReg == 2'd1 ; + assign WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode = + CAN_FIRE_RL_sq_pendingWorkReqBuf_preScanMode ; + + // rule RL_sq_pendingWorkReqBuf_scanNext + assign CAN_FIRE_RL_sq_pendingWorkReqBuf_scanNext = + sq_pendingWorkReqBuf_scanOutQ_FULL_N && + !CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 ; + assign WILL_FIRE_RL_sq_pendingWorkReqBuf_scanNext = + CAN_FIRE_RL_sq_pendingWorkReqBuf_scanNext ; + + // rule RL_sq_pendingWorkReqBuf_scanModeStateChange + assign CAN_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange = + !CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 ; + assign WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange = + CAN_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange ; + + // rule RL_sq_newPendingWorkReqPiptOut_decrPendingNewWorkReqCnt + assign CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_decrPendingNewWorkReqCnt = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_FULL_N && + cntrl_stateReg == 4'd3 && + sq_pendingWorkReqBuf_popReg_port1__read ; + assign WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_decrPendingNewWorkReqCnt = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_decrPendingNewWorkReqCnt ; + + // rule RL_sq_pendingWorkReqBuf_clearAll + always@(cntrl_stateReg or sq_pendingWorkReqBuf_clearReg) + begin + case (cntrl_stateReg) + 4'd0: CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll = 1'd1; + default: CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll = + sq_pendingWorkReqBuf_clearReg; + endcase + end + assign WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll = + CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + + // rule RL_sq_pendingWorkReqBuf_canonicalize + assign CAN_FIRE_RL_sq_pendingWorkReqBuf_canonicalize = + !CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + assign WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize = + CAN_FIRE_RL_sq_pendingWorkReqBuf_canonicalize ; + + // rule RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_write + assign CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_write = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__read[8] ; + assign WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_write = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_write ; + + // rule RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrAndDecr + assign CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrAndDecr = + !sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__read[8] ; + assign WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrAndDecr = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrAndDecr ; + + // rule RL_sq_workCompGenSQ_start + assign CAN_FIRE_RL_sq_workCompGenSQ_start = + cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd0 ; + assign WILL_FIRE_RL_sq_workCompGenSQ_start = + CAN_FIRE_RL_sq_workCompGenSQ_start ; + + // rule RL_sq_workCompGenSQ_recvWorkCompGenReqSQ + assign CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ = + IF_sq_reqGenSQ_workCompGenReqOutQ_notEmpty__69_ETC___d5707 && + (cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 || + cntrl_stateReg == 4'd6 || + sq_workCompGenSQ_workCompGenStateReg == 2'd2) ; + assign WILL_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + + // rule RL_sq_reqGenSQ_resetAndClear + assign CAN_FIRE_RL_sq_reqGenSQ_resetAndClear = cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_sq_reqGenSQ_resetAndClear = cntrl_stateReg == 4'd0 ; + + // rule RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp + assign CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp = + sq_reqGenSQ_reqHeaderGenQ_EMPTY_N && + IF_sq_reqGenSQ_reqHeaderGenQ_first__570_BIT_62_ETC___d3580 && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg ; + assign WILL_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + + // rule RL_sq_respHandleSQ_resetAndClear + assign CAN_FIRE_RL_sq_respHandleSQ_resetAndClear = cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_sq_respHandleSQ_resetAndClear = cntrl_stateReg == 4'd0 ; + + // rule RL_sq_respHandleSQ_genWorkCompSQ + assign CAN_FIRE_RL_sq_respHandleSQ_genWorkCompSQ = + sq_respHandleSQ_pendingWorkCompQ_EMPTY_N && + (!sq_respHandleSQ_pendingWorkCompQ_D_OUT[633] && + !sq_respHandleSQ_pendingWorkCompQ_D_OUT[31] || + sq_respHandleSQ_workCompGenReqOutQ_FULL_N) && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign WILL_FIRE_RL_sq_respHandleSQ_genWorkCompSQ = + CAN_FIRE_RL_sq_respHandleSQ_genWorkCompSQ ; + + // rule RL_sq_respHandleSQ_canonicalize + assign CAN_FIRE_RL_sq_respHandleSQ_canonicalize = + cntrl_stateReg == 4'd3 && + (sq_respHandleSQ_hasInternalErrReg_port1__read || + sq_respHandleSQ_hasTimeOutErrReg_port1__read) ; + assign WILL_FIRE_RL_sq_respHandleSQ_canonicalize = + CAN_FIRE_RL_sq_respHandleSQ_canonicalize ; + + // rule RL_sq_workCompGenSQ_genPendingWorkCompSQ + assign CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ = + sq_workCompGenSQ_pendingWorkCompQ4SQ_EMPTY_N && + sq_workCompGenSQ_dmaWaitingQ_i_notFull__721_AN_ETC___d5767 && + (cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 || + cntrl_stateReg == 4'd6 || + sq_workCompGenSQ_workCompGenStateReg == 2'd2) ; + assign WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + + // rule RL_cntrl_onReset + assign CAN_FIRE_RL_cntrl_onReset = + cntrl_reqQ_EMPTY_N && cntrl_respQ_FULL_N && + cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_cntrl_onReset = CAN_FIRE_RL_cntrl_onReset ; + + // rule RL_cntrl_onCreate + assign CAN_FIRE_RL_cntrl_onCreate = + cntrl_reqQ_EMPTY_N && cntrl_respQ_FULL_N && + cntrl_stateReg == 4'd8 ; + assign WILL_FIRE_RL_cntrl_onCreate = CAN_FIRE_RL_cntrl_onCreate ; + + // rule RL_sq_workCompGenSQ_waitDmaDoneSQ + assign CAN_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ = + sq_workCompGenSQ_dmaWaitingQ_EMPTY_N && + sq_workCompGenSQ_genWorkCompQ_FULL_N && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 ; + assign WILL_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ = + CAN_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ ; + + // rule RL_sq_workCompGenSQ_genWorkCompSQ + assign CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ = + sq_workCompGenSQ_genWorkCompQ_EMPTY_N && + IF_sq_workCompGenSQ_genWorkCompQ_first__802_BI_ETC___d5808 && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 ; + assign WILL_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ = + CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ ; + + // rule RL_cntrl_updatePreState + assign CAN_FIRE_RL_cntrl_updatePreState = 1'd1 ; + assign WILL_FIRE_RL_cntrl_updatePreState = 1'd1 ; + + // rule RL_sq_workCompGenSQ_noDmaWaitSQ + assign CAN_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ = + sq_workCompGenSQ_dmaWaitingQ_EMPTY_N && + sq_workCompGenSQ_genWorkCompQ_FULL_N && + (cntrl_stateReg == 4'd6 || + sq_workCompGenSQ_workCompGenStateReg == 2'd2) ; + assign WILL_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ = + CAN_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ ; + + // rule RL_sq_workCompGenSQ_errFlushSQ + assign CAN_FIRE_RL_sq_workCompGenSQ_errFlushSQ = + sq_workCompGenSQ_genWorkCompQ_EMPTY_N && + (sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg || + sq_workCompGenSQ_workCompOutQ4SQ_FULL_N) && + (cntrl_stateReg == 4'd6 || + sq_workCompGenSQ_workCompGenStateReg == 2'd2) ; + assign WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ = + CAN_FIRE_RL_sq_workCompGenSQ_errFlushSQ ; + + // rule RL_sq_workCompGenSQ_resetAndClear + assign CAN_FIRE_RL_sq_workCompGenSQ_resetAndClear = cntrl_stateReg == 4'd0 ; + assign WILL_FIRE_RL_sq_workCompGenSQ_resetAndClear = + cntrl_stateReg == 4'd0 ; + + // rule RL_cntrl_canonicalize + assign CAN_FIRE_RL_cntrl_canonicalize = 1'd1 ; + assign WILL_FIRE_RL_cntrl_canonicalize = 1'd1 ; + + // inputs to muxes for submodule ports + assign MUX_cntrl_nextStateReg_port0__write_1__SEL_1 = + WILL_FIRE_RL_cntrl_onReset && cntrl_reqQ_D_OUT[300:299] == 2'd0 ; + assign MUX_cntrl_nextStateReg_port0__write_1__SEL_2 = + WILL_FIRE_RL_cntrl_onCreate && + cntrl_reqQ_D_OUT[300:299] == 2'd2 && + cntrl_reqQ_D_OUT[216:213] == 4'd1 && + { 21'd0, + cntrl_reqQ_D_OUT[221:220], + 2'd0, + cntrl_reqQ_D_OUT[217] } == + 26'd25 ; + assign MUX_cntrl_nextStateReg_port0__write_1__SEL_3 = + WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] == 2'd2 && + cntrl_reqQ_D_OUT[216:213] == 4'd2 && + { 5'd0, + cntrl_reqQ_D_OUT[237], + 2'd0, + cntrl_reqQ_D_OUT[234], + 1'd0, + cntrl_reqQ_D_OUT[232], + 2'd0, + cntrl_reqQ_D_OUT[229], + 3'd0, + cntrl_reqQ_D_OUT[225], + 7'd0, + cntrl_reqQ_D_OUT[217] } == + 26'd1216769 ; + assign MUX_cntrl_nextStateReg_port0__write_1__SEL_4 = + WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] == 2'd2 && + (cntrl_reqQ_D_OUT[216:213] == 4'd6 && cntrl_reqQ_D_OUT[217] || + cntrl_reqQ_D_OUT[216:213] == 4'd3 && + { 9'd0, + cntrl_reqQ_D_OUT[233], + 2'd0, + cntrl_reqQ_D_OUT[230], + 1'd0, + cntrl_reqQ_D_OUT[228:226], + 8'd0, + cntrl_reqQ_D_OUT[217] } == + 26'd77313) ; + assign MUX_cntrl_nextStateReg_port0__write_1__SEL_5 = + WILL_FIRE_RL_cntrl_onRTS && cntrl_reqQ_D_OUT[300:299] == 2'd2 && + (cntrl_reqQ_D_OUT[216:213] == 4'd4 || + cntrl_reqQ_D_OUT[216:213] == 4'd6) && + cntrl_reqQ_D_OUT[217] ; + assign MUX_cntrl_nextStateReg_port0__write_1__SEL_6 = + WILL_FIRE_RL_cntrl_onSQD && cntrl_reqQ_D_OUT[300:299] == 2'd2 && + (cntrl_reqQ_D_OUT[216:213] == 4'd6 || + cntrl_reqQ_D_OUT[216:213] == 4'd3) && + cntrl_reqQ_D_OUT[217] ; + assign MUX_cntrl_nextStateReg_port0__write_1__SEL_7 = + WILL_FIRE_RL_cntrl_onERR && + (cntrl_reqQ_D_OUT[300:299] == 2'd1 || + cntrl_reqQ_D_OUT[300:299] == 2'd2 && + cntrl_reqQ_D_OUT[216:213] == 4'd0 && + cntrl_reqQ_D_OUT[217]) ; + assign MUX_cntrl_npsnReg_write_1__SEL_1 = + WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] == 2'd2 ; + assign MUX_dmaReadCntrl4SQ_addrChunkSrv_isFirstReg_write_1__SEL_1 = + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_genResp || + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_resetAndClear ; + assign MUX_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrAndDecr && + (sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port1__read && + !sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port1__read || + !sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port1__read && + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port1__read) ; + assign MUX_sq_pendingWorkReqBuf_headReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_pendingWorkReqBuf_scanNext || + WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode ; + assign MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read ; + assign MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__SEL_2 = + WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_scanStartReg_port1__read ; + assign MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__SEL_3 = + WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + (sq_pendingWorkReqBuf_scanStopReg_port1__read || + sq_pendingWorkReqBuf_preScanRestartReg_port1__read || + sq_pendingWorkReqBuf_scanDoneReg_port1__read) ; + assign MUX_sq_reqGenSQ_isNormalStateReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp && + (sq_reqGenSQ_reqHeaderGenQ_D_OUT[26] && + sq_reqGenSQ_reqHeaderGenQ_D_OUT[24] || + !sq_reqGenSQ_reqHeaderGenQ_D_OUT[620]) ; + assign MUX_sq_reqGenSQ_pendingWorkReqOutQ_enq_1__SEL_1 = + WILL_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq && + sq_reqGenSQ_workReqOutQ_D_OUT[4] && + sq_reqGenSQ_workReqOutQ_D_OUT[2] ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_enq_1__SEL_1 = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader && + (!sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg || + !sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0]) ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader && + !sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0] ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0] ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__SEL_2 = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData && + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_D_OUT[0] ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__SEL_4 = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_extraLastFrag || + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_resetAndClear ; + assign MUX_sq_respHandleSQ_hasInternalErrReg_port0__write_1__SEL_1 = + WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd0 ; + assign MUX_sq_respHandleSQ_hasTimeOutErrReg_port0__write_1__SEL_1 = + WILL_FIRE_RL_sq_respHandleSQ_checkTimeOutErr && + sq_retryHandler_timeOutNotificationQ_D_OUT ; + assign MUX_sq_respHandleSQ_incomingRespQ_enq_1__SEL_1 = + WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + respPktPipe_metaDataQ_EMPTY_N ; + assign MUX_sq_respHandleSQ_preRdmaOpCodeReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3 && + !sq_respHandleSQ_errOccurredReg && + cntrl_stateReg != 4'd6 ; + assign MUX_sq_respHandleSQ_preStageStateReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_respHandleSQ_resetAndClear || + WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload || + WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign MUX_sq_respHandleSQ_recvRetryRespReg_write_1__SEL_2 = + WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_retryHandler_retryHandleStateReg == 3'd7 ; + assign MUX_sq_retryHandler_disableRetryCntReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + !sq_retryHandler_updateRetryCntQ_D_OUT[3] ; + assign MUX_sq_retryHandler_disableTimeOutReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_retryHandler_checkTimeOut && + sq_retryHandler_resetTimeOutQ_notEmpty__176_OR_ETC___d1209 ; + assign MUX_sq_retryHandler_isRnrWaitCntZeroReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_retryHandler_rnrWait && + !sq_retryHandler_isRnrWaitCntZeroReg ; + assign MUX_sq_retryHandler_isTimeOutCntHighPartZeroReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_retryHandler_checkTimeOut && + (sq_retryHandler_resetTimeOutQ_EMPTY_N || + sq_retryHandler_retryCntrlStateReg != 2'd0 || + !sq_retryHandler_disableTimeOutReg && + !sq_pendingWorkReqBuf_emptyReg) ; + assign MUX_sq_retryHandler_pauseRetryHandleReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] ; + assign MUX_sq_retryHandler_retryCntReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + IF_sq_retryHandler_updateRetryCntQ_first__309__ETC___d1340 ; + assign MUX_sq_retryHandler_retryCntrlStateReg_port0__write_1__SEL_1 = + WILL_FIRE_RL_sq_retryHandler_waitRetryFinish && + sq_pendingWorkReqBuf_scanStateReg == 2'd0 ; + assign MUX_sq_retryHandler_retryHandleStateReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_retryHandler_rnrWait && + sq_retryHandler_isRnrWaitCntZeroReg ; + assign MUX_sq_retryHandler_retryHandleStateReg_write_1__SEL_2 = + WILL_FIRE_RL_sq_retryHandler_waitRetryDone && + sq_pendingWorkReqBuf_scanStateReg == 2'd0 ; + assign MUX_sq_retryHandler_rnrCntReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + (sq_retryHandler_updateRetryCntQ_D_OUT[2:0] == 3'd1 && + !sq_retryHandler_disableRetryCntReg && + sq_retryHandler_rnrCntReg != 3'd0 || + !sq_retryHandler_updateRetryCntQ_D_OUT[3]) ; + assign MUX_sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_write_1__SEL_1 = + WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg ; + assign MUX_sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_write_1__SEL_2 = + WILL_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ && + !sq_workCompGenSQ_genWorkCompQ_D_OUT[1] ; + assign MUX_sq_workCompGenSQ_workCompOutQ4SQ_enq_1__SEL_1 = + WILL_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ && + (sq_workCompGenSQ_genWorkCompQ_D_OUT[0] || + !sq_workCompGenSQ_genWorkCompQ_D_OUT[1]) ; + assign MUX_cntrl_nextStateReg_port0__write_1__VAL_2 = + { 1'd1, cntrl_reqQ_D_OUT[216:213] } ; + assign MUX_cntrl_nextStateReg_port0__write_1__VAL_7 = + (cntrl_reqQ_D_OUT[300:299] == 2'd1) ? + 5'd16 : + { 1'd1, cntrl_reqQ_D_OUT[216:213] } ; + assign MUX_cntrl_respQ_enq_1__VAL_1 = + { cntrl_reqQ_D_OUT[300:299] == 2'd0, + cntrl_reqQ_D_OUT[266:243], + cntrl_reqQ_D_OUT[298:267], + cntrl_reqQ_D_OUT[216:0] } ; + assign MUX_cntrl_respQ_enq_1__VAL_2 = + { cntrl_reqQ_D_OUT[300:299] != 2'd2 || + cntrl_reqQ_D_OUT[216:213] == 4'd1 && + { 21'd0, + cntrl_reqQ_D_OUT[221:220], + 2'd0, + cntrl_reqQ_D_OUT[217] } == + 26'd25, + cntrl_reqQ_D_OUT[266:243], + cntrl_reqQ_D_OUT[298:267], + cntrl_reqQ_D_OUT[216:213], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d60, + cntrl_reqQ_D_OUT[208:0] } ; + assign MUX_cntrl_respQ_enq_1__VAL_3 = + { cntrl_reqQ_D_OUT[300:299] != 2'd2 || + cntrl_reqQ_D_OUT[216:213] == 4'd2 && + { 5'd0, + cntrl_reqQ_D_OUT[237], + 2'd0, + cntrl_reqQ_D_OUT[234], + 1'd0, + cntrl_reqQ_D_OUT[232], + 2'd0, + cntrl_reqQ_D_OUT[229], + 3'd0, + cntrl_reqQ_D_OUT[225], + 7'd0, + cntrl_reqQ_D_OUT[217] } == + 26'd1216769, + cntrl_reqQ_D_OUT[266:243], + cntrl_reqQ_D_OUT[298:267], + cntrl_reqQ_D_OUT[216:213], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d60, + cntrl_reqQ_D_OUT[208:102], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d95, + cntrl_reqQ_D_OUT[93:54], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d99, + cntrl_reqQ_D_OUT[37:0] } ; + assign MUX_cntrl_respQ_enq_1__VAL_4 = + { cntrl_reqQ_D_OUT[300:299] != 2'd2 || + cntrl_reqQ_D_OUT[216:213] == 4'd6 && cntrl_reqQ_D_OUT[217] || + cntrl_reqQ_D_OUT[216:213] == 4'd3 && + { 9'd0, + cntrl_reqQ_D_OUT[233], + 2'd0, + cntrl_reqQ_D_OUT[230], + 1'd0, + cntrl_reqQ_D_OUT[228:226], + 8'd0, + cntrl_reqQ_D_OUT[217] } == + 26'd77313, + cntrl_reqQ_D_OUT[266:243], + cntrl_reqQ_D_OUT[298:267], + cntrl_reqQ_D_OUT[216:213], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d60, + cntrl_reqQ_D_OUT[208:102], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d95, + cntrl_reqQ_D_OUT[93:54], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d99, + cntrl_reqQ_D_OUT[37:0] } ; + assign MUX_cntrl_respQ_enq_1__VAL_5 = + { cntrl_reqQ_D_OUT[300:299] != 2'd2 || + (cntrl_reqQ_D_OUT[216:213] == 4'd4 || + cntrl_reqQ_D_OUT[216:213] == 4'd6) && + cntrl_reqQ_D_OUT[217], + cntrl_reqQ_D_OUT[266:243], + cntrl_reqQ_D_OUT[298:267], + cntrl_reqQ_D_OUT[216:213], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d60, + cntrl_reqQ_D_OUT[208:150], + x__h6205, + cntrl_reqQ_D_OUT[125:102], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d95, + cntrl_reqQ_D_OUT[93:54], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d99, + cntrl_reqQ_D_OUT[37], + x__h6243, + cntrl_reqQ_D_OUT[28:16], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d155, + cntrl_reqQ_D_OUT[4:0] } ; + assign MUX_cntrl_respQ_enq_1__VAL_6 = + { cntrl_reqQ_D_OUT[300:299] != 2'd2 || + (cntrl_reqQ_D_OUT[216:213] == 4'd6 || + cntrl_reqQ_D_OUT[216:213] == 4'd3) && + cntrl_reqQ_D_OUT[217], + cntrl_reqQ_D_OUT[266:243], + cntrl_reqQ_D_OUT[298:267], + cntrl_reqQ_D_OUT[216:213], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d60, + cntrl_reqQ_D_OUT[208:150], + x__h6205, + cntrl_reqQ_D_OUT[125:102], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d95, + cntrl_reqQ_D_OUT[93:54], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d99, + cntrl_reqQ_D_OUT[37], + x__h6243, + cntrl_reqQ_D_OUT[28:16], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d155, + cntrl_reqQ_D_OUT[4:0] } ; + assign MUX_cntrl_respQ_enq_1__VAL_7 = + { cntrl_reqQ_D_OUT[300:299] != 2'd2 || + cntrl_reqQ_D_OUT[216:213] == 4'd0 && cntrl_reqQ_D_OUT[217], + cntrl_reqQ_D_OUT[266:243], + cntrl_reqQ_D_OUT[298:267], + cntrl_reqQ_D_OUT[216:213], + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d60, + cntrl_reqQ_D_OUT[208:0] } ; + assign MUX_dmaReadCntrl4SQ_addrChunkSrv_busyReg_write_1__VAL_1 = + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[24:23] != 2'd0 || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[22] || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[21:20] != 2'd0 || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[19] || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[18:17] != 2'd0 || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[16] || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[15:14] != 2'd0 || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[13] || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[12:11] != 2'd0 || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[10] || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[9:8] != 2'd0 || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[7] || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[6:5] != 2'd0 || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[4:3] != 2'd0 || + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[2:1] != 2'd0 ; + always@(dmaReadCntrl4SQ_addrChunkSrv_pmtuReg or + dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg) + begin + case (dmaReadCntrl4SQ_addrChunkSrv_pmtuReg) + 3'd1: + MUX_dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg_write_1__VAL_2 = + { dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg[63:8] + 56'd1, + dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg[7:0] }; + 3'd2: + MUX_dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg_write_1__VAL_2 = + { dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg[63:9] + 55'd1, + dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg[8:0] }; + 3'd3: + MUX_dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg_write_1__VAL_2 = + { dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg[63:10] + 54'd1, + dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg[9:0] }; + 3'd4: + MUX_dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg_write_1__VAL_2 = + { dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg[63:11] + 53'd1, + dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg[10:0] }; + default: MUX_dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg_write_1__VAL_2 = + { dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg[63:12] + 52'd1, + dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg[11:0] }; + endcase + end + assign MUX_dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_write_1__VAL_1 = + tmpPktNum__h9229 + + ((!pmtuResidue__h9230[11] && pmtuResidue__h9230[10:9] == 2'd0 && + !pmtuResidue__h9230[8] && + pmtuResidue__h9230[7:6] == 2'd0 && + !pmtuResidue__h9230[5] && + pmtuResidue__h9230[4:3] == 2'd0 && + !pmtuResidue__h9230[2] && + pmtuResidue__h9230[1:0] == 2'd0) ? + 25'd0 : + 25'd1) ; + assign MUX_dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_write_1__VAL_2 = + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg - 25'd1 ; + assign MUX_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg_write_1__VAL_1 = + (sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port1__read && + !sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port1__read) ? + x__h41815 : + x__h41903 ; + assign MUX_sq_pendingWorkReqBuf_deqPtrReg_write_1__VAL_1 = + sq_pendingWorkReqBuf_popReg_port1__read ? + sq_pendingWorkReqBuf_deqPtrReg + 2'd1 : + sq_pendingWorkReqBuf_deqPtrReg ; + assign MUX_sq_pendingWorkReqBuf_emptyReg_write_1__VAL_1 = + (!sq_pendingWorkReqBuf_pushReg_port1__read[679] && + sq_pendingWorkReqBuf_popReg_port1__read) ? + sq_pendingWorkReqBuf_itemCnt_Q_OUT[2:1] == 2'd0 && + sq_pendingWorkReqBuf_itemCnt_Q_OUT[0] : + (!sq_pendingWorkReqBuf_pushReg_port1__read[679] || + sq_pendingWorkReqBuf_popReg_port1__read) && + sq_pendingWorkReqBuf_emptyReg ; + assign MUX_sq_pendingWorkReqBuf_enqPtrReg_write_1__VAL_1 = + sq_pendingWorkReqBuf_pushReg_port1__read[679] ? + sq_pendingWorkReqBuf_enqPtrReg + 2'd1 : + sq_pendingWorkReqBuf_enqPtrReg ; + assign MUX_sq_pendingWorkReqBuf_fullReg_write_1__VAL_1 = + (sq_pendingWorkReqBuf_pushReg_port1__read[679] || + !sq_pendingWorkReqBuf_popReg_port1__read) && + IF_sq_pendingWorkReqBuf_pushReg_port1__read__7_ETC___d702 ; + assign MUX_sq_pendingWorkReqBuf_headReg_write_1__VAL_2 = + { 1'd1, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1521, + enumBits__h93928, + x__h39125, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1561, + x__h39399, + x__h39664, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1618, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1619, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1620, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1621, + value__h99740, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1624, + value__h99767, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1626, + value__h99797, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1629, + value__h99824, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1631, + value__h99854, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1634, + value__h99881, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1636, + value__h99908, + NOT_sq_retryHandler_retryReasonReg_431_EQ_4_46_ETC___d1656 } ; + assign MUX_sq_pendingWorkReqBuf_scanAlmostDoneReg_write_1__VAL_1 = + sq_pendingWorkReqBuf_itemCnt_Q_OUT[2:1] == 2'd0 && + sq_pendingWorkReqBuf_itemCnt_Q_OUT[0] ; + assign MUX_sq_pendingWorkReqBuf_scanAlmostDoneReg_write_1__VAL_2 = + !sq_pendingWorkReqBuf_scanCnt_Q_OUT[2] && + sq_pendingWorkReqBuf_scanCnt_Q_OUT[1] && + !sq_pendingWorkReqBuf_scanCnt_Q_OUT[0] ; + assign MUX_sq_pendingWorkReqBuf_scanPtrReg_write_1__VAL_2 = + sq_pendingWorkReqBuf_scanPtrReg + 2'd1 ; + assign MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__VAL_3 = + sq_pendingWorkReqBuf_scanStopReg_port1__read ? + 2'd0 : + (sq_pendingWorkReqBuf_preScanRestartReg_port1__read ? + 2'd1 : + 2'd0) ; + assign MUX_sq_reqGenSQ_isFirstOrOnlyReqPktReg_write_1__VAL_1 = + sq_reqGenSQ_reqCountQ_D_OUT[5] || + !sq_reqGenSQ_isFirstOrOnlyReqPktReg && + sq_reqGenSQ_remainingPktNumReg == 25'd0 ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg_write_1__VAL_1 = + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1734 && + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1737 != + 2'd1 ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_enq_1__VAL_2 = + { tmpData__h49087[255:0], + tmpByteEn__h49088[31:0], + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_isFirstReg, + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_D_OUT[0] && + bits__h49179 == 2'd0 } ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_enq_1__VAL_3 = + { leftShiftData__h49541, leftShiftByteEn__h49542, 2'd1 } ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_write_1__VAL_1 = + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg - + 2'd1 ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_write_1__VAL_2 = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[0] ? + 2'd0 : + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[9:8] - + 2'd1 ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_write_1__VAL_1 = + { rightShiftHeaderLastFragData__h48757, + rightShiftHeaderLastFragByteEn__h48758, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[1], + !sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg } ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__VAL_1 = + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg ? + 2'd2 : + 2'd0 ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__VAL_2 = + (bits__h49179 == 2'd0) ? bits__h49179 : 2'd3 ; + assign MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__VAL_3 = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[0] ? + 2'd2 : + 2'd1 ; + assign MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_1 = + { 679'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, + respPktPipe_metaDataQ_D_OUT, + respPktPipe_metaDataQ_D_OUT[626:618], + 1'd0, + respPktPipe_metaDataQ_D_OUT[616:615], + 4'd0, + respPktPipe_metaDataQ_D_OUT[610:595], + 8'd0, + respPktPipe_metaDataQ_D_OUT[586:562], + 7'd0, + respPktPipe_metaDataQ_D_OUT[554:531], + 1'd0, + respPktPipe_metaDataQ_D_OUT[529:499], + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd0 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd6 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd13 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd4 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd5 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd23 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd10 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd11 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd12 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd19 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd20 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd16 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd17 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd18, + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd2 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd3 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd22 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd8 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd9 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd15 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd4 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd5 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd23 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd10 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd11 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd12 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd19 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd20 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd16 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd17 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd18, + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd13 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd14 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd15 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd16, + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd18, + 10'd299 } ; + assign MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_3 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1521, + enumBits__h93928, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1561, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1618, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1619, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1620, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1621, + value__h99740, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1624, + value__h99767, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1626, + value__h99797, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1629, + value__h99824, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1631, + value__h99854, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1634, + value__h99881, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1636, + value__h99908, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1474, + value__h99939, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1492, + value__h99966, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d1650, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1652, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1653, + sq_respHandleSQ_preStagePktMetaDataReg, + sq_respHandleSQ_preStageReqPktInfoReg[134:126], + 1'd0, + sq_respHandleSQ_preStageReqPktInfoReg[124:123], + 4'd0, + sq_respHandleSQ_preStageReqPktInfoReg[118:103], + 8'd0, + sq_respHandleSQ_preStageReqPktInfoReg[94:70], + 7'd0, + sq_respHandleSQ_preStageReqPktInfoReg[62:39], + 1'd0, + sq_respHandleSQ_preStageReqPktInfoReg[37:0], + sq_respHandleSQ_retryResetReqReg, + sq_respHandleSQ_preStageWorkCompReqTypeReg, + sq_respHandleSQ_preStageWorkReqAckTypeReg } ; + assign MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_4 = + { 679'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, + respPktPipe_metaDataQ_D_OUT, + respPktPipe_metaDataQ_D_OUT[626:618], + 1'd0, + respPktPipe_metaDataQ_D_OUT[616:615], + 4'd0, + respPktPipe_metaDataQ_D_OUT[610:595], + 8'd0, + respPktPipe_metaDataQ_D_OUT[586:562], + 7'd0, + respPktPipe_metaDataQ_D_OUT[554:531], + 46'h0AAAAAAAB129 } ; + assign MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_5 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1521, + enumBits__h93928, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1561, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1618, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1619, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1620, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1621, + value__h99740, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1624, + value__h99767, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1626, + value__h99797, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1629, + value__h99824, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1631, + value__h99854, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1634, + value__h99881, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1636, + value__h99908, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1474, + value__h99939, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1492, + value__h99966, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d1650, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1652, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1653, + 787'h000002AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA12882AAA802AAAAA802AAAAA8AAAAAAAB38, + sq_respHandleSQ_hasTimeOutErrReg ? 4'd13 : 4'd12 } ; + assign MUX_sq_retryHandler_isRnrWaitCntZeroReg_write_1__VAL_1 = + sq_retryHandler_rnrWaitCntReg[26:1] == 26'd0 && + sq_retryHandler_rnrWaitCntReg[0] ; + assign MUX_sq_retryHandler_isTimeOutCntHighPartZeroReg_write_1__VAL_1 = + !sq_retryHandler_resetTimeOutQ_EMPTY_N && + sq_retryHandler_retryCntrlStateReg == 2'd0 && + (!sq_retryHandler_isTimeOutCntHighPartZeroReg || + !sq_retryHandler_isTimeOutCntLowPartZeroReg) && + sq_retryHandler_timeOutCntReg[41:21] == 21'd0 ; + assign MUX_sq_retryHandler_isTimeOutCntLowPartZeroReg_write_1__VAL_1 = + !sq_retryHandler_resetTimeOutQ_EMPTY_N && + sq_retryHandler_retryCntrlStateReg == 2'd0 && + (!sq_retryHandler_isTimeOutCntHighPartZeroReg || + !sq_retryHandler_isTimeOutCntLowPartZeroReg) && + sq_retryHandler_timeOutCntReg[20:0] == 21'd0 ; + assign MUX_sq_retryHandler_retryCntReg_write_1__VAL_1 = + sq_retryHandler_updateRetryCntQ_D_OUT[3] ? + x__h35617 : + cntrl_maxRetryCntReg ; + assign MUX_sq_retryHandler_retryHandleStateReg_write_1__VAL_3 = + (sq_retryHandler_retryReasonReg == 3'd1) ? 3'd2 : 3'd4 ; + assign MUX_sq_retryHandler_rnrCntReg_write_1__VAL_1 = + sq_retryHandler_updateRetryCntQ_D_OUT[3] ? + x__h35647 : + cntrl_maxRnrCntReg ; + assign MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_1 = + sq_retryHandler_rnrWaitCntReg - 27'd1 ; + always@(rnrTimer__h36783) + begin + case (rnrTimer__h36783) + 5'd0: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd109226667; + 5'd1: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd1667; + 5'd2: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd3334; + 5'd3: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd5000; + 5'd4: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd6667; + 5'd5: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd10000; + 5'd6: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd13334; + 5'd7: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd20000; + 5'd8: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd26667; + 5'd9: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd40000; + 5'd10: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd53334; + 5'd11: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd80000; + 5'd12: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd106667; + 5'd13: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd160000; + 5'd14: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd213334; + 5'd15: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd320000; + 5'd16: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd426667; + 5'd17: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd640000; + 5'd18: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd853334; + 5'd19: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd1280000; + 5'd20: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd1706667; + 5'd21: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd2560000; + 5'd22: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd3413334; + 5'd23: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd5120000; + 5'd24: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd6826667; + 5'd25: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd10240000; + 5'd26: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd13653334; + 5'd27: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd20480000; + 5'd28: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd27306667; + 5'd29: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd40960000; + 5'd30: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd54613334; + 5'd31: MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 = 27'd81920000; + endcase + end + assign MUX_sq_retryHandler_timeOutCntReg_write_1__VAL_1 = + (sq_retryHandler_resetTimeOutQ_EMPTY_N || + sq_retryHandler_retryCntrlStateReg != 2'd0 || + sq_retryHandler_isTimeOutCntHighPartZeroReg && + sq_retryHandler_isTimeOutCntLowPartZeroReg) ? + x__h32835 : + x__h33715 ; + assign MUX_sq_workCompGenSQ_workCompOutQ4SQ_enq_1__VAL_2 = + { sq_workCompGenSQ_genWorkCompQ_D_OUT[223:152], + 12'd5, + sq_workCompGenSQ_genWorkCompQ_D_OUT[139:2] } ; + + // inlined wires + assign payloadGenerator4SQ_payloadBufQ_wDataIn_wget = + { dmaReadCntrl4SQ_respQ_D_OUT[291:36], + dmaReadCntrl4SQ_respQ_D_OUT[0] ? + y_avValue_byteEn__h17055 : + dmaReadCntrl4SQ_respQ_D_OUT[35:4], + dmaReadCntrl4SQ_respQ_D_OUT[3:2] } ; + assign payloadGenerator4SQ_payloadBufQ_wDataIn_whas = + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding ; + assign payloadGenerator4SQ_payloadBufQ_wDataOut_wget = + (payloadGenerator4SQ_payloadBufQ_rCache[300] && + payloadGenerator4SQ_payloadBufQ_rCache[299:290] == + payloadGenerator4SQ_payloadBufQ_rRdPtr) ? + payloadGenerator4SQ_payloadBufQ_rCache[289:0] : + payloadGenerator4SQ_payloadBufQ_memory_DOB ; + assign payloadGenerator4SQ_payloadBufQ_wDataOut_whas = 1'd1 ; + assign _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_EMPTY_N && + (IF_cntrl_stateReg_EQ_3_AND_sq_pendingWorkReqPi_ETC___d1880 || + sq_reqGenSQ_workReqPayloadGenQ_FULL_N) ; + assign _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq ; + assign _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_stateReg_wget = 1'b1 ; + assign _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq ; + assign _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_sqTypeReg_wget = 1'b1 ; + assign _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_sqTypeReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq ; + assign _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_sqpnReg_wget = 1'b1 ; + assign _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_sqpnReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq ; + assign _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_pmtuReg_wget = + IF_cntrl_stateReg_EQ_3_AND_sq_pendingWorkReqPi_ETC___d2074 ; + assign _read_RL_sq_reqGenSQ_recvWorkReq_EN_cntrl_pmtuReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq ; + assign _read_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqBuf_emptyReg_wget = + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[606] && + cntrl_stateReg == 4'd3 ; + assign _read_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqBuf_emptyReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq ; + assign _first_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqPipeOut_pipeMuxOutQ_wget = + 1'b1 ; + assign _first_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqPipeOut_pipeMuxOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq ; + assign _deq_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqPipeOut_pipeMuxOutQ_wget = + IF_cntrl_stateReg_EQ_3_AND_sq_pendingWorkReqPi_ETC___d2074 ; + assign _deq_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqPipeOut_pipeMuxOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq ; + assign _i_notEmpty_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqPipeOut_pipeMuxOutQ_wget = + (IF_cntrl_stateReg_EQ_3_AND_sq_pendingWorkReqPi_ETC___d1880 || + sq_reqGenSQ_workReqPayloadGenQ_FULL_N) && + (cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 || + cntrl_stateReg == 4'd6) ; + assign _i_notEmpty_RL_sq_reqGenSQ_recvWorkReq_EN_sq_pendingWorkReqPipeOut_pipeMuxOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq ; + assign _enq_RL_sq_reqGenSQ_recvWorkReq_EN_sq_reqGenSQ_workReqPayloadGenQ_wget = + IF_cntrl_stateReg_EQ_3_AND_sq_pendingWorkReqPi_ETC___d2074 ; + assign _enq_RL_sq_reqGenSQ_recvWorkReq_EN_sq_reqGenSQ_workReqPayloadGenQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq ; + assign _i_notFull_RL_sq_reqGenSQ_recvWorkReq_EN_sq_reqGenSQ_workReqPayloadGenQ_wget = + !IF_cntrl_stateReg_EQ_3_AND_sq_pendingWorkReqPi_ETC___d1880 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_EMPTY_N && + (cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 || + cntrl_stateReg == 4'd6) ; + assign _i_notFull_RL_sq_reqGenSQ_recvWorkReq_EN_sq_reqGenSQ_workReqPayloadGenQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvWorkReq ; + assign _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && + (!sq_reqGenSQ_workReqPayloadGenQ_D_OUT[3] || + payloadGenerator4SQ_payloadGenReqQ_FULL_N) && + sq_reqGenSQ_workReqPayloadGenQ_EMPTY_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqPktNumQ_FULL_N ; + assign _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_stateReg_wget = + cntrl_preStateReg == 4'd3 && + (!sq_reqGenSQ_workReqPayloadGenQ_D_OUT[3] || + payloadGenerator4SQ_payloadGenReqQ_FULL_N) && + sq_reqGenSQ_workReqPayloadGenQ_EMPTY_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqPktNumQ_FULL_N ; + assign _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_sqpnReg_wget = + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[3] ; + assign _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_sqpnReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_pmtuReg_wget = + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[3] ; + assign _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_cntrl_pmtuReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign _enq_RL_sq_reqGenSQ_issuePayloadGenReq_EN_payloadGenerator4SQ_payloadGenReqQ_wget = + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[3] ; + assign _enq_RL_sq_reqGenSQ_issuePayloadGenReq_EN_payloadGenerator4SQ_payloadGenReqQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign _i_notFull_RL_sq_reqGenSQ_issuePayloadGenReq_EN_payloadGenerator4SQ_payloadGenReqQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[3] && + sq_reqGenSQ_workReqPayloadGenQ_EMPTY_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqPktNumQ_FULL_N ; + assign _i_notFull_RL_sq_reqGenSQ_issuePayloadGenReq_EN_payloadGenerator4SQ_payloadGenReqQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign _first_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPayloadGenQ_wget = + 1'b1 ; + assign _first_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPayloadGenQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign _deq_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPayloadGenQ_wget = + 1'd1 ; + assign _deq_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPayloadGenQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign _i_notEmpty_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPayloadGenQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + (!sq_reqGenSQ_workReqPayloadGenQ_D_OUT[3] || + payloadGenerator4SQ_payloadGenReqQ_FULL_N) && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqPktNumQ_FULL_N ; + assign _i_notEmpty_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPayloadGenQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign _enq_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPktNumQ_wget = + 1'd1 ; + assign _enq_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPktNumQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign _i_notFull_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPktNumQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + (!sq_reqGenSQ_workReqPayloadGenQ_D_OUT[3] || + payloadGenerator4SQ_payloadGenReqQ_FULL_N) && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqPayloadGenQ_EMPTY_N ; + assign _i_notFull_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_workReqPktNumQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_isNormalStateReg_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + (!sq_reqGenSQ_workReqPayloadGenQ_D_OUT[3] || + payloadGenerator4SQ_payloadGenReqQ_FULL_N) && + sq_reqGenSQ_workReqPktNumQ_FULL_N && + sq_reqGenSQ_workReqPayloadGenQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_issuePayloadGenReq_EN_sq_reqGenSQ_isNormalStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign _read_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && sq_reqGenSQ_workReqPsnQ_FULL_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqPktNumQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq ; + assign _read_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_cntrl_stateReg_wget = + cntrl_preStateReg == 4'd3 && sq_reqGenSQ_workReqPsnQ_FULL_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqPktNumQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq ; + assign _first_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPktNumQ_wget = + 1'b1 ; + assign _first_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPktNumQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq ; + assign _deq_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPktNumQ_wget = + 1'd1 ; + assign _deq_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPktNumQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq ; + assign _i_notEmpty_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPktNumQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqPsnQ_FULL_N ; + assign _i_notEmpty_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPktNumQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq ; + assign _enq_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_wget = + 1'd1 ; + assign _enq_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq ; + assign _i_notFull_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqPktNumQ_EMPTY_N ; + assign _i_notFull_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq ; + assign _read_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_isNormalStateReg_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_workReqPktNumQ_EMPTY_N && + sq_reqGenSQ_workReqPsnQ_FULL_N ; + assign _read_RL_sq_reqGenSQ_calcPktNum4NewWorkReq_EN_sq_reqGenSQ_isNormalStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq ; + assign _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && sq_reqGenSQ_workReqCheckQ_FULL_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqPsnQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq ; + assign _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_stateReg_wget = + cntrl_preStateReg == 4'd3 && sq_reqGenSQ_workReqCheckQ_FULL_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqPsnQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq ; + assign _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_npsnReg_wget = + sq_reqGenSQ_workReqPsnQ_D_OUT[4] ; + assign _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_npsnReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq ; + assign _write_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_npsnReg_wget = + sq_reqGenSQ_workReqPsnQ_D_OUT[4] ; + assign _write_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_cntrl_npsnReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq ; + assign _first_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_wget = + 1'b1 ; + assign _first_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq ; + assign _deq_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_wget = + 1'd1 ; + assign _deq_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq ; + assign _i_notEmpty_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqCheckQ_FULL_N ; + assign _i_notEmpty_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqPsnQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq ; + assign _enq_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqCheckQ_wget = + 1'd1 ; + assign _enq_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqCheckQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq ; + assign _i_notFull_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqCheckQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqPsnQ_EMPTY_N ; + assign _i_notFull_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_workReqCheckQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq ; + assign _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_isNormalStateReg_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_workReqPsnQ_EMPTY_N && + sq_reqGenSQ_workReqCheckQ_FULL_N ; + assign _read_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq_EN_sq_reqGenSQ_isNormalStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq ; + assign _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && + (sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] || + sq_reqGenSQ_reqCountQ_FULL_N) && + sq_reqGenSQ_workReqCheckQ_EMPTY_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqOutQ_FULL_N ; + assign _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + assign _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_cntrl_stateReg_wget = + cntrl_preStateReg == 4'd3 && + (sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] || + sq_reqGenSQ_reqCountQ_FULL_N) && + sq_reqGenSQ_workReqCheckQ_EMPTY_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqOutQ_FULL_N ; + assign _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + assign _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_cntrl_sqTypeReg_wget = + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] ; + assign _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_cntrl_sqTypeReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + assign _enq_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_wget = + 1'd1 ; + assign _enq_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + assign _i_notFull_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + (sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] || + sq_reqGenSQ_reqCountQ_FULL_N) && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqCheckQ_EMPTY_N ; + assign _i_notFull_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + assign _first_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqCheckQ_wget = + 1'b1 ; + assign _first_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqCheckQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + assign _deq_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqCheckQ_wget = + 1'd1 ; + assign _deq_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqCheckQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + assign _i_notEmpty_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqCheckQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + (sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] || + sq_reqGenSQ_reqCountQ_FULL_N) && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqOutQ_FULL_N ; + assign _i_notEmpty_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_workReqCheckQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + assign _enq_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_reqCountQ_wget = + !sq_reqGenSQ_workReqCheckQ_D_OUT[1] || + sq_reqGenSQ_workReqCheckQ_D_OUT[5] ; + assign _enq_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_reqCountQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + assign _i_notFull_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_reqCountQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + (!sq_reqGenSQ_workReqCheckQ_D_OUT[1] || + sq_reqGenSQ_workReqCheckQ_D_OUT[5]) && + sq_reqGenSQ_workReqCheckQ_EMPTY_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqOutQ_FULL_N ; + assign _i_notFull_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_reqCountQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + assign _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_isNormalStateReg_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + (sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] || + sq_reqGenSQ_reqCountQ_FULL_N) && + sq_reqGenSQ_workReqOutQ_FULL_N && + sq_reqGenSQ_workReqCheckQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_checkPendingWorkReq_EN_sq_reqGenSQ_isNormalStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + assign _read_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && + (!sq_reqGenSQ_workReqOutQ_D_OUT[4] || + !sq_reqGenSQ_workReqOutQ_D_OUT[2] || + sq_reqGenSQ_pendingWorkReqOutQ_FULL_N) && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqOutQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq ; + assign _read_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_cntrl_stateReg_wget = + cntrl_preStateReg == 4'd3 && + (!sq_reqGenSQ_workReqOutQ_D_OUT[4] || + !sq_reqGenSQ_workReqOutQ_D_OUT[2] || + sq_reqGenSQ_pendingWorkReqOutQ_FULL_N) && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqOutQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq ; + assign _enq_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_pendingWorkReqOutQ_wget = + sq_reqGenSQ_workReqOutQ_D_OUT[4] && + sq_reqGenSQ_workReqOutQ_D_OUT[2] ; + assign _enq_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_pendingWorkReqOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq ; + assign _i_notFull_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_pendingWorkReqOutQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_workReqOutQ_D_OUT[4] && + sq_reqGenSQ_workReqOutQ_D_OUT[2] && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_workReqOutQ_EMPTY_N ; + assign _i_notFull_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_pendingWorkReqOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq ; + assign _first_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_wget = + 1'b1 ; + assign _first_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq ; + assign _deq_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_wget = + 1'd1 ; + assign _deq_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq ; + assign _i_notEmpty_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg && + (!sq_reqGenSQ_workReqOutQ_D_OUT[4] || + !sq_reqGenSQ_workReqOutQ_D_OUT[2] || + sq_reqGenSQ_pendingWorkReqOutQ_FULL_N) ; + assign _i_notEmpty_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_workReqOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq ; + assign _read_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_isNormalStateReg_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_workReqOutQ_EMPTY_N && + (!sq_reqGenSQ_workReqOutQ_D_OUT[4] || + !sq_reqGenSQ_workReqOutQ_D_OUT[2] || + sq_reqGenSQ_pendingWorkReqOutQ_FULL_N) ; + assign _read_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_isNormalStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && sq_reqGenSQ_reqHeaderPrepareQ_FULL_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_reqCountQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_cntrl_stateReg_wget = + cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_reqHeaderPrepareQ_FULL_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_reqCountQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_cntrl_sqTypeReg_wget = + !sq_reqGenSQ_reqCountQ_D_OUT[5] ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_cntrl_sqTypeReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _first_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqCountQ_wget = + 1'b1 ; + assign _first_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqCountQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _deq_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqCountQ_wget = + MUX_sq_reqGenSQ_isFirstOrOnlyReqPktReg_write_1__VAL_1 ; + assign _deq_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqCountQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _i_notEmpty_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqCountQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_reqHeaderPrepareQ_FULL_N ; + assign _i_notEmpty_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqCountQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _enq_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqHeaderPrepareQ_wget = + 1'd1 ; + assign _enq_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqHeaderPrepareQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _i_notFull_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqHeaderPrepareQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_reqCountQ_EMPTY_N ; + assign _i_notFull_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_reqHeaderPrepareQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_remainingPktNumReg_wget = + !sq_reqGenSQ_isFirstOrOnlyReqPktReg ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_remainingPktNumReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _write_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_remainingPktNumReg_wget = + 1'd1 ; + assign _write_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_remainingPktNumReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_curPsnReg_wget = + !sq_reqGenSQ_isFirstOrOnlyReqPktReg ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_curPsnReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _write_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_curPsnReg_wget = + 1'd1 ; + assign _write_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_curPsnReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_isNormalStateReg_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_reqCountQ_EMPTY_N && + sq_reqGenSQ_reqHeaderPrepareQ_FULL_N ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_isNormalStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_isFirstOrOnlyReqPktReg_wget = + 1'b1 ; + assign _read_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_isFirstOrOnlyReqPktReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _write_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_isFirstOrOnlyReqPktReg_wget = + 1'd1 ; + assign _write_RL_sq_reqGenSQ_countReqPkt_EN_sq_reqGenSQ_isFirstOrOnlyReqPktReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2734 && + sq_reqGenSQ_reqHeaderPrepareQ_EMPTY_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_pendingReqHeaderQ_FULL_N ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_stateReg_wget = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd1 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd0 && + !IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2724 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + cntrl_sqTypeReg == 4'd4 && + sq_reqGenSQ_pendingReqHeaderQ_FULL_N && + sq_reqGenSQ_reqHeaderPrepareQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_sqTypeReg_wget = + 1'b1 ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_sqTypeReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_sqSigAllReg_wget = + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] || + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd4 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd5 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd6 ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_sqSigAllReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_sqpnReg_wget = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd1 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd0 && + cntrl_sqTypeReg == 4'd4 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd3 ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_sqpnReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_dqpnReg_wget = + cntrl_sqTypeReg == 4'd2 || cntrl_sqTypeReg == 4'd3 || + cntrl_sqTypeReg == 4'd9 ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_dqpnReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_pkeyReg_wget = + 1'b1 ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_cntrl_pkeyReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign _first_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_reqHeaderPrepareQ_wget = + 1'b1 ; + assign _first_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_reqHeaderPrepareQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign _deq_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_reqHeaderPrepareQ_wget = + 1'd1 ; + assign _deq_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_reqHeaderPrepareQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign _i_notEmpty_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_reqHeaderPrepareQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2734 && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_pendingReqHeaderQ_FULL_N ; + assign _i_notEmpty_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_reqHeaderPrepareQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign _enq_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_pendingReqHeaderQ_wget = + 1'd1 ; + assign _enq_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_pendingReqHeaderQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign _i_notFull_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_pendingReqHeaderQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2734 && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_reqHeaderPrepareQ_EMPTY_N ; + assign _i_notFull_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_pendingReqHeaderQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_isNormalStateReg_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2734 && + sq_reqGenSQ_pendingReqHeaderQ_FULL_N && + sq_reqGenSQ_reqHeaderPrepareQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_prepareReqHeaderGen_EN_sq_reqGenSQ_isNormalStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign _read_RL_sq_reqGenSQ_genReqHeader_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && + (!sq_reqGenSQ_pendingReqHeaderQ_D_OUT[544] || + !sq_reqGenSQ_pendingReqHeaderQ_D_OUT[545] || + payloadGenerator4SQ_payloadGenRespQ_EMPTY_N) && + sq_reqGenSQ_pendingReqHeaderQ_EMPTY_N && + sq_reqGenSQ_reqHeaderGenQ_FULL_N && + sq_reqGenSQ_isNormalStateReg ; + assign _read_RL_sq_reqGenSQ_genReqHeader_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + assign _read_RL_sq_reqGenSQ_genReqHeader_EN_cntrl_stateReg_wget = + cntrl_preStateReg == 4'd3 && + (!sq_reqGenSQ_pendingReqHeaderQ_D_OUT[544] || + !sq_reqGenSQ_pendingReqHeaderQ_D_OUT[545] || + payloadGenerator4SQ_payloadGenRespQ_EMPTY_N) && + sq_reqGenSQ_pendingReqHeaderQ_EMPTY_N && + sq_reqGenSQ_reqHeaderGenQ_FULL_N && + sq_reqGenSQ_isNormalStateReg ; + assign _read_RL_sq_reqGenSQ_genReqHeader_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + assign _first_RL_sq_reqGenSQ_genReqHeader_EN_payloadGenerator4SQ_payloadGenRespQ_wget = + 1'b1 ; + assign _first_RL_sq_reqGenSQ_genReqHeader_EN_payloadGenerator4SQ_payloadGenRespQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + assign _deq_RL_sq_reqGenSQ_genReqHeader_EN_payloadGenerator4SQ_payloadGenRespQ_wget = + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[544] && + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[545] ; + assign _deq_RL_sq_reqGenSQ_genReqHeader_EN_payloadGenerator4SQ_payloadGenRespQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + assign _i_notEmpty_RL_sq_reqGenSQ_genReqHeader_EN_payloadGenerator4SQ_payloadGenRespQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[544] && + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[545] && + sq_reqGenSQ_pendingReqHeaderQ_EMPTY_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_reqHeaderGenQ_FULL_N ; + assign _i_notEmpty_RL_sq_reqGenSQ_genReqHeader_EN_payloadGenerator4SQ_payloadGenRespQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + assign _first_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_pendingReqHeaderQ_wget = + 1'b1 ; + assign _first_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_pendingReqHeaderQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + assign _deq_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_pendingReqHeaderQ_wget = + 1'd1 ; + assign _deq_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_pendingReqHeaderQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + assign _i_notEmpty_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_pendingReqHeaderQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + (!sq_reqGenSQ_pendingReqHeaderQ_D_OUT[544] || + !sq_reqGenSQ_pendingReqHeaderQ_D_OUT[545] || + payloadGenerator4SQ_payloadGenRespQ_EMPTY_N) && + sq_reqGenSQ_reqHeaderGenQ_FULL_N && + sq_reqGenSQ_isNormalStateReg ; + assign _i_notEmpty_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_pendingReqHeaderQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + assign _enq_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_reqHeaderGenQ_wget = + 1'd1 ; + assign _enq_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_reqHeaderGenQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + assign _i_notFull_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_reqHeaderGenQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + (!sq_reqGenSQ_pendingReqHeaderQ_D_OUT[544] || + !sq_reqGenSQ_pendingReqHeaderQ_D_OUT[545] || + payloadGenerator4SQ_payloadGenRespQ_EMPTY_N) && + sq_reqGenSQ_pendingReqHeaderQ_EMPTY_N && + sq_reqGenSQ_isNormalStateReg ; + assign _i_notFull_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_reqHeaderGenQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + assign _read_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_isNormalStateReg_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + (!sq_reqGenSQ_pendingReqHeaderQ_D_OUT[544] || + !sq_reqGenSQ_pendingReqHeaderQ_D_OUT[545] || + payloadGenerator4SQ_payloadGenRespQ_EMPTY_N) && + sq_reqGenSQ_pendingReqHeaderQ_EMPTY_N && + sq_reqGenSQ_reqHeaderGenQ_FULL_N ; + assign _read_RL_sq_reqGenSQ_genReqHeader_EN_sq_reqGenSQ_isNormalStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + assign _read_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && + IF_sq_reqGenSQ_reqHeaderGenQ_first__570_BIT_62_ETC___d3580 && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_reqHeaderGenQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign _read_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_cntrl_stateReg_wget = + cntrl_preStateReg == 4'd3 && + IF_sq_reqGenSQ_reqHeaderGenQ_first__570_BIT_62_ETC___d3580 && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_reqHeaderGenQ_EMPTY_N ; + assign _read_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_workCompGenReqOutQ_wget = + sq_reqGenSQ_reqHeaderGenQ_D_OUT[26] && + sq_reqGenSQ_reqHeaderGenQ_D_OUT[24] || + !sq_reqGenSQ_reqHeaderGenQ_D_OUT[620] ; + assign _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_workCompGenReqOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign _i_notFull_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_workCompGenReqOutQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_reqHeaderGenQ_EMPTY_N && + sq_reqGenSQ_reqHeaderGenQ_D_OUT[26] && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_reqHeaderGenQ_D_OUT[24] ; + assign _i_notFull_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_workCompGenReqOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign _first_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderGenQ_wget = + 1'b1 ; + assign _first_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderGenQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign _deq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderGenQ_wget = + 1'd1 ; + assign _deq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderGenQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign _i_notEmpty_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderGenQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_isNormalStateReg && + IF_sq_reqGenSQ_reqHeaderGenQ_first__570_BIT_62_ETC___d3580 ; + assign _i_notEmpty_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderGenQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderOutQ_wget = + sq_reqGenSQ_reqHeaderGenQ_D_OUT[620] && + (!sq_reqGenSQ_reqHeaderGenQ_D_OUT[24] || + !sq_reqGenSQ_reqHeaderGenQ_D_OUT[26]) ; + assign _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign _i_notFull_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderOutQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + !sq_reqGenSQ_reqHeaderGenQ_D_OUT[24] && + sq_reqGenSQ_psnReqOutQ_FULL_N && + sq_reqGenSQ_reqHeaderGenQ_EMPTY_N && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_reqHeaderGenQ_D_OUT[620] ; + assign _i_notFull_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_psnReqOutQ_wget = + _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_reqHeaderOutQ_wget ; + assign _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_psnReqOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign _i_notFull_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_psnReqOutQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + !sq_reqGenSQ_reqHeaderGenQ_D_OUT[24] && + sq_reqGenSQ_reqHeaderGenQ_EMPTY_N && + sq_reqGenSQ_reqHeaderGenQ_D_OUT[620] && + sq_reqGenSQ_isNormalStateReg && + sq_reqGenSQ_reqHeaderOutQ_FULL_N ; + assign _i_notFull_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_psnReqOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign _read_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_isNormalStateReg_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_reqGenSQ_reqHeaderGenQ_EMPTY_N && + IF_sq_reqGenSQ_reqHeaderGenQ_first__570_BIT_62_ETC___d3580 ; + assign _read_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_isNormalStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign _write_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_isNormalStateReg_wget = + _enq_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_workCompGenReqOutQ_wget ; + assign _write_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp_EN_sq_reqGenSQ_isNormalStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign _read_RL_sq_reqGenSQ_errFlushWR_EN_cntrl_stateReg_wget = + sq_reqGenSQ_workReqPayloadGenQ_EMPTY_N && + (!sq_reqGenSQ_workReqPayloadGenQ_D_OUT[2] || + !sq_reqGenSQ_workReqPayloadGenQ_D_OUT[1] || + sq_reqGenSQ_pendingWorkReqOutQ_FULL_N) ; + assign _read_RL_sq_reqGenSQ_errFlushWR_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_errFlushWR ; + assign _enq_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_pendingWorkReqOutQ_wget = + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[2] && + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[1] ; + assign _enq_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_pendingWorkReqOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_errFlushWR ; + assign _i_notFull_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_pendingWorkReqOutQ_wget = + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[2] && + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[1] && + sq_reqGenSQ_workReqPayloadGenQ_EMPTY_N && + (cntrl_stateReg == 4'd6 || + cntrl_stateReg == 4'd3 && !sq_reqGenSQ_isNormalStateReg) ; + assign _i_notFull_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_pendingWorkReqOutQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_errFlushWR ; + assign _first_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_workReqPayloadGenQ_wget = + 1'b1 ; + assign _first_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_workReqPayloadGenQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_errFlushWR ; + assign _deq_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_workReqPayloadGenQ_wget = + 1'd1 ; + assign _deq_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_workReqPayloadGenQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_errFlushWR ; + assign _i_notEmpty_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_workReqPayloadGenQ_wget = + (!sq_reqGenSQ_workReqPayloadGenQ_D_OUT[2] || + !sq_reqGenSQ_workReqPayloadGenQ_D_OUT[1] || + sq_reqGenSQ_pendingWorkReqOutQ_FULL_N) && + (cntrl_stateReg == 4'd6 || + cntrl_stateReg == 4'd3 && !sq_reqGenSQ_isNormalStateReg) ; + assign _i_notEmpty_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_workReqPayloadGenQ_whas = + CAN_FIRE_RL_sq_reqGenSQ_errFlushWR ; + assign _read_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_isNormalStateReg_wget = + cntrl_stateReg == 4'd3 && + sq_reqGenSQ_workReqPayloadGenQ_EMPTY_N && + (!sq_reqGenSQ_workReqPayloadGenQ_D_OUT[2] || + !sq_reqGenSQ_workReqPayloadGenQ_D_OUT[1] || + sq_reqGenSQ_pendingWorkReqOutQ_FULL_N) ; + assign _read_RL_sq_reqGenSQ_errFlushWR_EN_sq_reqGenSQ_isNormalStateReg_whas = + CAN_FIRE_RL_sq_reqGenSQ_errFlushWR ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_cntrl_stateReg_wget = + sq_respHandleSQ_preStageStateReg == 2'd0 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + respPktPipe_metaDataQ_EMPTY_N && + !sq_pendingWorkReqBuf_emptyReg ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_cntrl_npsnReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_cntrl_npsnReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _first_RL_sq_respHandleSQ_preBuildRespInfo_EN_respPktPipe_metaDataQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_preBuildRespInfo_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _i_notEmpty_RL_sq_respHandleSQ_preBuildRespInfo_EN_respPktPipe_metaDataQ_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd0 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_pendingWorkReqBuf_emptyReg && + !sq_respHandleSQ_recvErrRespReg ; + assign _i_notEmpty_RL_sq_respHandleSQ_preBuildRespInfo_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_0_wget = + sq_pendingWorkReqBuf_deqPtrReg == 2'd0 ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_0_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_1_wget = + sq_pendingWorkReqBuf_deqPtrReg == 2'd1 ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_1_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_2_wget = + sq_pendingWorkReqBuf_deqPtrReg == 2'd2 ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_2_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_3_wget = + sq_pendingWorkReqBuf_deqPtrReg == 2'd3 ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_dataVec_3_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_deqPtrReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_deqPtrReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_emptyReg_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd0 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + respPktPipe_metaDataQ_EMPTY_N && + !sq_respHandleSQ_recvErrRespReg ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_pendingWorkReqBuf_emptyReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageRespAndWorkReqRelationReg_wget = + 1'd1 ; + assign _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageRespAndWorkReqRelationReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStagePktMetaDataReg_wget = + 1'd1 ; + assign _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStagePktMetaDataReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageReqPktInfoReg_wget = + 1'd1 ; + assign _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageReqPktInfoReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageRespTypeReg_wget = + 1'd1 ; + assign _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageRespTypeReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageStateReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + respPktPipe_metaDataQ_EMPTY_N && + !sq_pendingWorkReqBuf_emptyReg ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageStateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageStateReg_wget = + 1'd1 ; + assign _write_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_preStageStateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_recvErrRespReg_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd0 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + respPktPipe_metaDataQ_EMPTY_N && + !sq_pendingWorkReqBuf_emptyReg ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_recvErrRespReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_errOccurredReg_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd0 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_recvErrRespReg && + respPktPipe_metaDataQ_EMPTY_N && + !sq_pendingWorkReqBuf_emptyReg ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_errOccurredReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_retryFlushReg_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd0 && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + respPktPipe_metaDataQ_EMPTY_N && + !sq_pendingWorkReqBuf_emptyReg ; + assign _read_RL_sq_respHandleSQ_preBuildRespInfo_EN_sq_respHandleSQ_retryFlushReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_cntrl_stateReg_wget = + sq_respHandleSQ_preStageStateReg == 2'd1 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_pendingWorkReqBuf_emptyReg && + !sq_respHandleSQ_recvErrRespReg ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_pendingWorkReqBuf_emptyReg_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd1 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_respHandleSQ_errOccurredReg ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_pendingWorkReqBuf_emptyReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageRespAndWorkReqRelationReg_wget = + sq_respHandleSQ_preStagePktMetaDataReg[1] ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageRespAndWorkReqRelationReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStagePktMetaDataReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStagePktMetaDataReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageRespTypeReg_wget = + sq_respHandleSQ_preStagePktMetaDataReg[1] ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageRespTypeReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageDeqPktMetaDataReg_wget = + 1'd1 ; + assign _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageDeqPktMetaDataReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageDeqPendingWorkReqReg_wget = + 1'd1 ; + assign _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageDeqPendingWorkReqReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageWorkReqAckTypeReg_wget = + 1'd1 ; + assign _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageWorkReqAckTypeReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageWorkCompReqTypeReg_wget = + 1'd1 ; + assign _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageWorkCompReqTypeReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_retryResetReqReg_wget = + 1'd1 ; + assign _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_retryResetReqReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageStateReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_pendingWorkReqBuf_emptyReg && + !sq_respHandleSQ_recvErrRespReg ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageStateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageStateReg_wget = + 1'd1 ; + assign _write_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_preStageStateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_recvErrRespReg_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd1 && + !sq_respHandleSQ_retryFlushReg && + !sq_pendingWorkReqBuf_emptyReg && + !sq_respHandleSQ_errOccurredReg ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_recvErrRespReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_errOccurredReg_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd1 && + !sq_respHandleSQ_retryFlushReg && + !sq_pendingWorkReqBuf_emptyReg && + !sq_respHandleSQ_recvErrRespReg ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_errOccurredReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_retryFlushReg_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd1 && + !sq_respHandleSQ_errOccurredReg && + !sq_pendingWorkReqBuf_emptyReg && + !sq_respHandleSQ_recvErrRespReg ; + assign _read_RL_sq_respHandleSQ_preProcRespInfo_EN_sq_respHandleSQ_retryFlushReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_cntrl_stateReg_wget = + sq_respHandleSQ_preStageStateReg == 2'd2 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _deq_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_respPktPipe_metaDataQ_wget = + sq_respHandleSQ_preStageDeqPktMetaDataReg ; + assign _deq_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _i_notEmpty_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_respPktPipe_metaDataQ_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd2 && + sq_respHandleSQ_preStageDeqPktMetaDataReg && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_pendingWorkReqBuf_emptyReg && + sq_respHandleSQ_incomingRespQ_FULL_N && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) ; + assign _i_notEmpty_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_0_wget = + sq_pendingWorkReqBuf_deqPtrReg == 2'd0 ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_0_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_1_wget = + sq_pendingWorkReqBuf_deqPtrReg == 2'd1 ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_1_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_2_wget = + sq_pendingWorkReqBuf_deqPtrReg == 2'd2 ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_2_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_3_wget = + sq_pendingWorkReqBuf_deqPtrReg == 2'd3 ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_dataVec_3_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_deqPtrReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_deqPtrReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_scanStateReg_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd2 && + sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_scanStateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_emptyReg_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd2 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_emptyReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _port0__write_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_popReg_wget = + sq_respHandleSQ_preStageDeqPendingWorkReqReg ; + assign _port0__write_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_popReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _port1__read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_scanStartReg_wget = + sq_respHandleSQ_preStageDeqPendingWorkReqReg ; + assign _port1__read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_scanStartReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _port1__read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_preScanRestartReg_wget = + sq_respHandleSQ_preStageDeqPendingWorkReqReg ; + assign _port1__read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_pendingWorkReqBuf_preScanRestartReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _enq_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_incomingRespQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_incomingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _i_notFull_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_incomingRespQ_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd2 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) ; + assign _i_notFull_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_incomingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStagePktMetaDataReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStagePktMetaDataReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageReqPktInfoReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageReqPktInfoReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageRespTypeReg_wget = + sq_respHandleSQ_preStageWorkReqAckTypeReg != 4'd8 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !sq_respHandleSQ_recvRetryRespReg ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageRespTypeReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageDeqPktMetaDataReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageDeqPktMetaDataReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageDeqPendingWorkReqReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageDeqPendingWorkReqReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageWorkReqAckTypeReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageWorkReqAckTypeReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageWorkCompReqTypeReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageWorkCompReqTypeReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_retryResetReqReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_retryResetReqReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageStateReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageStateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _write_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageStateReg_wget = + 1'd1 ; + assign _write_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_preStageStateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_recvErrRespReg_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd2 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_recvErrRespReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_recvRetryRespReg_wget = + sq_respHandleSQ_preStageWorkReqAckTypeReg != 4'd8 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses999) && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_recvRetryRespReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_errOccurredReg_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd2 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_errOccurredReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_retryFlushReg_wget = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd2 && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq_EN_sq_respHandleSQ_retryFlushReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq ; + assign _read_RL_sq_respHandleSQ_recvRespHeader_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 ; + assign _read_RL_sq_respHandleSQ_recvRespHeader_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _read_RL_sq_respHandleSQ_recvRespHeader_EN_cntrl_stateReg_wget = + cntrl_preStateReg == 4'd3 ; + assign _read_RL_sq_respHandleSQ_recvRespHeader_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _enq_RL_sq_respHandleSQ_recvRespHeader_EN_sq_retryHandler_resetReqQ_wget = + cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 ; + assign _enq_RL_sq_respHandleSQ_recvRespHeader_EN_sq_retryHandler_resetReqQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _i_notFull_RL_sq_respHandleSQ_recvRespHeader_EN_sq_retryHandler_resetReqQ_wget = + cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) && + sq_respHandleSQ_pendingRespQ_FULL_N && + sq_respHandleSQ_incomingRespQ_EMPTY_N ; + assign _i_notFull_RL_sq_respHandleSQ_recvRespHeader_EN_sq_retryHandler_resetReqQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _first_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_incomingRespQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_incomingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _deq_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_incomingRespQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_incomingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _i_notEmpty_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_incomingRespQ_wget = + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) && + sq_respHandleSQ_pendingRespQ_FULL_N && + (cntrl_preStateReg != 4'd3 || cntrl_stateReg != 4'd3 || + sq_retryHandler_resetReqQ_FULL_N) ; + assign _i_notEmpty_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_incomingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _enq_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_pendingRespQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_pendingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _i_notFull_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_pendingRespQ_wget = + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) && + sq_respHandleSQ_incomingRespQ_EMPTY_N && + (cntrl_preStateReg != 4'd3 || cntrl_stateReg != 4'd3 || + sq_retryHandler_resetReqQ_FULL_N) ; + assign _i_notFull_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_pendingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _read_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_wget = + __duses1049 || + !sq_respHandleSQ_errOccurredReg && + sq_respHandleSQ_retryFlushReg && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd11 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd9 ; + assign _read_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_wget = + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd2 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd5 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd10 ; + assign _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvRetryRespReg_wget = + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd1 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd4 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd7 ; + assign _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvRetryRespReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _read_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_errOccurredReg_wget = + (__duses1054 || __duses1054 || + sq_respHandleSQ_retryFlushReg && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd11 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd9) && + !sq_respHandleSQ_recvErrRespReg ; + assign _read_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_errOccurredReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _read_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_retryFlushReg_wget = + !sq_respHandleSQ_errOccurredReg && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd11 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd9 && + !sq_respHandleSQ_recvErrRespReg ; + assign _read_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_retryFlushReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_retryFlushReg_wget = + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvRetryRespReg_wget ; + assign _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_retryFlushReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign _read_RL_sq_respHandleSQ_handleRespByType_EN_cntrl_stateReg_wget = + !sq_respHandleSQ_errOccurredReg && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3 || + (sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd9 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd10 || + sq_retryHandler_retryReqQ_FULL_N) && + sq_respHandleSQ_pendingPermQueryQ_FULL_N && + sq_respHandleSQ_pendingRespQ_EMPTY_N ; + assign _read_RL_sq_respHandleSQ_handleRespByType_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign _enq_RL_sq_respHandleSQ_handleRespByType_EN_sq_retryHandler_retryReqQ_wget = + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd9 || + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd10 ; + assign _enq_RL_sq_respHandleSQ_handleRespByType_EN_sq_retryHandler_retryReqQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign _i_notFull_RL_sq_respHandleSQ_handleRespByType_EN_sq_retryHandler_retryReqQ_wget = + (sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd9 || + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd10) && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) && + sq_respHandleSQ_pendingPermQueryQ_FULL_N && + sq_respHandleSQ_pendingRespQ_EMPTY_N ; + assign _i_notFull_RL_sq_respHandleSQ_handleRespByType_EN_sq_retryHandler_retryReqQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign _first_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingRespQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign _deq_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingRespQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign _i_notEmpty_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingRespQ_wget = + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) && + sq_respHandleSQ_pendingPermQueryQ_FULL_N && + (sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd9 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd10 || + sq_retryHandler_retryReqQ_FULL_N) ; + assign _i_notEmpty_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign _enq_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingPermQueryQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingPermQueryQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign _i_notFull_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingPermQueryQ_wget = + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) && + sq_respHandleSQ_pendingRespQ_EMPTY_N && + (sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd9 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd10 || + sq_retryHandler_retryReqQ_FULL_N) ; + assign _i_notFull_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_pendingPermQueryQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign _read_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_preRdmaOpCodeReg_wget = + !IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4824 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3 ; + assign _read_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_preRdmaOpCodeReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_preRdmaOpCodeReg_wget = + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3 && + !sq_respHandleSQ_errOccurredReg && + cntrl_stateReg != 4'd6 ; + assign _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_preRdmaOpCodeReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_recvErrRespReg_wget = + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3 && + IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4844 ; + assign _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_recvErrRespReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign _read_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_errOccurredReg_wget = + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3 && + cntrl_stateReg != 4'd6 ; + assign _read_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_errOccurredReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign _read_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_cntrl_stateReg_wget = + sq_respHandleSQ_pendingPermQueryQ_EMPTY_N && + sq_respHandleSQ_pendingRetryCheckQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp ; + assign _first_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermQueryQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermQueryQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp ; + assign _deq_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermQueryQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermQueryQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp ; + assign _i_notEmpty_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermQueryQ_wget = + sq_respHandleSQ_pendingRetryCheckQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notEmpty_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermQueryQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp ; + assign _enq_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingRetryCheckQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingRetryCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp ; + assign _i_notFull_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingRetryCheckQ_wget = + sq_respHandleSQ_pendingPermQueryQ_EMPTY_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notFull_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingRetryCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp ; + assign _read_RL_sq_respHandleSQ_checkRetryErr_EN_cntrl_stateReg_wget = + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd9 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd10 || + sq_retryHandler_retryRespQ_EMPTY_N) && + sq_respHandleSQ_pendingPermCheckQ_FULL_N && + sq_respHandleSQ_pendingRetryCheckQ_EMPTY_N && + IF_sq_respHandleSQ_pendingRetryCheckQ_first__9_ETC___d5037 ; + assign _read_RL_sq_respHandleSQ_checkRetryErr_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr ; + assign _first_RL_sq_respHandleSQ_checkRetryErr_EN_sq_retryHandler_retryRespQ_wget = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd9 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd10 ; + assign _first_RL_sq_respHandleSQ_checkRetryErr_EN_sq_retryHandler_retryRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr ; + assign _deq_RL_sq_respHandleSQ_checkRetryErr_EN_sq_retryHandler_retryRespQ_wget = + _first_RL_sq_respHandleSQ_checkRetryErr_EN_sq_retryHandler_retryRespQ_wget ; + assign _deq_RL_sq_respHandleSQ_checkRetryErr_EN_sq_retryHandler_retryRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr ; + assign _i_notEmpty_RL_sq_respHandleSQ_checkRetryErr_EN_sq_retryHandler_retryRespQ_wget = + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd9 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd10) && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) && + sq_respHandleSQ_pendingRetryCheckQ_EMPTY_N && + sq_respHandleSQ_pendingPermCheckQ_FULL_N ; + assign _i_notEmpty_RL_sq_respHandleSQ_checkRetryErr_EN_sq_retryHandler_retryRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr ; + assign _first_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingRetryCheckQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingRetryCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr ; + assign _deq_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingRetryCheckQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingRetryCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr ; + assign _i_notEmpty_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingRetryCheckQ_wget = + cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6 ; + assign _i_notEmpty_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingRetryCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr ; + assign _enq_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingPermCheckQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingPermCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr ; + assign _i_notFull_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingPermCheckQ_wget = + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd9 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd10 || + sq_retryHandler_retryRespQ_EMPTY_N) && + sq_respHandleSQ_pendingRetryCheckQ_EMPTY_N && + IF_sq_respHandleSQ_pendingRetryCheckQ_first__9_ETC___d5037 ; + assign _i_notFull_RL_sq_respHandleSQ_checkRetryErr_EN_sq_respHandleSQ_pendingPermCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr ; + assign _read_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_cntrl_stateReg_wget = + sq_respHandleSQ_pendingPermCheckQ_EMPTY_N && + sq_respHandleSQ_pendingAddrCalcQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp ; + assign _first_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermCheckQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp ; + assign _deq_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermCheckQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp ; + assign _i_notEmpty_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermCheckQ_wget = + sq_respHandleSQ_pendingAddrCalcQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notEmpty_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingPermCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp ; + assign _enq_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingAddrCalcQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingAddrCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp ; + assign _i_notFull_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingAddrCalcQ_wget = + sq_respHandleSQ_pendingPermCheckQ_EMPTY_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notFull_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp_EN_sq_respHandleSQ_pendingAddrCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp ; + assign _read_RL_sq_respHandleSQ_calcReadRespAddr_EN_cntrl_stateReg_wget = + sq_respHandleSQ_pendingAddrCalcQ_EMPTY_N && + sq_respHandleSQ_pendingLenCalcQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_calcReadRespAddr_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespAddr ; + assign _first_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingAddrCalcQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingAddrCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespAddr ; + assign _deq_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingAddrCalcQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingAddrCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespAddr ; + assign _i_notEmpty_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingAddrCalcQ_wget = + sq_respHandleSQ_pendingLenCalcQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notEmpty_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingAddrCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespAddr ; + assign _enq_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingLenCalcQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingLenCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespAddr ; + assign _i_notFull_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingLenCalcQ_wget = + sq_respHandleSQ_pendingAddrCalcQ_EMPTY_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notFull_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_pendingLenCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespAddr ; + assign _read_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_nextReadRespWriteAddrReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_calcReadRespAddr_EN_sq_respHandleSQ_nextReadRespWriteAddrReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespAddr ; + assign _read_RL_sq_respHandleSQ_calcReadRespLen_EN_cntrl_stateReg_wget = + sq_respHandleSQ_pendingLenCalcQ_EMPTY_N && + sq_respHandleSQ_pendingSpaceCalcQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_calcReadRespLen_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespLen ; + assign _first_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingLenCalcQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingLenCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespLen ; + assign _deq_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingLenCalcQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingLenCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespLen ; + assign _i_notEmpty_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingLenCalcQ_wget = + sq_respHandleSQ_pendingSpaceCalcQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notEmpty_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingLenCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespLen ; + assign _enq_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingSpaceCalcQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingSpaceCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespLen ; + assign _i_notFull_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingSpaceCalcQ_wget = + sq_respHandleSQ_pendingLenCalcQ_EMPTY_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notFull_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_pendingSpaceCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespLen ; + assign _read_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_remainingReadRespLenReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_calcReadRespLen_EN_sq_respHandleSQ_remainingReadRespLenReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespLen ; + assign _read_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_cntrl_stateReg_wget = + sq_respHandleSQ_pendingSpaceCalcQ_EMPTY_N && + sq_respHandleSQ_pendingLenCheckQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcEnoughDmaSpace ; + assign _first_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingSpaceCalcQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingSpaceCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcEnoughDmaSpace ; + assign _deq_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingSpaceCalcQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingSpaceCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcEnoughDmaSpace ; + assign _i_notEmpty_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingSpaceCalcQ_wget = + sq_respHandleSQ_pendingLenCheckQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notEmpty_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingSpaceCalcQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcEnoughDmaSpace ; + assign _enq_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingLenCheckQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingLenCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcEnoughDmaSpace ; + assign _i_notFull_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingLenCheckQ_wget = + sq_respHandleSQ_pendingSpaceCalcQ_EMPTY_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notFull_RL_sq_respHandleSQ_calcEnoughDmaSpace_EN_sq_respHandleSQ_pendingLenCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_calcEnoughDmaSpace ; + assign _read_RL_sq_respHandleSQ_checkReadRespLen_EN_cntrl_stateReg_wget = + sq_respHandleSQ_pendingLenCheckQ_EMPTY_N && + sq_respHandleSQ_pendingDmaReqQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_checkReadRespLen_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkReadRespLen ; + assign _first_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingLenCheckQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingLenCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkReadRespLen ; + assign _deq_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingLenCheckQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingLenCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkReadRespLen ; + assign _i_notEmpty_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingLenCheckQ_wget = + sq_respHandleSQ_pendingDmaReqQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notEmpty_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingLenCheckQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkReadRespLen ; + assign _enq_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingDmaReqQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingDmaReqQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkReadRespLen ; + assign _i_notFull_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingDmaReqQ_wget = + sq_respHandleSQ_pendingLenCheckQ_EMPTY_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notFull_RL_sq_respHandleSQ_checkReadRespLen_EN_sq_respHandleSQ_pendingDmaReqQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkReadRespLen ; + assign _read_RL_sq_respHandleSQ_issueDmaReq_EN_cntrl_stateReg_wget = + sq_respHandleSQ_pendingDmaReqQ_EMPTY_N && + sq_respHandleSQ_pendingWorkCompQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_issueDmaReq_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_issueDmaReq ; + assign _first_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingDmaReqQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingDmaReqQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_issueDmaReq ; + assign _deq_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingDmaReqQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingDmaReqQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_issueDmaReq ; + assign _i_notEmpty_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingDmaReqQ_wget = + sq_respHandleSQ_pendingWorkCompQ_FULL_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notEmpty_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingDmaReqQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_issueDmaReq ; + assign _enq_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingWorkCompQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingWorkCompQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_issueDmaReq ; + assign _i_notFull_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingWorkCompQ_wget = + sq_respHandleSQ_pendingDmaReqQ_EMPTY_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notFull_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_pendingWorkCompQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_issueDmaReq ; + assign _port0__write_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_hasInternalErrReg_wget = + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd0 ; + assign _port0__write_RL_sq_respHandleSQ_issueDmaReq_EN_sq_respHandleSQ_hasInternalErrReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_issueDmaReq ; + assign _read_RL_sq_respHandleSQ_genWorkCompSQ_EN_cntrl_stateReg_wget = + sq_respHandleSQ_pendingWorkCompQ_EMPTY_N && + (!sq_respHandleSQ_pendingWorkCompQ_D_OUT[633] && + !sq_respHandleSQ_pendingWorkCompQ_D_OUT[31] || + sq_respHandleSQ_workCompGenReqOutQ_FULL_N) ; + assign _read_RL_sq_respHandleSQ_genWorkCompSQ_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_genWorkCompSQ ; + assign _enq_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_wget = + sq_respHandleSQ_pendingWorkCompQ_D_OUT[633] || + sq_respHandleSQ_pendingWorkCompQ_D_OUT[31] ; + assign _enq_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_genWorkCompSQ ; + assign _i_notFull_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_wget = + (sq_respHandleSQ_pendingWorkCompQ_D_OUT[633] || + sq_respHandleSQ_pendingWorkCompQ_D_OUT[31]) && + sq_respHandleSQ_pendingWorkCompQ_EMPTY_N && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notFull_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_genWorkCompSQ ; + assign _first_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_pendingWorkCompQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_pendingWorkCompQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_genWorkCompSQ ; + assign _deq_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_pendingWorkCompQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_pendingWorkCompQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_genWorkCompSQ ; + assign _i_notEmpty_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_pendingWorkCompQ_wget = + (!sq_respHandleSQ_pendingWorkCompQ_D_OUT[633] && + !sq_respHandleSQ_pendingWorkCompQ_D_OUT[31] || + sq_respHandleSQ_workCompGenReqOutQ_FULL_N) && + (cntrl_stateReg == 4'd3 || cntrl_stateReg == 4'd6) ; + assign _i_notEmpty_RL_sq_respHandleSQ_genWorkCompSQ_EN_sq_respHandleSQ_pendingWorkCompQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_genWorkCompSQ ; + assign _read_RL_sq_respHandleSQ_discardGhostResp_EN_cntrl_stateReg_wget = + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + respPktPipe_metaDataQ_EMPTY_N && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_pendingWorkReqBuf_emptyReg ; + assign _read_RL_sq_respHandleSQ_discardGhostResp_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp ; + assign _first_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp ; + assign _deq_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp ; + assign _notEmpty_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_pendingWorkReqBuf_emptyReg && + respPktPipe_metaDataQ_EMPTY_N ; + assign _notEmpty_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp ; + assign _i_notEmpty_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + respPktPipe_metaDataQ_EMPTY_N && + sq_pendingWorkReqBuf_emptyReg && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _i_notEmpty_RL_sq_respHandleSQ_discardGhostResp_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp ; + assign _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_pendingWorkReqBuf_emptyReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + respPktPipe_metaDataQ_EMPTY_N && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_pendingWorkReqBuf_emptyReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp ; + assign _enq_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_incomingRespQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_incomingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp ; + assign _i_notFull_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_incomingRespQ_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + respPktPipe_metaDataQ_EMPTY_N && + sq_pendingWorkReqBuf_emptyReg ; + assign _i_notFull_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_incomingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp ; + assign _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_recvErrRespReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + respPktPipe_metaDataQ_EMPTY_N && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_pendingWorkReqBuf_emptyReg ; + assign _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_recvErrRespReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp ; + assign _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_errOccurredReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_recvErrRespReg && + respPktPipe_metaDataQ_EMPTY_N && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_pendingWorkReqBuf_emptyReg ; + assign _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_errOccurredReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp ; + assign _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_retryFlushReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + respPktPipe_metaDataQ_EMPTY_N && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_pendingWorkReqBuf_emptyReg ; + assign _read_RL_sq_respHandleSQ_discardGhostResp_EN_sq_respHandleSQ_retryFlushReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_discardGhostResp ; + assign _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_cntrl_stateReg_wget = + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + sq_retryHandler_timeOutNotificationQ_EMPTY_N && + !sq_respHandleSQ_recvErrRespReg ; + assign _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkTimeOutErr ; + assign _first_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_retryHandler_timeOutNotificationQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_retryHandler_timeOutNotificationQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkTimeOutErr ; + assign _deq_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_retryHandler_timeOutNotificationQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_retryHandler_timeOutNotificationQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkTimeOutErr ; + assign _i_notEmpty_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_retryHandler_timeOutNotificationQ_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_respHandleSQ_errOccurredReg ; + assign _i_notEmpty_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_retryHandler_timeOutNotificationQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkTimeOutErr ; + assign _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_recvErrRespReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_retryFlushReg && + sq_retryHandler_timeOutNotificationQ_EMPTY_N && + !sq_respHandleSQ_errOccurredReg ; + assign _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_recvErrRespReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkTimeOutErr ; + assign _port0__write_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_hasTimeOutErrReg_wget = + sq_retryHandler_timeOutNotificationQ_D_OUT ; + assign _port0__write_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_hasTimeOutErrReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkTimeOutErr ; + assign _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_errOccurredReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_retryFlushReg && + sq_retryHandler_timeOutNotificationQ_EMPTY_N && + !sq_respHandleSQ_recvErrRespReg ; + assign _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_errOccurredReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkTimeOutErr ; + assign _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_retryFlushReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_errOccurredReg && + sq_retryHandler_timeOutNotificationQ_EMPTY_N && + !sq_respHandleSQ_recvErrRespReg ; + assign _read_RL_sq_respHandleSQ_checkTimeOutErr_EN_sq_respHandleSQ_retryFlushReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_checkTimeOutErr ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_cntrl_stateReg_wget = + !sq_pendingWorkReqBuf_emptyReg && + (sq_respHandleSQ_recvErrRespReg || + sq_respHandleSQ_errOccurredReg) && + (sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_0_wget = + sq_pendingWorkReqBuf_deqPtrReg == 2'd0 ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_0_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_1_wget = + sq_pendingWorkReqBuf_deqPtrReg == 2'd1 ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_1_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_2_wget = + sq_pendingWorkReqBuf_deqPtrReg == 2'd2 ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_2_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_3_wget = + sq_pendingWorkReqBuf_deqPtrReg == 2'd3 ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_dataVec_3_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_deqPtrReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_deqPtrReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_scanStateReg_wget = + !sq_pendingWorkReqBuf_emptyReg && + sq_respHandleSQ_incomingRespQ_FULL_N && + (cntrl_stateReg == 4'd3 && + (sq_respHandleSQ_recvErrRespReg || + sq_respHandleSQ_errOccurredReg) || + cntrl_stateReg == 4'd6) ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_scanStateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_emptyReg_wget = + (cntrl_stateReg == 4'd3 && + (sq_respHandleSQ_recvErrRespReg || + sq_respHandleSQ_errOccurredReg) || + cntrl_stateReg == 4'd6) && + (sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_emptyReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _port0__write_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_popReg_wget = + 1'd1 ; + assign _port0__write_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_popReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _port1__read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_scanStartReg_wget = + 1'b1 ; + assign _port1__read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_scanStartReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _port1__read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_preScanRestartReg_wget = + 1'b1 ; + assign _port1__read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_pendingWorkReqBuf_preScanRestartReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _enq_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_incomingRespQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_incomingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _i_notFull_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_incomingRespQ_wget = + !sq_pendingWorkReqBuf_emptyReg && + (sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (cntrl_stateReg == 4'd3 && + (sq_respHandleSQ_recvErrRespReg || + sq_respHandleSQ_errOccurredReg) || + cntrl_stateReg == 4'd6) ; + assign _i_notFull_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_incomingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_recvErrRespReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_errOccurredReg && + !sq_pendingWorkReqBuf_emptyReg && + (sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_recvErrRespReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _port0__write_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_hasTimeOutErrReg_wget = + 1'd1 ; + assign _port0__write_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_hasTimeOutErrReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _port0__read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_hasTimeOutErrReg_wget = + 1'b1 ; + assign _port0__read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_hasTimeOutErrReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_errOccurredReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_recvErrRespReg && + !sq_pendingWorkReqBuf_emptyReg && + (sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_errFlushWorkReq_EN_sq_respHandleSQ_errOccurredReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_cntrl_stateReg_wget = + (sq_respHandleSQ_recvErrRespReg || + sq_respHandleSQ_errOccurredReg) && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_pendingWorkReqBuf_emptyReg && + respPktPipe_metaDataQ_EMPTY_N ; + assign _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp ; + assign _first_RL_sq_respHandleSQ_errFlushIncomingResp_EN_respPktPipe_metaDataQ_wget = + 1'b1 ; + assign _first_RL_sq_respHandleSQ_errFlushIncomingResp_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp ; + assign _deq_RL_sq_respHandleSQ_errFlushIncomingResp_EN_respPktPipe_metaDataQ_wget = + 1'd1 ; + assign _deq_RL_sq_respHandleSQ_errFlushIncomingResp_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp ; + assign _i_notEmpty_RL_sq_respHandleSQ_errFlushIncomingResp_EN_respPktPipe_metaDataQ_wget = + (cntrl_stateReg == 4'd3 && + (sq_respHandleSQ_recvErrRespReg || + sq_respHandleSQ_errOccurredReg) || + cntrl_stateReg == 4'd6) && + sq_pendingWorkReqBuf_emptyReg && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _i_notEmpty_RL_sq_respHandleSQ_errFlushIncomingResp_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp ; + assign _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_pendingWorkReqBuf_emptyReg_wget = + (cntrl_stateReg == 4'd3 && + (sq_respHandleSQ_recvErrRespReg || + sq_respHandleSQ_errOccurredReg) || + cntrl_stateReg == 4'd6) && + respPktPipe_metaDataQ_EMPTY_N && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_pendingWorkReqBuf_emptyReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp ; + assign _enq_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_incomingRespQ_wget = + 1'd1 ; + assign _enq_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_incomingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp ; + assign _i_notFull_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_incomingRespQ_wget = + (cntrl_stateReg == 4'd3 && + (sq_respHandleSQ_recvErrRespReg || + sq_respHandleSQ_errOccurredReg) || + cntrl_stateReg == 4'd6) && + sq_pendingWorkReqBuf_emptyReg && + respPktPipe_metaDataQ_EMPTY_N ; + assign _i_notFull_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_incomingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp ; + assign _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_recvErrRespReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_errOccurredReg && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_pendingWorkReqBuf_emptyReg && + respPktPipe_metaDataQ_EMPTY_N ; + assign _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_recvErrRespReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp ; + assign _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_errOccurredReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_pendingWorkReqBuf_emptyReg && + respPktPipe_metaDataQ_EMPTY_N ; + assign _read_RL_sq_respHandleSQ_errFlushIncomingResp_EN_sq_respHandleSQ_errOccurredReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp ; + assign _read_RL_sq_respHandleSQ_retryFlushDone_EN_cntrl_stateReg_wget = + !sq_respHandleSQ_errOccurredReg && + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_recvErrRespReg ; + assign _read_RL_sq_respHandleSQ_retryFlushDone_EN_cntrl_stateReg_whas = + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_retryF_ETC___d5690 ; + assign _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_pendingWorkReqBuf_emptyReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_pendingWorkReqBuf_emptyReg_whas = + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_retryF_ETC___d5690 ; + assign _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_retryHandler_retryHandleStateReg_wget = + 1'b1 ; + assign _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_retryHandler_retryHandleStateReg_whas = + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_retryF_ETC___d5690 ; + assign _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_recvErrRespReg_wget = + cntrl_stateReg == 4'd3 && sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg ; + assign _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_recvErrRespReg_whas = + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_retryF_ETC___d5690 ; + assign _write_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_recvRetryRespReg_wget = + sq_retryHandler_retryHandleStateReg == 3'd7 ; + assign _write_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_recvRetryRespReg_whas = + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_retryF_ETC___d5690 ; + assign _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_errOccurredReg_wget = + cntrl_stateReg == 4'd3 && sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_recvErrRespReg ; + assign _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_errOccurredReg_whas = + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_retryF_ETC___d5690 ; + assign _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_retryFlushReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_recvErrRespReg && + !sq_respHandleSQ_errOccurredReg ; + assign _read_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_retryFlushReg_whas = + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_retryF_ETC___d5690 ; + assign _write_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_retryFlushReg_wget = + sq_retryHandler_retryHandleStateReg == 3'd7 ; + assign _write_RL_sq_respHandleSQ_retryFlushDone_EN_sq_respHandleSQ_retryFlushReg_whas = + cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_retryF_ETC___d5690 ; + assign _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_cntrl_stateReg_wget = + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_retryFlushReg && + (!respPktPipe_metaDataQ_EMPTY_N || + sq_respHandleSQ_incomingRespQ_FULL_N) ; + assign _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload ; + assign _first_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_wget = + respPktPipe_metaDataQ_EMPTY_N ; + assign _first_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload ; + assign _deq_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_wget = + respPktPipe_metaDataQ_EMPTY_N ; + assign _deq_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload ; + assign _notEmpty_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_wget = + 1'b1 ; + assign _notEmpty_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload ; + assign _i_notEmpty_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_wget = + cntrl_stateReg == 4'd3 && respPktPipe_metaDataQ_EMPTY_N && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_retryFlushReg && + sq_respHandleSQ_incomingRespQ_FULL_N ; + assign _i_notEmpty_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_respPktPipe_metaDataQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload ; + assign _enq_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_incomingRespQ_wget = + respPktPipe_metaDataQ_EMPTY_N ; + assign _enq_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_incomingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload ; + assign _i_notFull_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_incomingRespQ_wget = + cntrl_stateReg == 4'd3 && respPktPipe_metaDataQ_EMPTY_N && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_retryFlushReg ; + assign _i_notFull_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_incomingRespQ_whas = + CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload ; + assign _write_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_preStageStateReg_wget = + 1'd1 ; + assign _write_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_preStageStateReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload ; + assign _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_recvErrRespReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_errOccurredReg && + sq_respHandleSQ_retryFlushReg && + (!respPktPipe_metaDataQ_EMPTY_N || + sq_respHandleSQ_incomingRespQ_FULL_N) ; + assign _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_recvErrRespReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload ; + assign _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_errOccurredReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_retryFlushReg && + (!respPktPipe_metaDataQ_EMPTY_N || + sq_respHandleSQ_incomingRespQ_FULL_N) ; + assign _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_errOccurredReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload ; + assign _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_retryFlushReg_wget = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_errOccurredReg && + (!respPktPipe_metaDataQ_EMPTY_N || + sq_respHandleSQ_incomingRespQ_FULL_N) && + !sq_respHandleSQ_recvErrRespReg ; + assign _read_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload_EN_sq_respHandleSQ_retryFlushReg_whas = + CAN_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload ; + assign _read_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 && + IF_sq_reqGenSQ_workCompGenReqOutQ_notEmpty__69_ETC___d5707 && + cntrl_stateReg != 4'd6 && + sq_workCompGenSQ_workCompGenStateReg != 2'd2 ; + assign _read_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + assign _read_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_cntrl_stateReg_wget = + cntrl_preStateReg == 4'd3 && + IF_sq_reqGenSQ_workCompGenReqOutQ_notEmpty__69_ETC___d5707 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 ; + assign _read_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + assign _first_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_wget = + sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N ; + assign _first_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + assign _deq_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_wget = + sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N ; + assign _deq_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + assign _notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_wget = + 1'b1 ; + assign _notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + assign _i_notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_wget = + (cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 || + cntrl_stateReg == 4'd6 || + sq_workCompGenSQ_workCompGenStateReg == 2'd2) && + sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N && + sq_workCompGenSQ_pendingWorkCompQ4SQ_FULL_N ; + assign _i_notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_reqGenSQ_workCompGenReqOutQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + assign _first_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_wget = + sq_respHandleSQ_workCompGenReqOutQ_EMPTY_N && + !sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N ; + assign _first_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + assign _deq_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_wget = + !sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N && + sq_respHandleSQ_workCompGenReqOutQ_EMPTY_N ; + assign _deq_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + assign _notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_wget = + !sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N ; + assign _notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + assign _i_notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_wget = + !sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N && + sq_respHandleSQ_workCompGenReqOutQ_EMPTY_N && + sq_workCompGenSQ_pendingWorkCompQ4SQ_FULL_N && + (cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 || + cntrl_stateReg == 4'd6 || + sq_workCompGenSQ_workCompGenStateReg == 2'd2) ; + assign _i_notEmpty_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_respHandleSQ_workCompGenReqOutQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + assign _enq_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_wget = + sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N || + sq_respHandleSQ_workCompGenReqOutQ_EMPTY_N ; + assign _enq_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + assign _i_notFull_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_wget = + sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N && + (cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 || + cntrl_stateReg == 4'd6 || + sq_workCompGenSQ_workCompGenStateReg == 2'd2) ; + assign _i_notFull_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + assign _read_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_workCompGenSQ_workCompGenStateReg_wget = + cntrl_stateReg == 4'd3 && + IF_sq_reqGenSQ_workCompGenReqOutQ_notEmpty__69_ETC___d5707 && + cntrl_preStateReg == 4'd3 ; + assign _read_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ_EN_sq_workCompGenSQ_workCompGenStateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ ; + assign _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 && + cntrl_stateReg != 4'd6 && + sq_workCompGenSQ_workCompGenStateReg != 2'd2 && + (sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[30:29] != 2'd0 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[561] || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd4 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd5 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd6 || + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7) && + sq_workCompGenSQ_pendingWorkCompQ4SQ_EMPTY_N && + (sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10 || + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7) && + sq_workCompGenSQ_dmaWaitingQ_FULL_N ; + assign _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + assign _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_stateReg_wget = + (sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd0 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd1 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd2 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd3 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd4 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd5 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd6 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd7 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd8 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd9 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd10) && + sq_workCompGenSQ_dmaWaitingQ_FULL_N && + sq_workCompGenSQ_pendingWorkCompQ4SQ_EMPTY_N ; + assign _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + assign _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_sqSigAllReg_wget = + !sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[561] && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[30:29] == 2'd0 ; + assign _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_sqSigAllReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + assign _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_sqpnReg_wget = + 1'b1 ; + assign _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_sqpnReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + assign _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_pkeyReg_wget = + 1'b1 ; + assign _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_cntrl_pkeyReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + assign _enq_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget = + 1'd1 ; + assign _enq_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + assign _i_notFull_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget = + (cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 || + cntrl_stateReg == 4'd6 || + sq_workCompGenSQ_workCompGenStateReg == 2'd2) && + (sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[30:29] != 2'd0 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[561] || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd4 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd5 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd6 || + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7) && + (sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10 || + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7) && + sq_workCompGenSQ_pendingWorkCompQ4SQ_EMPTY_N ; + assign _i_notFull_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + assign _first_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_wget = + 1'b1 ; + assign _first_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + assign _deq_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_wget = + 1'd1 ; + assign _deq_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + assign _i_notEmpty_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_wget = + (cntrl_preStateReg == 4'd3 && cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 || + cntrl_stateReg == 4'd6 || + sq_workCompGenSQ_workCompGenStateReg == 2'd2) && + (sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[30:29] != 2'd0 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[561] || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd4 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd5 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd6 || + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7) && + (sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10 || + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7) && + sq_workCompGenSQ_dmaWaitingQ_FULL_N ; + assign _i_notEmpty_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_pendingWorkCompQ4SQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + assign _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_workCompGenStateReg_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + (sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[30:29] != 2'd0 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[561] || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd4 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd5 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd6 || + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7) && + sq_workCompGenSQ_pendingWorkCompQ4SQ_EMPTY_N && + (sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10 || + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7) && + sq_workCompGenSQ_dmaWaitingQ_FULL_N ; + assign _read_RL_sq_workCompGenSQ_genPendingWorkCompSQ_EN_sq_workCompGenSQ_workCompGenStateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + assign _read_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 && + sq_workCompGenSQ_dmaWaitingQ_EMPTY_N && + sq_workCompGenSQ_genWorkCompQ_FULL_N ; + assign _read_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ ; + assign _read_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_cntrl_stateReg_wget = + cntrl_preStateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 && + sq_workCompGenSQ_dmaWaitingQ_EMPTY_N && + sq_workCompGenSQ_genWorkCompQ_FULL_N ; + assign _read_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ ; + assign _first_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget = + 1'b1 ; + assign _first_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ ; + assign _deq_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget = + 1'd1 ; + assign _deq_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ ; + assign _i_notEmpty_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_workCompGenSQ_genWorkCompQ_FULL_N && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 ; + assign _i_notEmpty_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ ; + assign _enq_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget = + 1'd1 ; + assign _enq_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ ; + assign _i_notFull_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_workCompGenSQ_dmaWaitingQ_EMPTY_N && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 ; + assign _i_notFull_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ ; + assign _read_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_workCompGenStateReg_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_workCompGenSQ_dmaWaitingQ_EMPTY_N && + sq_workCompGenSQ_genWorkCompQ_FULL_N ; + assign _read_RL_sq_workCompGenSQ_waitDmaDoneSQ_EN_sq_workCompGenSQ_workCompGenStateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ ; + assign _read_RL_sq_workCompGenSQ_genWorkCompSQ_EN_cntrl_preStateReg_wget = + cntrl_stateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 && + sq_workCompGenSQ_genWorkCompQ_EMPTY_N && + IF_sq_workCompGenSQ_genWorkCompQ_first__802_BI_ETC___d5808 ; + assign _read_RL_sq_workCompGenSQ_genWorkCompSQ_EN_cntrl_preStateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ ; + assign _read_RL_sq_workCompGenSQ_genWorkCompSQ_EN_cntrl_stateReg_wget = + cntrl_preStateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 && + sq_workCompGenSQ_genWorkCompQ_EMPTY_N && + IF_sq_workCompGenSQ_genWorkCompQ_first__802_BI_ETC___d5808 ; + assign _read_RL_sq_workCompGenSQ_genWorkCompSQ_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ ; + assign _enq_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompOutQ4SQ_wget = + sq_workCompGenSQ_genWorkCompQ_D_OUT[0] || + !sq_workCompGenSQ_genWorkCompQ_D_OUT[1] ; + assign _enq_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompOutQ4SQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ ; + assign _i_notFull_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompOutQ4SQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 && + sq_workCompGenSQ_genWorkCompQ_EMPTY_N && + sq_workCompGenSQ_genWorkCompQ_D_OUT[0] ; + assign _i_notFull_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompOutQ4SQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ ; + assign _first_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget = + 1'b1 ; + assign _first_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ ; + assign _deq_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget = + 1'd1 ; + assign _deq_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ ; + assign _i_notEmpty_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + IF_sq_workCompGenSQ_genWorkCompQ_first__802_BI_ETC___d5808 && + sq_workCompGenSQ_workCompGenStateReg == 2'd1 ; + assign _i_notEmpty_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ ; + assign _read_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompGenStateReg_wget = + cntrl_stateReg == 4'd3 && cntrl_preStateReg == 4'd3 && + sq_workCompGenSQ_genWorkCompQ_EMPTY_N && + IF_sq_workCompGenSQ_genWorkCompQ_first__802_BI_ETC___d5808 ; + assign _read_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompGenStateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ ; + assign _write_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompGenStateReg_wget = + !sq_workCompGenSQ_genWorkCompQ_D_OUT[1] ; + assign _write_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_workCompGenStateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ ; + assign _write_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_wget = + !sq_workCompGenSQ_genWorkCompQ_D_OUT[1] ; + assign _write_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ ; + assign _write_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg_wget = + !sq_workCompGenSQ_genWorkCompQ_D_OUT[1] ; + assign _write_RL_sq_workCompGenSQ_genWorkCompSQ_EN_sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ ; + assign _read_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_cntrl_stateReg_wget = + sq_workCompGenSQ_workCompGenStateReg != 2'd2 && + sq_workCompGenSQ_dmaWaitingQ_EMPTY_N && + sq_workCompGenSQ_genWorkCompQ_FULL_N ; + assign _read_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_cntrl_stateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ ; + assign _first_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget = + 1'b1 ; + assign _first_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ ; + assign _deq_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget = + 1'd1 ; + assign _deq_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ ; + assign _i_notEmpty_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_dmaWaitingQ_wget = + (cntrl_stateReg == 4'd6 || + sq_workCompGenSQ_workCompGenStateReg == 2'd2) && + sq_workCompGenSQ_genWorkCompQ_FULL_N ; + assign _i_notEmpty_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_dmaWaitingQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ ; + assign _enq_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget = + 1'd1 ; + assign _enq_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ ; + assign _i_notFull_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_genWorkCompQ_wget = + (cntrl_stateReg == 4'd6 || + sq_workCompGenSQ_workCompGenStateReg == 2'd2) && + sq_workCompGenSQ_dmaWaitingQ_EMPTY_N ; + assign _i_notFull_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_genWorkCompQ_whas = + CAN_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ ; + assign _read_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_workCompGenStateReg_wget = + cntrl_stateReg != 4'd6 && sq_workCompGenSQ_dmaWaitingQ_EMPTY_N && + sq_workCompGenSQ_genWorkCompQ_FULL_N ; + assign _read_RL_sq_workCompGenSQ_noDmaWaitSQ_EN_sq_workCompGenSQ_workCompGenStateReg_whas = + CAN_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ ; + assign payloadGenerator4SQ_payloadBufQ_pwDequeue_whas = + CAN_FIRE_RL_payloadGenerator4SQ_bramQ2PipeOut_mkConnectionGetPut ; + assign payloadGenerator4SQ_payloadBufQ_pwEnqueue_whas = + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding ; + assign payloadGenerator4SQ_payloadBufQ_pwClear_whas = + cntrl_stateReg == 4'd0 ; + assign cntrl_setStateErrReg_port0__read = cntrl_setStateErrReg ; + assign cntrl_setStateErrReg_EN_port0__write = CAN_FIRE_RL_errTrigger ; + assign cntrl_setStateErrReg_port0__write_1 = 1'd1 ; + assign cntrl_setStateErrReg_port1__read = + CAN_FIRE_RL_errTrigger || cntrl_setStateErrReg ; + assign cntrl_setStateErrReg_EN_port1__write = 1'd1 ; + assign cntrl_setStateErrReg_port1__write_1 = 1'd0 ; + assign cntrl_setStateErrReg_port2__read = 1'd0 ; + assign cntrl_setStateErrReg_EN_port2__write = 1'b0 ; + assign cntrl_setStateErrReg_port2__write_1 = 1'b0 ; + assign cntrl_setStateErrReg_port3__read = 1'd0 ; + assign cntrl_setStateErrReg_EN_port3__write = 1'b0 ; + assign cntrl_setStateErrReg_port3__write_1 = 1'b0 ; + assign cntrl_setStateErrReg_port4__read = 1'd0 ; + assign cntrl_setStateErrReg_EN_port4__write = 1'b0 ; + assign cntrl_setStateErrReg_port4__write_1 = 1'b0 ; + assign cntrl_qpDestroyReg_port0__read = cntrl_qpDestroyReg ; + assign cntrl_qpDestroyReg_EN_port0__write = 1'b0 ; + assign cntrl_qpDestroyReg_port0__write_1 = 1'b0 ; + assign cntrl_qpDestroyReg_port1__read = cntrl_qpDestroyReg ; + assign cntrl_qpDestroyReg_EN_port1__write = 1'b0 ; + assign cntrl_qpDestroyReg_port1__write_1 = 1'b0 ; + assign cntrl_qpDestroyReg_port2__read = cntrl_qpDestroyReg ; + assign cntrl_qpDestroyReg_EN_port2__write = 1'b0 ; + assign cntrl_qpDestroyReg_port2__write_1 = 1'b0 ; + assign cntrl_qpDestroyReg_port3__read = cntrl_qpDestroyReg ; + assign cntrl_qpDestroyReg_EN_port3__write = 1'b0 ; + assign cntrl_qpDestroyReg_port3__write_1 = 1'b0 ; + assign cntrl_qpDestroyReg_port4__read = cntrl_qpDestroyReg ; + assign cntrl_qpDestroyReg_EN_port4__write = 1'b0 ; + assign cntrl_qpDestroyReg_port4__write_1 = 1'b0 ; + assign cntrl_nextStateReg_port0__read = cntrl_nextStateReg ; + assign cntrl_nextStateReg_EN_port0__write = + WILL_FIRE_RL_cntrl_onReset && + cntrl_reqQ_D_OUT[300:299] == 2'd0 || + WILL_FIRE_RL_cntrl_onCreate && + cntrl_reqQ_D_OUT[300:299] == 2'd2 && + cntrl_reqQ_D_OUT[216:213] == 4'd1 && + { 21'd0, + cntrl_reqQ_D_OUT[221:220], + 2'd0, + cntrl_reqQ_D_OUT[217] } == + 26'd25 || + WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] == 2'd2 && + cntrl_reqQ_D_OUT[216:213] == 4'd2 && + { 5'd0, + cntrl_reqQ_D_OUT[237], + 2'd0, + cntrl_reqQ_D_OUT[234], + 1'd0, + cntrl_reqQ_D_OUT[232], + 2'd0, + cntrl_reqQ_D_OUT[229], + 3'd0, + cntrl_reqQ_D_OUT[225], + 7'd0, + cntrl_reqQ_D_OUT[217] } == + 26'd1216769 || + WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] == 2'd2 && + (cntrl_reqQ_D_OUT[216:213] == 4'd6 && cntrl_reqQ_D_OUT[217] || + cntrl_reqQ_D_OUT[216:213] == 4'd3 && + { 9'd0, + cntrl_reqQ_D_OUT[233], + 2'd0, + cntrl_reqQ_D_OUT[230], + 1'd0, + cntrl_reqQ_D_OUT[228:226], + 8'd0, + cntrl_reqQ_D_OUT[217] } == + 26'd77313) || + WILL_FIRE_RL_cntrl_onRTS && cntrl_reqQ_D_OUT[300:299] == 2'd2 && + (cntrl_reqQ_D_OUT[216:213] == 4'd4 || + cntrl_reqQ_D_OUT[216:213] == 4'd6) && + cntrl_reqQ_D_OUT[217] || + WILL_FIRE_RL_cntrl_onSQD && cntrl_reqQ_D_OUT[300:299] == 2'd2 && + (cntrl_reqQ_D_OUT[216:213] == 4'd6 || + cntrl_reqQ_D_OUT[216:213] == 4'd3) && + cntrl_reqQ_D_OUT[217] || + WILL_FIRE_RL_cntrl_onERR && + (cntrl_reqQ_D_OUT[300:299] == 2'd1 || + cntrl_reqQ_D_OUT[300:299] == 2'd2 && + cntrl_reqQ_D_OUT[216:213] == 4'd0 && + cntrl_reqQ_D_OUT[217]) ; + always@(MUX_cntrl_nextStateReg_port0__write_1__SEL_1 or + MUX_cntrl_nextStateReg_port0__write_1__SEL_2 or + MUX_cntrl_nextStateReg_port0__write_1__VAL_2 or + MUX_cntrl_nextStateReg_port0__write_1__SEL_3 or + MUX_cntrl_nextStateReg_port0__write_1__SEL_4 or + MUX_cntrl_nextStateReg_port0__write_1__SEL_5 or + MUX_cntrl_nextStateReg_port0__write_1__SEL_6 or + MUX_cntrl_nextStateReg_port0__write_1__SEL_7 or + MUX_cntrl_nextStateReg_port0__write_1__VAL_7) + begin + case (1'b1) // synopsys parallel_case + MUX_cntrl_nextStateReg_port0__write_1__SEL_1: + cntrl_nextStateReg_port0__write_1 = 5'd24; + MUX_cntrl_nextStateReg_port0__write_1__SEL_2: + cntrl_nextStateReg_port0__write_1 = + MUX_cntrl_nextStateReg_port0__write_1__VAL_2; + MUX_cntrl_nextStateReg_port0__write_1__SEL_3: + cntrl_nextStateReg_port0__write_1 = + MUX_cntrl_nextStateReg_port0__write_1__VAL_2; + MUX_cntrl_nextStateReg_port0__write_1__SEL_4: + cntrl_nextStateReg_port0__write_1 = + MUX_cntrl_nextStateReg_port0__write_1__VAL_2; + MUX_cntrl_nextStateReg_port0__write_1__SEL_5: + cntrl_nextStateReg_port0__write_1 = + MUX_cntrl_nextStateReg_port0__write_1__VAL_2; + MUX_cntrl_nextStateReg_port0__write_1__SEL_6: + cntrl_nextStateReg_port0__write_1 = + MUX_cntrl_nextStateReg_port0__write_1__VAL_2; + MUX_cntrl_nextStateReg_port0__write_1__SEL_7: + cntrl_nextStateReg_port0__write_1 = + MUX_cntrl_nextStateReg_port0__write_1__VAL_7; + default: cntrl_nextStateReg_port0__write_1 = + 5'b01010 /* unspecified value */ ; + endcase + end + assign cntrl_nextStateReg_port1__read = + cntrl_nextStateReg_EN_port0__write ? + cntrl_nextStateReg_port0__write_1 : + cntrl_nextStateReg ; + assign cntrl_nextStateReg_EN_port1__write = 1'd1 ; + assign cntrl_nextStateReg_port1__write_1 = 5'd10 ; + assign cntrl_nextStateReg_port2__read = 5'd10 ; + assign cntrl_nextStateReg_EN_port2__write = 1'b0 ; + assign cntrl_nextStateReg_port2__write_1 = 5'h0 ; + assign cntrl_nextStateReg_port3__read = 5'd10 ; + assign cntrl_nextStateReg_EN_port3__write = 1'b0 ; + assign cntrl_nextStateReg_port3__write_1 = 5'h0 ; + assign cntrl_nextStateReg_port4__read = 5'd10 ; + assign cntrl_nextStateReg_EN_port4__write = 1'b0 ; + assign cntrl_nextStateReg_port4__write_1 = 5'h0 ; + assign cntrl_preReqOpCodeReg_port0__read = cntrl_preReqOpCodeReg ; + assign cntrl_preReqOpCodeReg_EN_port0__write = cntrl_stateReg == 4'd0 ; + assign cntrl_preReqOpCodeReg_port0__write_1 = 5'd4 ; + assign cntrl_preReqOpCodeReg_port1__read = + (cntrl_stateReg == 4'd0) ? 5'd4 : cntrl_preReqOpCodeReg ; + assign cntrl_preReqOpCodeReg_EN_port1__write = CAN_FIRE_RL_cntrl_restore ; + assign cntrl_preReqOpCodeReg_port1__write_1 = cntrl_restoreQ_D_OUT[28:24] ; + assign cntrl_preReqOpCodeReg_port2__read = + CAN_FIRE_RL_cntrl_restore ? + cntrl_restoreQ_D_OUT[28:24] : + cntrl_preReqOpCodeReg_port1__read ; + assign cntrl_preReqOpCodeReg_EN_port2__write = 1'b0 ; + assign cntrl_preReqOpCodeReg_port2__write_1 = 5'h0 ; + assign cntrl_preReqOpCodeReg_port3__read = + cntrl_preReqOpCodeReg_port2__read ; + assign cntrl_preReqOpCodeReg_EN_port3__write = 1'b0 ; + assign cntrl_preReqOpCodeReg_port3__write_1 = 5'h0 ; + assign cntrl_preReqOpCodeReg_port4__read = + cntrl_preReqOpCodeReg_port2__read ; + assign cntrl_preReqOpCodeReg_EN_port4__write = 1'b0 ; + assign cntrl_preReqOpCodeReg_port4__write_1 = 5'h0 ; + assign cntrl_epsnReg_port0__read = cntrl_epsnReg ; + assign cntrl_epsnReg_EN_port0__write = + WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] == 2'd2 ; + assign cntrl_epsnReg_port0__write_1 = cntrl_reqQ_D_OUT[173:150] ; + assign cntrl_epsnReg_port1__read = + cntrl_epsnReg_EN_port0__write ? + cntrl_reqQ_D_OUT[173:150] : + cntrl_epsnReg ; + assign cntrl_epsnReg_EN_port1__write = CAN_FIRE_RL_cntrl_restore ; + assign cntrl_epsnReg_port1__write_1 = cntrl_restoreQ_D_OUT[23:0] ; + assign cntrl_epsnReg_port2__read = + CAN_FIRE_RL_cntrl_restore ? + cntrl_restoreQ_D_OUT[23:0] : + cntrl_epsnReg_port1__read ; + assign cntrl_epsnReg_EN_port2__write = 1'b0 ; + assign cntrl_epsnReg_port2__write_1 = 24'h0 ; + assign cntrl_epsnReg_port3__read = cntrl_epsnReg_port2__read ; + assign cntrl_epsnReg_EN_port3__write = 1'b0 ; + assign cntrl_epsnReg_port3__write_1 = 24'h0 ; + assign cntrl_epsnReg_port4__read = cntrl_epsnReg_port2__read ; + assign cntrl_epsnReg_EN_port4__write = 1'b0 ; + assign cntrl_epsnReg_port4__write_1 = 24'h0 ; + assign dmaReadCntrl4SQ_gracefulStopReg_port0__read = + dmaReadCntrl4SQ_gracefulStopReg ; + assign dmaReadCntrl4SQ_gracefulStopReg_EN_port0__write = + CAN_FIRE_RL_cancelDmaReadSQ ; + assign dmaReadCntrl4SQ_gracefulStopReg_port0__write_1 = 1'd0 ; + assign dmaReadCntrl4SQ_gracefulStopReg_port1__read = + !CAN_FIRE_RL_cancelDmaReadSQ && dmaReadCntrl4SQ_gracefulStopReg ; + assign dmaReadCntrl4SQ_gracefulStopReg_EN_port1__write = + WILL_FIRE_RL_dmaReadCntrl4SQ_resetAndClear || + WILL_FIRE_RL_dmaReadCntrl4SQ_setGracefulStop ; + assign dmaReadCntrl4SQ_gracefulStopReg_port1__write_1 = + !WILL_FIRE_RL_dmaReadCntrl4SQ_resetAndClear ; + assign dmaReadCntrl4SQ_gracefulStopReg_port2__read = + dmaReadCntrl4SQ_gracefulStopReg_EN_port1__write ? + !WILL_FIRE_RL_dmaReadCntrl4SQ_resetAndClear : + dmaReadCntrl4SQ_gracefulStopReg_port1__read ; + assign dmaReadCntrl4SQ_gracefulStopReg_EN_port2__write = 1'b0 ; + assign dmaReadCntrl4SQ_gracefulStopReg_port2__write_1 = 1'b0 ; + assign dmaReadCntrl4SQ_gracefulStopReg_port3__read = + dmaReadCntrl4SQ_gracefulStopReg_port2__read ; + assign dmaReadCntrl4SQ_gracefulStopReg_EN_port3__write = 1'b0 ; + assign dmaReadCntrl4SQ_gracefulStopReg_port3__write_1 = 1'b0 ; + assign dmaReadCntrl4SQ_gracefulStopReg_port4__read = + dmaReadCntrl4SQ_gracefulStopReg_port2__read ; + assign dmaReadCntrl4SQ_gracefulStopReg_EN_port4__write = 1'b0 ; + assign dmaReadCntrl4SQ_gracefulStopReg_port4__write_1 = 1'b0 ; + assign dmaReadCntrl4SQ_cancelReg_port0__read = dmaReadCntrl4SQ_cancelReg ; + assign dmaReadCntrl4SQ_cancelReg_EN_port0__write = + CAN_FIRE_RL_cancelDmaReadSQ ; + assign dmaReadCntrl4SQ_cancelReg_port0__write_1 = 1'd1 ; + assign dmaReadCntrl4SQ_cancelReg_port1__read = + CAN_FIRE_RL_cancelDmaReadSQ || dmaReadCntrl4SQ_cancelReg ; + assign dmaReadCntrl4SQ_cancelReg_EN_port1__write = cntrl_stateReg == 4'd0 ; + assign dmaReadCntrl4SQ_cancelReg_port1__write_1 = 1'd0 ; + assign dmaReadCntrl4SQ_cancelReg_port2__read = + cntrl_stateReg != 4'd0 && dmaReadCntrl4SQ_cancelReg_port1__read ; + assign dmaReadCntrl4SQ_cancelReg_EN_port2__write = 1'b0 ; + assign dmaReadCntrl4SQ_cancelReg_port2__write_1 = 1'b0 ; + assign dmaReadCntrl4SQ_cancelReg_port3__read = + dmaReadCntrl4SQ_cancelReg_port2__read ; + assign dmaReadCntrl4SQ_cancelReg_EN_port3__write = 1'b0 ; + assign dmaReadCntrl4SQ_cancelReg_port3__write_1 = 1'b0 ; + assign dmaReadCntrl4SQ_cancelReg_port4__read = + dmaReadCntrl4SQ_cancelReg_port2__read ; + assign dmaReadCntrl4SQ_cancelReg_EN_port4__write = 1'b0 ; + assign dmaReadCntrl4SQ_cancelReg_port4__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_pushReg_port0__read = + sq_pendingWorkReqBuf_pushReg ; + assign sq_pendingWorkReqBuf_pushReg_EN_port0__write = + CAN_FIRE_RL_sq_pendingWorkReq2Q_mkConnectionGetPut ; + assign sq_pendingWorkReqBuf_pushReg_port0__write_1 = + { 1'd1, sq_reqGenSQ_pendingWorkReqOutQ_D_OUT } ; + assign sq_pendingWorkReqBuf_pushReg_port1__read = + CAN_FIRE_RL_sq_pendingWorkReq2Q_mkConnectionGetPut ? + sq_pendingWorkReqBuf_pushReg_port0__write_1 : + sq_pendingWorkReqBuf_pushReg ; + assign sq_pendingWorkReqBuf_pushReg_EN_port1__write = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize || + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + assign sq_pendingWorkReqBuf_pushReg_port1__write_1 = + 680'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA ; + assign sq_pendingWorkReqBuf_pushReg_port2__read = + sq_pendingWorkReqBuf_pushReg_EN_port1__write ? + 680'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA : + sq_pendingWorkReqBuf_pushReg_port1__read ; + assign sq_pendingWorkReqBuf_pushReg_EN_port2__write = 1'b0 ; + assign sq_pendingWorkReqBuf_pushReg_port2__write_1 = 680'h0 ; + assign sq_pendingWorkReqBuf_pushReg_port3__read = + sq_pendingWorkReqBuf_pushReg_port2__read ; + assign sq_pendingWorkReqBuf_pushReg_EN_port3__write = 1'b0 ; + assign sq_pendingWorkReqBuf_pushReg_port3__write_1 = 680'h0 ; + assign sq_pendingWorkReqBuf_pushReg_port4__read = + sq_pendingWorkReqBuf_pushReg_port2__read ; + assign sq_pendingWorkReqBuf_pushReg_EN_port4__write = 1'b0 ; + assign sq_pendingWorkReqBuf_pushReg_port4__write_1 = 680'h0 ; + assign sq_pendingWorkReqBuf_popReg_port0__read = + sq_pendingWorkReqBuf_popReg ; + assign sq_pendingWorkReqBuf_popReg_EN_port0__write = + WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + sq_respHandleSQ_preStageDeqPendingWorkReqReg || + WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign sq_pendingWorkReqBuf_popReg_port0__write_1 = 1'd1 ; + assign sq_pendingWorkReqBuf_popReg_port1__read = + sq_pendingWorkReqBuf_popReg_EN_port0__write ? + 1'd1 : + sq_pendingWorkReqBuf_popReg ; + assign sq_pendingWorkReqBuf_popReg_EN_port1__write = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize || + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + assign sq_pendingWorkReqBuf_popReg_port1__write_1 = 1'd0 ; + assign sq_pendingWorkReqBuf_popReg_port2__read = + !sq_pendingWorkReqBuf_popReg_EN_port1__write && + sq_pendingWorkReqBuf_popReg_port1__read ; + assign sq_pendingWorkReqBuf_popReg_EN_port2__write = 1'b0 ; + assign sq_pendingWorkReqBuf_popReg_port2__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_popReg_port3__read = + sq_pendingWorkReqBuf_popReg_port2__read ; + assign sq_pendingWorkReqBuf_popReg_EN_port3__write = 1'b0 ; + assign sq_pendingWorkReqBuf_popReg_port3__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_popReg_port4__read = + sq_pendingWorkReqBuf_popReg_port2__read ; + assign sq_pendingWorkReqBuf_popReg_EN_port4__write = 1'b0 ; + assign sq_pendingWorkReqBuf_popReg_port4__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_clearReg_port0__read = + sq_pendingWorkReqBuf_clearReg ; + assign sq_pendingWorkReqBuf_clearReg_EN_port0__write = + WILL_FIRE_RL_sq_resetAndClear || + WILL_FIRE_RL_sq_retryHandler_resetAndClear ; + assign sq_pendingWorkReqBuf_clearReg_port0__write_1 = 1'd1 ; + assign sq_pendingWorkReqBuf_clearReg_port1__read = + CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + assign sq_pendingWorkReqBuf_clearReg_EN_port1__write = + CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + assign sq_pendingWorkReqBuf_clearReg_port1__write_1 = 1'd0 ; + assign sq_pendingWorkReqBuf_clearReg_port2__read = + !CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll && + CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + assign sq_pendingWorkReqBuf_clearReg_EN_port2__write = 1'b0 ; + assign sq_pendingWorkReqBuf_clearReg_port2__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_clearReg_port3__read = + sq_pendingWorkReqBuf_clearReg_port2__read ; + assign sq_pendingWorkReqBuf_clearReg_EN_port3__write = 1'b0 ; + assign sq_pendingWorkReqBuf_clearReg_port3__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_clearReg_port4__read = + sq_pendingWorkReqBuf_clearReg_port2__read ; + assign sq_pendingWorkReqBuf_clearReg_EN_port4__write = 1'b0 ; + assign sq_pendingWorkReqBuf_clearReg_port4__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_preScanStartReg_port0__read = + sq_pendingWorkReqBuf_preScanStartReg ; + assign sq_pendingWorkReqBuf_preScanStartReg_EN_port0__write = + WILL_FIRE_RL_sq_retryHandler_startPreRetry && + sq_pendingWorkReqBuf_scanStateReg == 2'd0 ; + assign sq_pendingWorkReqBuf_preScanStartReg_port0__write_1 = 1'd1 ; + assign sq_pendingWorkReqBuf_preScanStartReg_port1__read = + sq_pendingWorkReqBuf_preScanStartReg_EN_port0__write || + sq_pendingWorkReqBuf_preScanStartReg ; + assign sq_pendingWorkReqBuf_preScanStartReg_EN_port1__write = + WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode || + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + assign sq_pendingWorkReqBuf_preScanStartReg_port1__write_1 = 1'd0 ; + assign sq_pendingWorkReqBuf_preScanStartReg_port2__read = + !sq_pendingWorkReqBuf_preScanStartReg_EN_port1__write && + sq_pendingWorkReqBuf_preScanStartReg_port1__read ; + assign sq_pendingWorkReqBuf_preScanStartReg_EN_port2__write = 1'b0 ; + assign sq_pendingWorkReqBuf_preScanStartReg_port2__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_preScanStartReg_port3__read = + sq_pendingWorkReqBuf_preScanStartReg_port2__read ; + assign sq_pendingWorkReqBuf_preScanStartReg_EN_port3__write = 1'b0 ; + assign sq_pendingWorkReqBuf_preScanStartReg_port3__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_preScanStartReg_port4__read = + sq_pendingWorkReqBuf_preScanStartReg_port2__read ; + assign sq_pendingWorkReqBuf_preScanStartReg_EN_port4__write = 1'b0 ; + assign sq_pendingWorkReqBuf_preScanStartReg_port4__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_scanStartReg_port0__read = + sq_pendingWorkReqBuf_scanStartReg ; + assign sq_pendingWorkReqBuf_scanStartReg_EN_port0__write = + CAN_FIRE_RL_sq_retryHandler_startRetry ; + assign sq_pendingWorkReqBuf_scanStartReg_port0__write_1 = 1'd1 ; + assign sq_pendingWorkReqBuf_scanStartReg_port1__read = + CAN_FIRE_RL_sq_retryHandler_startRetry || + sq_pendingWorkReqBuf_scanStartReg ; + assign sq_pendingWorkReqBuf_scanStartReg_EN_port1__write = + WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode || + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + assign sq_pendingWorkReqBuf_scanStartReg_port1__write_1 = 1'd0 ; + assign sq_pendingWorkReqBuf_scanStartReg_port2__read = + !sq_pendingWorkReqBuf_scanStartReg_EN_port1__write && + sq_pendingWorkReqBuf_scanStartReg_port1__read ; + assign sq_pendingWorkReqBuf_scanStartReg_EN_port2__write = 1'b0 ; + assign sq_pendingWorkReqBuf_scanStartReg_port2__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_scanStartReg_port3__read = + sq_pendingWorkReqBuf_scanStartReg_port2__read ; + assign sq_pendingWorkReqBuf_scanStartReg_EN_port3__write = 1'b0 ; + assign sq_pendingWorkReqBuf_scanStartReg_port3__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_scanStartReg_port4__read = + sq_pendingWorkReqBuf_scanStartReg_port2__read ; + assign sq_pendingWorkReqBuf_scanStartReg_EN_port4__write = 1'b0 ; + assign sq_pendingWorkReqBuf_scanStartReg_port4__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_scanStopReg_port0__read = + sq_pendingWorkReqBuf_scanStopReg ; + assign sq_pendingWorkReqBuf_scanStopReg_EN_port0__write = + CAN_FIRE_RL_sq_retryHandler_stopScanQ ; + assign sq_pendingWorkReqBuf_scanStopReg_port0__write_1 = 1'd1 ; + assign sq_pendingWorkReqBuf_scanStopReg_port1__read = + CAN_FIRE_RL_sq_retryHandler_stopScanQ || + sq_pendingWorkReqBuf_scanStopReg ; + assign sq_pendingWorkReqBuf_scanStopReg_EN_port1__write = + WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange || + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + assign sq_pendingWorkReqBuf_scanStopReg_port1__write_1 = 1'd0 ; + assign sq_pendingWorkReqBuf_scanStopReg_port2__read = + !sq_pendingWorkReqBuf_scanStopReg_EN_port1__write && + sq_pendingWorkReqBuf_scanStopReg_port1__read ; + assign sq_pendingWorkReqBuf_scanStopReg_EN_port2__write = 1'b0 ; + assign sq_pendingWorkReqBuf_scanStopReg_port2__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_scanStopReg_port3__read = + sq_pendingWorkReqBuf_scanStopReg_port2__read ; + assign sq_pendingWorkReqBuf_scanStopReg_EN_port3__write = 1'b0 ; + assign sq_pendingWorkReqBuf_scanStopReg_port3__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_scanStopReg_port4__read = + sq_pendingWorkReqBuf_scanStopReg_port2__read ; + assign sq_pendingWorkReqBuf_scanStopReg_EN_port4__write = 1'b0 ; + assign sq_pendingWorkReqBuf_scanStopReg_port4__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_preScanRestartReg_port0__read = + sq_pendingWorkReqBuf_preScanRestartReg ; + assign sq_pendingWorkReqBuf_preScanRestartReg_EN_port0__write = + WILL_FIRE_RL_sq_retryHandler_startPreRetry && + sq_pendingWorkReqBuf_scanStateReg != 2'd0 ; + assign sq_pendingWorkReqBuf_preScanRestartReg_port0__write_1 = 1'd1 ; + assign sq_pendingWorkReqBuf_preScanRestartReg_port1__read = + sq_pendingWorkReqBuf_preScanRestartReg_EN_port0__write || + sq_pendingWorkReqBuf_preScanRestartReg ; + assign sq_pendingWorkReqBuf_preScanRestartReg_EN_port1__write = + WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange || + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + assign sq_pendingWorkReqBuf_preScanRestartReg_port1__write_1 = 1'd0 ; + assign sq_pendingWorkReqBuf_preScanRestartReg_port2__read = + !sq_pendingWorkReqBuf_preScanRestartReg_EN_port1__write && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read ; + assign sq_pendingWorkReqBuf_preScanRestartReg_EN_port2__write = 1'b0 ; + assign sq_pendingWorkReqBuf_preScanRestartReg_port2__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_preScanRestartReg_port3__read = + sq_pendingWorkReqBuf_preScanRestartReg_port2__read ; + assign sq_pendingWorkReqBuf_preScanRestartReg_EN_port3__write = 1'b0 ; + assign sq_pendingWorkReqBuf_preScanRestartReg_port3__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_preScanRestartReg_port4__read = + sq_pendingWorkReqBuf_preScanRestartReg_port2__read ; + assign sq_pendingWorkReqBuf_preScanRestartReg_EN_port4__write = 1'b0 ; + assign sq_pendingWorkReqBuf_preScanRestartReg_port4__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_scanDoneReg_port0__read = + sq_pendingWorkReqBuf_scanDoneReg ; + assign sq_pendingWorkReqBuf_scanDoneReg_EN_port0__write = + CAN_FIRE_RL_sq_pendingWorkReqBuf_scanNext ; + assign sq_pendingWorkReqBuf_scanDoneReg_port0__write_1 = + sq_pendingWorkReqBuf_scanAlmostDoneReg ; + assign sq_pendingWorkReqBuf_scanDoneReg_port1__read = + CAN_FIRE_RL_sq_pendingWorkReqBuf_scanNext ? + sq_pendingWorkReqBuf_scanAlmostDoneReg : + sq_pendingWorkReqBuf_scanDoneReg ; + assign sq_pendingWorkReqBuf_scanDoneReg_EN_port1__write = + WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange || + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + assign sq_pendingWorkReqBuf_scanDoneReg_port1__write_1 = 1'd0 ; + assign sq_pendingWorkReqBuf_scanDoneReg_port2__read = + !sq_pendingWorkReqBuf_scanDoneReg_EN_port1__write && + sq_pendingWorkReqBuf_scanDoneReg_port1__read ; + assign sq_pendingWorkReqBuf_scanDoneReg_EN_port2__write = 1'b0 ; + assign sq_pendingWorkReqBuf_scanDoneReg_port2__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_scanDoneReg_port3__read = + sq_pendingWorkReqBuf_scanDoneReg_port2__read ; + assign sq_pendingWorkReqBuf_scanDoneReg_EN_port3__write = 1'b0 ; + assign sq_pendingWorkReqBuf_scanDoneReg_port3__write_1 = 1'b0 ; + assign sq_pendingWorkReqBuf_scanDoneReg_port4__read = + sq_pendingWorkReqBuf_scanDoneReg_port2__read ; + assign sq_pendingWorkReqBuf_scanDoneReg_EN_port4__write = 1'b0 ; + assign sq_pendingWorkReqBuf_scanDoneReg_port4__write_1 = 1'b0 ; + assign sq_retryHandler_retryCntrlStateReg_port0__read = + sq_retryHandler_retryCntrlStateReg ; + assign sq_retryHandler_retryCntrlStateReg_EN_port0__write = + WILL_FIRE_RL_sq_retryHandler_waitRetryFinish && + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + WILL_FIRE_RL_sq_retryHandler_resetAndClear || + WILL_FIRE_RL_sq_retryHandler_initRetry ; + assign sq_retryHandler_retryCntrlStateReg_port0__write_1 = + (MUX_sq_retryHandler_retryCntrlStateReg_port0__write_1__SEL_1 || + WILL_FIRE_RL_sq_retryHandler_resetAndClear) ? + 2'd0 : + 2'd3 ; + assign sq_retryHandler_retryCntrlStateReg_port1__read = + sq_retryHandler_retryCntrlStateReg_EN_port0__write ? + sq_retryHandler_retryCntrlStateReg_port0__write_1 : + sq_retryHandler_retryCntrlStateReg ; + assign sq_retryHandler_retryCntrlStateReg_EN_port1__write = + MUX_sq_retryHandler_pauseRetryHandleReg_write_1__SEL_1 ; + assign sq_retryHandler_retryCntrlStateReg_port1__write_1 = + IF_IF_sq_retryHandler_updateRetryCntQ_first__3_ETC___d1388 ? + 2'd1 : + 2'd2 ; + assign sq_retryHandler_retryCntrlStateReg_port2__read = + MUX_sq_retryHandler_pauseRetryHandleReg_write_1__SEL_1 ? + sq_retryHandler_retryCntrlStateReg_port1__write_1 : + sq_retryHandler_retryCntrlStateReg_port1__read ; + assign sq_retryHandler_retryCntrlStateReg_EN_port2__write = 1'b0 ; + assign sq_retryHandler_retryCntrlStateReg_port2__write_1 = 2'h0 ; + assign sq_retryHandler_retryCntrlStateReg_port3__read = + sq_retryHandler_retryCntrlStateReg_port2__read ; + assign sq_retryHandler_retryCntrlStateReg_EN_port3__write = 1'b0 ; + assign sq_retryHandler_retryCntrlStateReg_port3__write_1 = 2'h0 ; + assign sq_retryHandler_retryCntrlStateReg_port4__read = + sq_retryHandler_retryCntrlStateReg_port2__read ; + assign sq_retryHandler_retryCntrlStateReg_EN_port4__write = 1'b0 ; + assign sq_retryHandler_retryCntrlStateReg_port4__write_1 = 2'h0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port0__read = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_EN_port0__write = + cntrl_stateReg == 4'd0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port0__write_1 = + 9'd256 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__read = + (cntrl_stateReg == 4'd0) ? + 9'd256 : + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_EN_port1__write = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_write ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__write_1 = + 9'd170 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port2__read = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__read[8] ? + 9'd170 : + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__read ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_EN_port2__write = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port2__write_1 = + 9'h0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port3__read = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port2__read ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_EN_port3__write = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port3__write_1 = + 9'h0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port4__read = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port2__read ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_EN_port4__write = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port4__write_1 = + 9'h0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port0__read = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN_port0__write = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_increment ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port0__write_1 = + 1'd1 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port1__read = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_increment || + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN_port1__write = + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrAndDecr || + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__read[8] ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port1__write_1 = + 1'd0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port2__read = + !sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN_port1__write && + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port1__read ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN_port2__write = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port2__write_1 = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port3__read = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port2__read ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN_port3__write = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port3__write_1 = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port4__read = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port2__read ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN_port4__write = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port4__write_1 = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port0__read = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN_port0__write = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrement ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port0__write_1 = + 1'd1 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port1__read = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrement || + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN_port1__write = + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrAndDecr || + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__read[8] ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port1__write_1 = + 1'd0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port2__read = + !sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN_port1__write && + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port1__read ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN_port2__write = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port2__write_1 = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port3__read = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port2__read ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN_port3__write = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port3__write_1 = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port4__read = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port2__read ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN_port4__write = + 1'b0 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port4__write_1 = + 1'b0 ; + assign sq_respHandleSQ_hasInternalErrReg_port0__read = + sq_respHandleSQ_hasInternalErrReg ; + assign sq_respHandleSQ_hasInternalErrReg_EN_port0__write = + WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd0 || + WILL_FIRE_RL_sq_respHandleSQ_resetAndClear ; + assign sq_respHandleSQ_hasInternalErrReg_port0__write_1 = + MUX_sq_respHandleSQ_hasInternalErrReg_port0__write_1__SEL_1 ; + assign sq_respHandleSQ_hasInternalErrReg_port1__read = + sq_respHandleSQ_hasInternalErrReg_EN_port0__write ? + MUX_sq_respHandleSQ_hasInternalErrReg_port0__write_1__SEL_1 : + sq_respHandleSQ_hasInternalErrReg ; + assign sq_respHandleSQ_hasInternalErrReg_EN_port1__write = + CAN_FIRE_RL_sq_respHandleSQ_canonicalize ; + assign sq_respHandleSQ_hasInternalErrReg_port1__write_1 = 1'd0 ; + assign sq_respHandleSQ_hasInternalErrReg_port2__read = + !CAN_FIRE_RL_sq_respHandleSQ_canonicalize && + sq_respHandleSQ_hasInternalErrReg_port1__read ; + assign sq_respHandleSQ_hasInternalErrReg_EN_port2__write = 1'b0 ; + assign sq_respHandleSQ_hasInternalErrReg_port2__write_1 = 1'b0 ; + assign sq_respHandleSQ_hasInternalErrReg_port3__read = + sq_respHandleSQ_hasInternalErrReg_port2__read ; + assign sq_respHandleSQ_hasInternalErrReg_EN_port3__write = 1'b0 ; + assign sq_respHandleSQ_hasInternalErrReg_port3__write_1 = 1'b0 ; + assign sq_respHandleSQ_hasInternalErrReg_port4__read = + sq_respHandleSQ_hasInternalErrReg_port2__read ; + assign sq_respHandleSQ_hasInternalErrReg_EN_port4__write = 1'b0 ; + assign sq_respHandleSQ_hasInternalErrReg_port4__write_1 = 1'b0 ; + assign sq_respHandleSQ_hasTimeOutErrReg_port0__read = + sq_respHandleSQ_hasTimeOutErrReg ; + assign sq_respHandleSQ_hasTimeOutErrReg_EN_port0__write = + WILL_FIRE_RL_sq_respHandleSQ_checkTimeOutErr && + sq_retryHandler_timeOutNotificationQ_D_OUT || + WILL_FIRE_RL_sq_respHandleSQ_resetAndClear || + WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign sq_respHandleSQ_hasTimeOutErrReg_port0__write_1 = + MUX_sq_respHandleSQ_hasTimeOutErrReg_port0__write_1__SEL_1 ; + assign sq_respHandleSQ_hasTimeOutErrReg_port1__read = + sq_respHandleSQ_hasTimeOutErrReg_EN_port0__write ? + MUX_sq_respHandleSQ_hasTimeOutErrReg_port0__write_1__SEL_1 : + sq_respHandleSQ_hasTimeOutErrReg ; + assign sq_respHandleSQ_hasTimeOutErrReg_EN_port1__write = 1'b0 ; + assign sq_respHandleSQ_hasTimeOutErrReg_port1__write_1 = 1'b0 ; + assign sq_respHandleSQ_hasTimeOutErrReg_port2__read = + sq_respHandleSQ_hasTimeOutErrReg_port1__read ; + assign sq_respHandleSQ_hasTimeOutErrReg_EN_port2__write = 1'b0 ; + assign sq_respHandleSQ_hasTimeOutErrReg_port2__write_1 = 1'b0 ; + assign sq_respHandleSQ_hasTimeOutErrReg_port3__read = + sq_respHandleSQ_hasTimeOutErrReg_port1__read ; + assign sq_respHandleSQ_hasTimeOutErrReg_EN_port3__write = 1'b0 ; + assign sq_respHandleSQ_hasTimeOutErrReg_port3__write_1 = 1'b0 ; + assign sq_respHandleSQ_hasTimeOutErrReg_port4__read = + sq_respHandleSQ_hasTimeOutErrReg_port1__read ; + assign sq_respHandleSQ_hasTimeOutErrReg_EN_port4__write = 1'b0 ; + assign sq_respHandleSQ_hasTimeOutErrReg_port4__write_1 = 1'b0 ; + + // register cntrl_dqpnReg + assign cntrl_dqpnReg_D_IN = cntrl_reqQ_D_OUT[125:102] ; + assign cntrl_dqpnReg_EN = + WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] == 2'd2 ; + + // register cntrl_epsnReg + assign cntrl_epsnReg_D_IN = cntrl_epsnReg_port2__read ; + assign cntrl_epsnReg_EN = 1'b1 ; + + // register cntrl_errFlushDoneReg + assign cntrl_errFlushDoneReg_D_IN = !WILL_FIRE_RL_errTrigger ; + assign cntrl_errFlushDoneReg_EN = + WILL_FIRE_RL_errTrigger || WILL_FIRE_RL_waitGracefulStop ; + + // register cntrl_maxRetryCntReg + assign cntrl_maxRetryCntReg_D_IN = cntrl_reqQ_D_OUT[10:8] ; + assign cntrl_maxRetryCntReg_EN = MUX_cntrl_npsnReg_write_1__SEL_1 ; + + // register cntrl_maxRnrCntReg + assign cntrl_maxRnrCntReg_D_IN = cntrl_reqQ_D_OUT[7:5] ; + assign cntrl_maxRnrCntReg_EN = MUX_cntrl_npsnReg_write_1__SEL_1 ; + + // register cntrl_maxTimeOutReg + assign cntrl_maxTimeOutReg_D_IN = cntrl_reqQ_D_OUT[15:11] ; + assign cntrl_maxTimeOutReg_EN = MUX_cntrl_npsnReg_write_1__SEL_1 ; + + // register cntrl_minRnrTimerReg + assign cntrl_minRnrTimerReg_D_IN = cntrl_reqQ_D_OUT[20:16] ; + assign cntrl_minRnrTimerReg_EN = + WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] == 2'd2 ; + + // register cntrl_nextStateReg + assign cntrl_nextStateReg_D_IN = 5'd10 ; + assign cntrl_nextStateReg_EN = 1'b1 ; + + // register cntrl_npsnReg + assign cntrl_npsnReg_D_IN = + MUX_cntrl_npsnReg_write_1__SEL_1 ? + cntrl_reqQ_D_OUT[149:126] : + nextPktSeqNum__h56056 ; + assign cntrl_npsnReg_EN = + WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] == 2'd2 || + WILL_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq && + sq_reqGenSQ_workReqPsnQ_D_OUT[4] ; + + // register cntrl_pendingDestReadAtomicReqNumReg + assign cntrl_pendingDestReadAtomicReqNumReg_D_IN = cntrl_reqQ_D_OUT[28:21] ; + assign cntrl_pendingDestReadAtomicReqNumReg_EN = + WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] == 2'd2 ; + + // register cntrl_pendingReadAtomicReqNumReg + assign cntrl_pendingReadAtomicReqNumReg_D_IN = cntrl_reqQ_D_OUT[36:29] ; + assign cntrl_pendingReadAtomicReqNumReg_EN = + MUX_cntrl_npsnReg_write_1__SEL_1 ; + + // register cntrl_pendingRecvReqNumReg + assign cntrl_pendingRecvReqNumReg_D_IN = 8'd4 ; + assign cntrl_pendingRecvReqNumReg_EN = cntrl_stateReg == 4'd0 ; + + // register cntrl_pendingWorkReqNumReg + assign cntrl_pendingWorkReqNumReg_D_IN = 8'd4 ; + assign cntrl_pendingWorkReqNumReg_EN = cntrl_stateReg == 4'd0 ; + + // register cntrl_pkeyReg + assign cntrl_pkeyReg_D_IN = cntrl_reqQ_D_OUT[53:38] ; + assign cntrl_pkeyReg_EN = + WILL_FIRE_RL_cntrl_onCreate && + cntrl_reqQ_D_OUT[300:299] == 2'd2 ; + + // register cntrl_pmtuReg + assign cntrl_pmtuReg_D_IN = cntrl_reqQ_D_OUT[208:206] ; + assign cntrl_pmtuReg_EN = + WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] == 2'd2 ; + + // register cntrl_preReqOpCodeReg + assign cntrl_preReqOpCodeReg_D_IN = cntrl_preReqOpCodeReg_port2__read ; + assign cntrl_preReqOpCodeReg_EN = 1'b1 ; + + // register cntrl_preStateReg + assign cntrl_preStateReg_D_IN = cntrl_stateReg ; + assign cntrl_preStateReg_EN = 1'd1 ; + + // register cntrl_qkeyReg + assign cntrl_qkeyReg_D_IN = 32'h0 ; + assign cntrl_qkeyReg_EN = 1'b0 ; + + // register cntrl_qpAccessFlagsReg + assign cntrl_qpAccessFlagsReg_D_IN = cntrl_reqQ_D_OUT[101:94] ; + assign cntrl_qpAccessFlagsReg_EN = + WILL_FIRE_RL_cntrl_onCreate && + cntrl_reqQ_D_OUT[300:299] == 2'd2 ; + + // register cntrl_qpDestroyReg + assign cntrl_qpDestroyReg_D_IN = cntrl_qpDestroyReg ; + assign cntrl_qpDestroyReg_EN = 1'b1 ; + + // register cntrl_setStateErrReg + assign cntrl_setStateErrReg_D_IN = 1'd0 ; + assign cntrl_setStateErrReg_EN = 1'b1 ; + + // register cntrl_sqSigAllReg + assign cntrl_sqSigAllReg_D_IN = cntrl_reqQ_D_OUT[0] ; + assign cntrl_sqSigAllReg_EN = CAN_FIRE_RL_cntrl_onReset ; + + // register cntrl_sqTypeReg + assign cntrl_sqTypeReg_D_IN = + (cntrl_reqQ_D_OUT[4:1] == 4'd10) ? 4'd9 : cntrl_reqQ_D_OUT[4:1] ; + assign cntrl_sqTypeReg_EN = CAN_FIRE_RL_cntrl_onReset ; + + // register cntrl_sqpnReg + assign cntrl_sqpnReg_D_IN = cntrl_reqQ_D_OUT[266:243] ; + assign cntrl_sqpnReg_EN = CAN_FIRE_RL_cntrl_onReset ; + + // register cntrl_stateReg + assign cntrl_stateReg_D_IN = + cntrl_setStateErrReg_port1__read ? + 4'd6 : + (cntrl_nextStateReg_port1__read[4] ? + cntrl_nextStateReg_port1__read[3:0] : + cntrl_stateReg) ; + assign cntrl_stateReg_EN = 1'd1 ; + + // register dmaReadCntrl4SQ_addrChunkSrv_busyReg + always@(WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_genResp or + MUX_dmaReadCntrl4SQ_addrChunkSrv_busyReg_write_1__VAL_1 or + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_resetAndClear or + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq) + begin + case (1'b1) // synopsys parallel_case + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_genResp: + dmaReadCntrl4SQ_addrChunkSrv_busyReg_D_IN = + MUX_dmaReadCntrl4SQ_addrChunkSrv_busyReg_write_1__VAL_1; + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_resetAndClear: + dmaReadCntrl4SQ_addrChunkSrv_busyReg_D_IN = 1'd0; + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq: + dmaReadCntrl4SQ_addrChunkSrv_busyReg_D_IN = 1'd1; + default: dmaReadCntrl4SQ_addrChunkSrv_busyReg_D_IN = + 1'b0 /* unspecified value */ ; + endcase + end + assign dmaReadCntrl4SQ_addrChunkSrv_busyReg_EN = + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_genResp || + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_resetAndClear || + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq ; + + // register dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg + assign dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg_D_IN = + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq ? + dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[98:35] : + MUX_dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg_write_1__VAL_2 ; + assign dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg_EN = + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq || + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_genResp ; + + // register dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg + always@(dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT) + begin + case (dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[2:0]) + 3'd1: dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg_D_IN = 13'd256; + 3'd2: dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg_D_IN = 13'd512; + 3'd3: dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg_D_IN = 13'd1024; + 3'd4: dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg_D_IN = 13'd2048; + default: dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg_D_IN = 13'd4096; + endcase + end + assign dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg_EN = + CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq ; + + // register dmaReadCntrl4SQ_addrChunkSrv_isFirstReg + assign dmaReadCntrl4SQ_addrChunkSrv_isFirstReg_D_IN = + !MUX_dmaReadCntrl4SQ_addrChunkSrv_isFirstReg_write_1__SEL_1 ; + assign dmaReadCntrl4SQ_addrChunkSrv_isFirstReg_EN = + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_genResp || + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_resetAndClear || + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq ; + + // register dmaReadCntrl4SQ_addrChunkSrv_isZeroResidueReg + assign dmaReadCntrl4SQ_addrChunkSrv_isZeroResidueReg_D_IN = + !pmtuResidue__h9230[11] && pmtuResidue__h9230[10:9] == 2'd0 && + !pmtuResidue__h9230[8] && + pmtuResidue__h9230[7:6] == 2'd0 && + !pmtuResidue__h9230[5] && + pmtuResidue__h9230[4:3] == 2'd0 && + !pmtuResidue__h9230[2] && + pmtuResidue__h9230[1:0] == 2'd0 ; + assign dmaReadCntrl4SQ_addrChunkSrv_isZeroResidueReg_EN = + CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq ; + + // register dmaReadCntrl4SQ_addrChunkSrv_pktNumReg + assign dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_D_IN = + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq ? + MUX_dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_write_1__VAL_1 : + MUX_dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_write_1__VAL_2 ; + assign dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_EN = + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq || + WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_genResp ; + + // register dmaReadCntrl4SQ_addrChunkSrv_pmtuReg + assign dmaReadCntrl4SQ_addrChunkSrv_pmtuReg_D_IN = + dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[2:0] ; + assign dmaReadCntrl4SQ_addrChunkSrv_pmtuReg_EN = + CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq ; + + // register dmaReadCntrl4SQ_addrChunkSrv_residueReg + assign dmaReadCntrl4SQ_addrChunkSrv_residueReg_D_IN = pmtuResidue__h9230 ; + assign dmaReadCntrl4SQ_addrChunkSrv_residueReg_EN = + CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq ; + + // register dmaReadCntrl4SQ_cancelReg + assign dmaReadCntrl4SQ_cancelReg_D_IN = + dmaReadCntrl4SQ_cancelReg_port2__read ; + assign dmaReadCntrl4SQ_cancelReg_EN = 1'b1 ; + + // register dmaReadCntrl4SQ_gracefulStopReg + assign dmaReadCntrl4SQ_gracefulStopReg_D_IN = + dmaReadCntrl4SQ_gracefulStopReg_port2__read ; + assign dmaReadCntrl4SQ_gracefulStopReg_EN = 1'b1 ; + + // register payloadGenerator4SQ_isNormalStateReg + assign payloadGenerator4SQ_isNormalStateReg_D_IN = + !WILL_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding || + !dmaReadCntrl4SQ_respQ_D_OUT[292] ; + assign payloadGenerator4SQ_isNormalStateReg_EN = + WILL_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding || + WILL_FIRE_RL_payloadGenerator4SQ_resetAndClear ; + + // register payloadGenerator4SQ_payloadBufQ_rCache + assign payloadGenerator4SQ_payloadBufQ_rCache_D_IN = + { 1'd1, + payloadGenerator4SQ_payloadBufQ_rWrPtr, + x__read_data__h12578, + x__read_byteEn__h12579, + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding && + payloadGenerator4SQ_payloadBufQ_wDataIn_wget[1], + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding && + payloadGenerator4SQ_payloadBufQ_wDataIn_wget[0] } ; + assign payloadGenerator4SQ_payloadBufQ_rCache_EN = + cntrl_stateReg != 4'd0 && + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding ; + + // register payloadGenerator4SQ_payloadBufQ_rRdPtr + assign payloadGenerator4SQ_payloadBufQ_rRdPtr_D_IN = + (cntrl_stateReg == 4'd0) ? 10'd0 : x__h12913 ; + assign payloadGenerator4SQ_payloadBufQ_rRdPtr_EN = + cntrl_stateReg == 4'd0 || + CAN_FIRE_RL_payloadGenerator4SQ_bramQ2PipeOut_mkConnectionGetPut ; + + // register payloadGenerator4SQ_payloadBufQ_rWrPtr + assign payloadGenerator4SQ_payloadBufQ_rWrPtr_D_IN = + (cntrl_stateReg == 4'd0) ? 10'd0 : x__h12662 ; + assign payloadGenerator4SQ_payloadBufQ_rWrPtr_EN = + cntrl_stateReg == 4'd0 || + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding ; + + // register rqDmaReadCancelReg + assign rqDmaReadCancelReg_D_IN = 1'd0 ; + assign rqDmaReadCancelReg_EN = cntrl_stateReg == 4'd0 ; + + // register rqDmaWriteCancelReg + assign rqDmaWriteCancelReg_D_IN = 1'd0 ; + assign rqDmaWriteCancelReg_EN = cntrl_stateReg == 4'd0 ; + + // register sqDmaReadCancelReg + assign sqDmaReadCancelReg_D_IN = !WILL_FIRE_RL_resetAndClear ; + assign sqDmaReadCancelReg_EN = + WILL_FIRE_RL_resetAndClear || WILL_FIRE_RL_cancelDmaReadSQ ; + + // register sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg_D_IN = + MUX_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg_write_1__SEL_1 ? + MUX_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg_write_1__VAL_1 : + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__read[7:0] ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg_EN = + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrAndDecr && + (sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port1__read && + !sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port1__read || + !sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port1__read && + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port1__read) || + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port1__read[8] ; + + // register sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_D_IN = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_port2__read ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN = 1'b1 ; + + // register sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_D_IN = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_port2__read ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN = 1'b1 ; + + // register sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_D_IN = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_port2__read ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_EN = 1'b1 ; + + // register sq_pendingWorkReqBuf_clearReg + assign sq_pendingWorkReqBuf_clearReg_D_IN = + sq_pendingWorkReqBuf_clearReg_port2__read ; + assign sq_pendingWorkReqBuf_clearReg_EN = 1'b1 ; + + // register sq_pendingWorkReqBuf_dataVec_0 + assign sq_pendingWorkReqBuf_dataVec_0_D_IN = + sq_pendingWorkReqBuf_pushReg_port1__read[678:0] ; + assign sq_pendingWorkReqBuf_dataVec_0_EN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize && + sq_pendingWorkReqBuf_enqPtrReg == 2'd0 && + sq_pendingWorkReqBuf_pushReg_port1__read[679] ; + + // register sq_pendingWorkReqBuf_dataVec_1 + assign sq_pendingWorkReqBuf_dataVec_1_D_IN = + sq_pendingWorkReqBuf_pushReg_port1__read[678:0] ; + assign sq_pendingWorkReqBuf_dataVec_1_EN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize && + sq_pendingWorkReqBuf_enqPtrReg == 2'd1 && + sq_pendingWorkReqBuf_pushReg_port1__read[679] ; + + // register sq_pendingWorkReqBuf_dataVec_2 + assign sq_pendingWorkReqBuf_dataVec_2_D_IN = + sq_pendingWorkReqBuf_pushReg_port1__read[678:0] ; + assign sq_pendingWorkReqBuf_dataVec_2_EN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize && + sq_pendingWorkReqBuf_enqPtrReg == 2'd2 && + sq_pendingWorkReqBuf_pushReg_port1__read[679] ; + + // register sq_pendingWorkReqBuf_dataVec_3 + assign sq_pendingWorkReqBuf_dataVec_3_D_IN = + sq_pendingWorkReqBuf_pushReg_port1__read[678:0] ; + assign sq_pendingWorkReqBuf_dataVec_3_EN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize && + sq_pendingWorkReqBuf_enqPtrReg == 2'd3 && + sq_pendingWorkReqBuf_pushReg_port1__read[679] ; + + // register sq_pendingWorkReqBuf_deqPtrReg + assign sq_pendingWorkReqBuf_deqPtrReg_D_IN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize ? + MUX_sq_pendingWorkReqBuf_deqPtrReg_write_1__VAL_1 : + 2'd0 ; + assign sq_pendingWorkReqBuf_deqPtrReg_EN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize || + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + + // register sq_pendingWorkReqBuf_emptyReg + assign sq_pendingWorkReqBuf_emptyReg_D_IN = + !WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize || + MUX_sq_pendingWorkReqBuf_emptyReg_write_1__VAL_1 ; + assign sq_pendingWorkReqBuf_emptyReg_EN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize || + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + + // register sq_pendingWorkReqBuf_enqPtrReg + assign sq_pendingWorkReqBuf_enqPtrReg_D_IN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize ? + MUX_sq_pendingWorkReqBuf_enqPtrReg_write_1__VAL_1 : + 2'd0 ; + assign sq_pendingWorkReqBuf_enqPtrReg_EN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize || + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + + // register sq_pendingWorkReqBuf_fullReg + assign sq_pendingWorkReqBuf_fullReg_D_IN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize && + MUX_sq_pendingWorkReqBuf_fullReg_write_1__VAL_1 ; + assign sq_pendingWorkReqBuf_fullReg_EN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize || + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + + // register sq_pendingWorkReqBuf_headReg + assign sq_pendingWorkReqBuf_headReg_D_IN = + MUX_sq_pendingWorkReqBuf_headReg_write_1__SEL_1 ? + 680'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA : + MUX_sq_pendingWorkReqBuf_headReg_write_1__VAL_2 ; + assign sq_pendingWorkReqBuf_headReg_EN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_scanNext || + WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode || + WILL_FIRE_RL_sq_retryHandler_modifyPartialRetryWR ; + + // register sq_pendingWorkReqBuf_popReg + assign sq_pendingWorkReqBuf_popReg_D_IN = + sq_pendingWorkReqBuf_popReg_port2__read ; + assign sq_pendingWorkReqBuf_popReg_EN = 1'b1 ; + + // register sq_pendingWorkReqBuf_preScanRestartReg + assign sq_pendingWorkReqBuf_preScanRestartReg_D_IN = + sq_pendingWorkReqBuf_preScanRestartReg_port2__read ; + assign sq_pendingWorkReqBuf_preScanRestartReg_EN = 1'b1 ; + + // register sq_pendingWorkReqBuf_preScanStartReg + assign sq_pendingWorkReqBuf_preScanStartReg_D_IN = + sq_pendingWorkReqBuf_preScanStartReg_port2__read ; + assign sq_pendingWorkReqBuf_preScanStartReg_EN = 1'b1 ; + + // register sq_pendingWorkReqBuf_pushReg + assign sq_pendingWorkReqBuf_pushReg_D_IN = + sq_pendingWorkReqBuf_pushReg_port2__read ; + assign sq_pendingWorkReqBuf_pushReg_EN = 1'b1 ; + + // register sq_pendingWorkReqBuf_scanAlmostDoneReg + assign sq_pendingWorkReqBuf_scanAlmostDoneReg_D_IN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode ? + MUX_sq_pendingWorkReqBuf_scanAlmostDoneReg_write_1__VAL_1 : + MUX_sq_pendingWorkReqBuf_scanAlmostDoneReg_write_1__VAL_2 ; + assign sq_pendingWorkReqBuf_scanAlmostDoneReg_EN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode || + WILL_FIRE_RL_sq_pendingWorkReqBuf_scanNext ; + + // register sq_pendingWorkReqBuf_scanDoneReg + assign sq_pendingWorkReqBuf_scanDoneReg_D_IN = + sq_pendingWorkReqBuf_scanDoneReg_port2__read ; + assign sq_pendingWorkReqBuf_scanDoneReg_EN = 1'b1 ; + + // register sq_pendingWorkReqBuf_scanPtrReg + assign sq_pendingWorkReqBuf_scanPtrReg_D_IN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode ? + sq_pendingWorkReqBuf_deqPtrReg : + MUX_sq_pendingWorkReqBuf_scanPtrReg_write_1__VAL_2 ; + assign sq_pendingWorkReqBuf_scanPtrReg_EN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode || + WILL_FIRE_RL_sq_pendingWorkReqBuf_scanNext ; + + // register sq_pendingWorkReqBuf_scanStartReg + assign sq_pendingWorkReqBuf_scanStartReg_D_IN = + sq_pendingWorkReqBuf_scanStartReg_port2__read ; + assign sq_pendingWorkReqBuf_scanStartReg_EN = 1'b1 ; + + // register sq_pendingWorkReqBuf_scanStateReg + always@(MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__SEL_1 or + MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__SEL_2 or + MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__SEL_3 or + MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__VAL_3 or + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll) + begin + case (1'b1) // synopsys parallel_case + MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__SEL_1: + sq_pendingWorkReqBuf_scanStateReg_D_IN = 2'd1; + MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__SEL_2: + sq_pendingWorkReqBuf_scanStateReg_D_IN = 2'd2; + MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__SEL_3: + sq_pendingWorkReqBuf_scanStateReg_D_IN = + MUX_sq_pendingWorkReqBuf_scanStateReg_write_1__VAL_3; + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll: + sq_pendingWorkReqBuf_scanStateReg_D_IN = 2'd0; + default: sq_pendingWorkReqBuf_scanStateReg_D_IN = + 2'b10 /* unspecified value */ ; + endcase + end + assign sq_pendingWorkReqBuf_scanStateReg_EN = + WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read || + WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_scanStartReg_port1__read || + WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + (sq_pendingWorkReqBuf_scanStopReg_port1__read || + sq_pendingWorkReqBuf_preScanRestartReg_port1__read || + sq_pendingWorkReqBuf_scanDoneReg_port1__read) || + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + + // register sq_pendingWorkReqBuf_scanStopReg + assign sq_pendingWorkReqBuf_scanStopReg_D_IN = + sq_pendingWorkReqBuf_scanStopReg_port2__read ; + assign sq_pendingWorkReqBuf_scanStopReg_EN = 1'b1 ; + + // register sq_reqGenSQ_curPsnReg + assign sq_reqGenSQ_curPsnReg_D_IN = curPSN__h61636 + 24'd1 ; + assign sq_reqGenSQ_curPsnReg_EN = CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + + // register sq_reqGenSQ_isFirstOrOnlyReqPktReg + assign sq_reqGenSQ_isFirstOrOnlyReqPktReg_D_IN = + !WILL_FIRE_RL_sq_reqGenSQ_countReqPkt || + MUX_sq_reqGenSQ_isFirstOrOnlyReqPktReg_write_1__VAL_1 ; + assign sq_reqGenSQ_isFirstOrOnlyReqPktReg_EN = + WILL_FIRE_RL_sq_reqGenSQ_countReqPkt || + WILL_FIRE_RL_sq_reqGenSQ_resetAndClear ; + + // register sq_reqGenSQ_isNormalStateReg + assign sq_reqGenSQ_isNormalStateReg_D_IN = + !MUX_sq_reqGenSQ_isNormalStateReg_write_1__SEL_1 ; + assign sq_reqGenSQ_isNormalStateReg_EN = + WILL_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp && + (sq_reqGenSQ_reqHeaderGenQ_D_OUT[26] && + sq_reqGenSQ_reqHeaderGenQ_D_OUT[24] || + !sq_reqGenSQ_reqHeaderGenQ_D_OUT[620]) || + WILL_FIRE_RL_sq_reqGenSQ_resetAndClear ; + + // register sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg_D_IN = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_outputHeader && + MUX_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg_write_1__VAL_1 ; + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg_EN = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_outputHeader || + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_resetAndClear ; + + // register sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg_D_IN = + { leftShiftHeaderData__h47318, + leftShiftHeaderByteEn__h47319, + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1770 } ; + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg_EN = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_outputHeader && + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1734 && + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1737 != + 2'd1 ; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_D_IN = + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_write_1__SEL_1 ? + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_write_1__VAL_1 : + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_write_1__VAL_2 ; + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_EN = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader && + !sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0] || + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData ; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg_D_IN = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[1] ; + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg_EN = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData ; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidBitNumReg + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidBitNumReg_D_IN = + { headerLastFragInvalidByteNum__h47982, 3'd0 } ; + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidBitNumReg_EN = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData ; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg_D_IN = + headerLastFragInvalidByteNum__h47982 ; + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg_EN = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData ; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidBitNumReg + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidBitNumReg_D_IN = + headerLastFragValidBitNum__h47980 ; + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidBitNumReg_EN = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData ; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidByteNumReg + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidByteNumReg_D_IN = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[7:2] ; + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidByteNumReg_EN = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData ; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_isFirstReg + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_isFirstReg_D_IN = + !MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_write_1__SEL_1 && + !WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData ; + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_isFirstReg_EN = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader && + !sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0] || + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData || + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData ; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_D_IN = + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_write_1__SEL_1 ? + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_write_1__VAL_1 : + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_D_OUT ; + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_EN = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0] || + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData ; + + // register sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg + always@(MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_write_1__SEL_1 or + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__VAL_1 or + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__SEL_2 or + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__VAL_2 or + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData or + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__VAL_3 or + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__SEL_4) + begin + case (1'b1) // synopsys parallel_case + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_write_1__SEL_1: + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_D_IN = + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__VAL_1; + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__SEL_2: + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_D_IN = + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__VAL_2; + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData: + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_D_IN = + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__VAL_3; + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_write_1__SEL_4: + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_D_IN = + 2'd0; + default: sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_D_IN = + 2'b10 /* unspecified value */ ; + endcase + end + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_EN = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0] || + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData && + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_D_OUT[0] || + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData || + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_extraLastFrag || + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_resetAndClear ; + + // register sq_reqGenSQ_remainingPktNumReg + assign sq_reqGenSQ_remainingPktNumReg_D_IN = + sq_reqGenSQ_isFirstOrOnlyReqPktReg ? + _theResult___snd__h61670 : + ((!sq_reqGenSQ_reqCountQ_D_OUT[5] && + sq_reqGenSQ_remainingPktNumReg != 25'd0) ? + remainingPktNum___1__h61739 : + sq_reqGenSQ_remainingPktNumReg) ; + assign sq_reqGenSQ_remainingPktNumReg_EN = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + + // register sq_respHandleSQ_errOccurredReg + assign sq_respHandleSQ_errOccurredReg_D_IN = + !WILL_FIRE_RL_sq_respHandleSQ_resetAndClear ; + assign sq_respHandleSQ_errOccurredReg_EN = + WILL_FIRE_RL_sq_respHandleSQ_resetAndClear || + WILL_FIRE_RL_sq_respHandleSQ_canonicalize ; + + // register sq_respHandleSQ_hasInternalErrReg + assign sq_respHandleSQ_hasInternalErrReg_D_IN = + sq_respHandleSQ_hasInternalErrReg_port2__read ; + assign sq_respHandleSQ_hasInternalErrReg_EN = 1'b1 ; + + // register sq_respHandleSQ_hasTimeOutErrReg + assign sq_respHandleSQ_hasTimeOutErrReg_D_IN = + sq_respHandleSQ_hasTimeOutErrReg_port1__read ; + assign sq_respHandleSQ_hasTimeOutErrReg_EN = 1'b1 ; + + // register sq_respHandleSQ_nextReadRespWriteAddrReg + assign sq_respHandleSQ_nextReadRespWriteAddrReg_D_IN = 64'h0 ; + assign sq_respHandleSQ_nextReadRespWriteAddrReg_EN = 1'b0 ; + + // register sq_respHandleSQ_preRdmaOpCodeReg + assign sq_respHandleSQ_preRdmaOpCodeReg_D_IN = + MUX_sq_respHandleSQ_preRdmaOpCodeReg_write_1__SEL_1 ? + sq_respHandleSQ_pendingRespQ_D_OUT[141:137] : + 5'd17 ; + assign sq_respHandleSQ_preRdmaOpCodeReg_EN = + WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3 && + !sq_respHandleSQ_errOccurredReg && + cntrl_stateReg != 4'd6 || + WILL_FIRE_RL_sq_respHandleSQ_resetAndClear ; + + // register sq_respHandleSQ_preStageDeqPendingWorkReqReg + assign sq_respHandleSQ_preStageDeqPendingWorkReqReg_D_IN = + sq_respHandleSQ_preStagePktMetaDataReg[1] && + IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4271 ; + assign sq_respHandleSQ_preStageDeqPendingWorkReqReg_EN = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + + // register sq_respHandleSQ_preStageDeqPktMetaDataReg + assign sq_respHandleSQ_preStageDeqPktMetaDataReg_D_IN = + !sq_respHandleSQ_preStagePktMetaDataReg[1] || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0100 ; + assign sq_respHandleSQ_preStageDeqPktMetaDataReg_EN = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + + // register sq_respHandleSQ_preStagePktMetaDataReg + assign sq_respHandleSQ_preStagePktMetaDataReg_D_IN = + respPktPipe_metaDataQ_D_OUT ; + assign sq_respHandleSQ_preStagePktMetaDataReg_EN = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + + // register sq_respHandleSQ_preStageReqPktInfoReg + assign sq_respHandleSQ_preStageReqPktInfoReg_D_IN = + { respPktPipe_metaDataQ_D_OUT[626:618], + 1'd0, + respPktPipe_metaDataQ_D_OUT[616:615], + 4'd0, + respPktPipe_metaDataQ_D_OUT[610:595], + 8'd0, + respPktPipe_metaDataQ_D_OUT[586:562], + 7'd0, + respPktPipe_metaDataQ_D_OUT[554:531], + 1'd0, + respPktPipe_metaDataQ_D_OUT[529:499], + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd0 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd6 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd13 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd4 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd5 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd23 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd10 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd11 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd12 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd19 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd20 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd16 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd17 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd18, + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd2 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd3 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd22 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd8 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd9 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd15 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd4 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd5 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd23 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd10 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd11 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd12 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd19 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd20 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd16 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd17 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd18, + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd13 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd14 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd15 || + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd16, + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd18, + 3'd0 } ; + assign sq_respHandleSQ_preStageReqPktInfoReg_EN = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + + // register sq_respHandleSQ_preStageRespAndWorkReqRelationReg + assign sq_respHandleSQ_preStageRespAndWorkReqRelationReg_D_IN = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1521 == + 4'd4 || + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1521 == + 4'd5 || + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1521 == + 4'd6, + respPktPipe_metaDataQ_D_OUT[554:531] == value__h99966, + IF_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_ETC___d4106, + respPktPipe_metaDataQ_D_OUT[554:531] == value__h99939, + IF_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_ETC___d4116 } ; + assign sq_respHandleSQ_preStageRespAndWorkReqRelationReg_EN = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + + // register sq_respHandleSQ_preStageRespTypeReg + always@(respPktPipe_metaDataQ_D_OUT or + CASE_respPktPipe_metaDataQD_OUT_BITS_529_TO_5_ETC__q30) + begin + case (respPktPipe_metaDataQ_D_OUT[623:619]) + 5'd13, 5'd14, 5'd15, 5'd16, 5'd18: + sq_respHandleSQ_preStageRespTypeReg_D_IN = 2'd0; + 5'd17: + sq_respHandleSQ_preStageRespTypeReg_D_IN = + CASE_respPktPipe_metaDataQD_OUT_BITS_529_TO_5_ETC__q30; + default: sq_respHandleSQ_preStageRespTypeReg_D_IN = 2'd3; + endcase + end + assign sq_respHandleSQ_preStageRespTypeReg_EN = + CAN_FIRE_RL_sq_respHandleSQ_preBuildRespInfo ; + + // register sq_respHandleSQ_preStageStateReg + always@(MUX_sq_respHandleSQ_preStageStateReg_write_1__SEL_1 or + WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo or + WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo) + begin + case (1'b1) // synopsys parallel_case + MUX_sq_respHandleSQ_preStageStateReg_write_1__SEL_1: + sq_respHandleSQ_preStageStateReg_D_IN = 2'd0; + WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo: + sq_respHandleSQ_preStageStateReg_D_IN = 2'd1; + WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo: + sq_respHandleSQ_preStageStateReg_D_IN = 2'd2; + default: sq_respHandleSQ_preStageStateReg_D_IN = + 2'b10 /* unspecified value */ ; + endcase + end + assign sq_respHandleSQ_preStageStateReg_EN = + WILL_FIRE_RL_sq_respHandleSQ_resetAndClear || + WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload || + WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq || + WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo || + WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + + // register sq_respHandleSQ_preStageWorkCompReqTypeReg + assign sq_respHandleSQ_preStageWorkCompReqTypeReg_D_IN = + sq_respHandleSQ_preStagePktMetaDataReg[1] ? + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q31 : + 2'd0 ; + assign sq_respHandleSQ_preStageWorkCompReqTypeReg_EN = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + + // register sq_respHandleSQ_preStageWorkReqAckTypeReg + assign sq_respHandleSQ_preStageWorkReqAckTypeReg_D_IN = + sq_respHandleSQ_preStagePktMetaDataReg[1] ? + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q32 : + 4'd10 ; + assign sq_respHandleSQ_preStageWorkReqAckTypeReg_EN = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + + // register sq_respHandleSQ_recvErrRespReg + assign sq_respHandleSQ_recvErrRespReg_D_IN = + !WILL_FIRE_RL_sq_respHandleSQ_resetAndClear ; + assign sq_respHandleSQ_recvErrRespReg_EN = + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + (sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd2 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd5 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd10) || + WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3 && + IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4844 || + WILL_FIRE_RL_sq_respHandleSQ_resetAndClear ; + + // register sq_respHandleSQ_recvRetryRespReg + assign sq_respHandleSQ_recvRetryRespReg_D_IN = + !WILL_FIRE_RL_sq_respHandleSQ_resetAndClear && + !MUX_sq_respHandleSQ_recvRetryRespReg_write_1__SEL_2 ; + assign sq_respHandleSQ_recvRetryRespReg_EN = + WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_retryHandler_retryHandleStateReg == 3'd7 || + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + (sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd1 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd4 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd7) || + WILL_FIRE_RL_sq_respHandleSQ_resetAndClear ; + + // register sq_respHandleSQ_remainingReadRespLenReg + assign sq_respHandleSQ_remainingReadRespLenReg_D_IN = 32'h0 ; + assign sq_respHandleSQ_remainingReadRespLenReg_EN = 1'b0 ; + + // register sq_respHandleSQ_retryFlushReg + assign sq_respHandleSQ_retryFlushReg_D_IN = + !WILL_FIRE_RL_sq_respHandleSQ_resetAndClear && + !MUX_sq_respHandleSQ_recvRetryRespReg_write_1__SEL_2 ; + assign sq_respHandleSQ_retryFlushReg_EN = + WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_retryHandler_retryHandleStateReg == 3'd7 || + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + (sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd1 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd4 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd7) || + WILL_FIRE_RL_sq_respHandleSQ_resetAndClear ; + + // register sq_respHandleSQ_retryResetReqReg + assign sq_respHandleSQ_retryResetReqReg_D_IN = + sq_respHandleSQ_preStagePktMetaDataReg[1] && + IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4271 ; + assign sq_respHandleSQ_retryResetReqReg_EN = + CAN_FIRE_RL_sq_respHandleSQ_preProcRespInfo ; + + // register sq_retryHandler_disableRetryCntReg + assign sq_retryHandler_disableRetryCntReg_D_IN = + MUX_sq_retryHandler_disableRetryCntReg_write_1__SEL_1 ? + cntrl_maxRetryCntReg == 3'd7 : + cntrl_maxRetryCntReg == 3'd7 ; + assign sq_retryHandler_disableRetryCntReg_EN = + WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + !sq_retryHandler_updateRetryCntQ_D_OUT[3] || + WILL_FIRE_RL_sq_retryHandler_initRetryCntAndTimeOutTimer ; + + // register sq_retryHandler_disableTimeOutReg + assign sq_retryHandler_disableTimeOutReg_D_IN = + MUX_sq_retryHandler_disableTimeOutReg_write_1__SEL_1 ? + cntrl_maxTimeOutReg == 5'd0 : + cntrl_maxTimeOutReg == 5'd0 ; + assign sq_retryHandler_disableTimeOutReg_EN = + WILL_FIRE_RL_sq_retryHandler_checkTimeOut && + sq_retryHandler_resetTimeOutQ_notEmpty__176_OR_ETC___d1209 || + WILL_FIRE_RL_sq_retryHandler_initRetryCntAndTimeOutTimer ; + + // register sq_retryHandler_isRnrWaitCntZeroReg + assign sq_retryHandler_isRnrWaitCntZeroReg_D_IN = + MUX_sq_retryHandler_isRnrWaitCntZeroReg_write_1__SEL_1 && + MUX_sq_retryHandler_isRnrWaitCntZeroReg_write_1__VAL_1 ; + assign sq_retryHandler_isRnrWaitCntZeroReg_EN = + WILL_FIRE_RL_sq_retryHandler_rnrWait && + !sq_retryHandler_isRnrWaitCntZeroReg || + WILL_FIRE_RL_sq_retryHandler_rnrCheck ; + + // register sq_retryHandler_isTimeOutCntHighPartZeroReg + assign sq_retryHandler_isTimeOutCntHighPartZeroReg_D_IN = + MUX_sq_retryHandler_isTimeOutCntHighPartZeroReg_write_1__SEL_1 && + MUX_sq_retryHandler_isTimeOutCntHighPartZeroReg_write_1__VAL_1 ; + assign sq_retryHandler_isTimeOutCntHighPartZeroReg_EN = + MUX_sq_retryHandler_isTimeOutCntHighPartZeroReg_write_1__SEL_1 || + WILL_FIRE_RL_sq_retryHandler_initRetryCntAndTimeOutTimer ; + + // register sq_retryHandler_isTimeOutCntLowPartZeroReg + assign sq_retryHandler_isTimeOutCntLowPartZeroReg_D_IN = + MUX_sq_retryHandler_isTimeOutCntHighPartZeroReg_write_1__SEL_1 && + MUX_sq_retryHandler_isTimeOutCntLowPartZeroReg_write_1__VAL_1 ; + assign sq_retryHandler_isTimeOutCntLowPartZeroReg_EN = + MUX_sq_retryHandler_isTimeOutCntHighPartZeroReg_write_1__SEL_1 || + WILL_FIRE_RL_sq_retryHandler_initRetryCntAndTimeOutTimer ; + + // register sq_retryHandler_pauseRetryHandleReg + assign sq_retryHandler_pauseRetryHandleReg_D_IN = + MUX_sq_retryHandler_pauseRetryHandleReg_write_1__SEL_1 ; + assign sq_retryHandler_pauseRetryHandleReg_EN = + WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] || + WILL_FIRE_RL_sq_retryHandler_initRetry || + WILL_FIRE_RL_sq_retryHandler_resetAndClear ; + + // register sq_retryHandler_psnDiffReg + assign sq_retryHandler_psnDiffReg_D_IN = x__h37628[23:0] ; + assign sq_retryHandler_psnDiffReg_EN = + CAN_FIRE_RL_sq_retryHandler_checkPartialRetry ; + + // register sq_retryHandler_retryCntReg + assign sq_retryHandler_retryCntReg_D_IN = + MUX_sq_retryHandler_retryCntReg_write_1__SEL_1 ? + MUX_sq_retryHandler_retryCntReg_write_1__VAL_1 : + cntrl_maxRetryCntReg ; + assign sq_retryHandler_retryCntReg_EN = + WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + IF_sq_retryHandler_updateRetryCntQ_first__309__ETC___d1340 || + WILL_FIRE_RL_sq_retryHandler_initRetryCntAndTimeOutTimer ; + + // register sq_retryHandler_retryCntrlStateReg + assign sq_retryHandler_retryCntrlStateReg_D_IN = + sq_retryHandler_retryCntrlStateReg_port2__read ; + assign sq_retryHandler_retryCntrlStateReg_EN = 1'b1 ; + + // register sq_retryHandler_retryHandleStateReg + always@(WILL_FIRE_RL_sq_retryHandler_startPreRetry or + MUX_sq_retryHandler_retryHandleStateReg_write_1__VAL_3 or + MUX_sq_retryHandler_retryHandleStateReg_write_1__SEL_2 or + WILL_FIRE_RL_sq_retryHandler_resetAndClear or + WILL_FIRE_RL_sq_retryHandler_initRetry or + WILL_FIRE_RL_sq_retryHandler_rnrCheck or + MUX_sq_retryHandler_retryHandleStateReg_write_1__SEL_1 or + WILL_FIRE_RL_sq_retryHandler_checkPartialRetry or + WILL_FIRE_RL_sq_retryHandler_modifyPartialRetryWR or + WILL_FIRE_RL_sq_retryHandler_startRetry) + begin + case (1'b1) // synopsys parallel_case + WILL_FIRE_RL_sq_retryHandler_startPreRetry: + sq_retryHandler_retryHandleStateReg_D_IN = + MUX_sq_retryHandler_retryHandleStateReg_write_1__VAL_3; + MUX_sq_retryHandler_retryHandleStateReg_write_1__SEL_2 || + WILL_FIRE_RL_sq_retryHandler_resetAndClear: + sq_retryHandler_retryHandleStateReg_D_IN = 3'd0; + WILL_FIRE_RL_sq_retryHandler_initRetry: + sq_retryHandler_retryHandleStateReg_D_IN = 3'd1; + WILL_FIRE_RL_sq_retryHandler_rnrCheck: + sq_retryHandler_retryHandleStateReg_D_IN = 3'd3; + MUX_sq_retryHandler_retryHandleStateReg_write_1__SEL_1: + sq_retryHandler_retryHandleStateReg_D_IN = 3'd4; + WILL_FIRE_RL_sq_retryHandler_checkPartialRetry: + sq_retryHandler_retryHandleStateReg_D_IN = 3'd5; + WILL_FIRE_RL_sq_retryHandler_modifyPartialRetryWR: + sq_retryHandler_retryHandleStateReg_D_IN = 3'd6; + WILL_FIRE_RL_sq_retryHandler_startRetry: + sq_retryHandler_retryHandleStateReg_D_IN = 3'd7; + default: sq_retryHandler_retryHandleStateReg_D_IN = + 3'b010 /* unspecified value */ ; + endcase + end + assign sq_retryHandler_retryHandleStateReg_EN = + WILL_FIRE_RL_sq_retryHandler_rnrWait && + sq_retryHandler_isRnrWaitCntZeroReg || + WILL_FIRE_RL_sq_retryHandler_waitRetryDone && + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + WILL_FIRE_RL_sq_retryHandler_startPreRetry || + WILL_FIRE_RL_sq_retryHandler_resetAndClear || + WILL_FIRE_RL_sq_retryHandler_initRetry || + WILL_FIRE_RL_sq_retryHandler_rnrCheck || + WILL_FIRE_RL_sq_retryHandler_checkPartialRetry || + WILL_FIRE_RL_sq_retryHandler_modifyPartialRetryWR || + WILL_FIRE_RL_sq_retryHandler_startRetry ; + + // register sq_retryHandler_retryReasonReg + assign sq_retryHandler_retryReasonReg_D_IN = + sq_retryHandler_retryActionQ_D_OUT[8:6] ; + assign sq_retryHandler_retryReasonReg_EN = + WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] ; + + // register sq_retryHandler_retryRnrTimerReg + assign sq_retryHandler_retryRnrTimerReg_D_IN = + sq_retryHandler_retryActionQ_D_OUT[4:0] ; + assign sq_retryHandler_retryRnrTimerReg_EN = + WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd1 ; + + // register sq_retryHandler_retryStartPsnReg + assign sq_retryHandler_retryStartPsnReg_D_IN = + sq_retryHandler_retryActionQ_D_OUT[32:9] ; + assign sq_retryHandler_retryStartPsnReg_EN = + WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_retryHandler_retryActionQ_D_OUT[8:6] != 3'd4 ; + + // register sq_retryHandler_retryWorkReqIdReg + assign sq_retryHandler_retryWorkReqIdReg_D_IN = + sq_retryHandler_retryActionQ_D_OUT[96:33] ; + assign sq_retryHandler_retryWorkReqIdReg_EN = + WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_retryHandler_retryActionQ_D_OUT[8:6] != 3'd4 ; + + // register sq_retryHandler_rnrCntReg + assign sq_retryHandler_rnrCntReg_D_IN = + MUX_sq_retryHandler_rnrCntReg_write_1__SEL_1 ? + MUX_sq_retryHandler_rnrCntReg_write_1__VAL_1 : + cntrl_maxRnrCntReg ; + assign sq_retryHandler_rnrCntReg_EN = + MUX_sq_retryHandler_rnrCntReg_write_1__SEL_1 || + WILL_FIRE_RL_sq_retryHandler_initRetryCntAndTimeOutTimer ; + + // register sq_retryHandler_rnrWaitCntReg + assign sq_retryHandler_rnrWaitCntReg_D_IN = + MUX_sq_retryHandler_isRnrWaitCntZeroReg_write_1__SEL_1 ? + MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_1 : + MUX_sq_retryHandler_rnrWaitCntReg_write_1__VAL_2 ; + assign sq_retryHandler_rnrWaitCntReg_EN = + WILL_FIRE_RL_sq_retryHandler_rnrWait && + !sq_retryHandler_isRnrWaitCntZeroReg || + WILL_FIRE_RL_sq_retryHandler_rnrCheck ; + + // register sq_retryHandler_timeOutCntReg + assign sq_retryHandler_timeOutCntReg_D_IN = + MUX_sq_retryHandler_isTimeOutCntHighPartZeroReg_write_1__SEL_1 ? + MUX_sq_retryHandler_timeOutCntReg_write_1__VAL_1 : + x__h32835 ; + assign sq_retryHandler_timeOutCntReg_EN = + MUX_sq_retryHandler_isTimeOutCntHighPartZeroReg_write_1__SEL_1 || + WILL_FIRE_RL_sq_retryHandler_initRetryCntAndTimeOutTimer ; + + // register sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg + assign sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg_D_IN = + sq_workCompGenSQ_genWorkCompQ_D_OUT[856:793] ; + assign sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg_EN = + MUX_sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_write_1__SEL_2 ; + + // register sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg + assign sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_D_IN = + !MUX_sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_write_1__SEL_1 && + sq_workCompGenSQ_genWorkCompQ_D_OUT[254:253] == 2'd1 ; + assign sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_EN = + WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg || + WILL_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ && + !sq_workCompGenSQ_genWorkCompQ_D_OUT[1] ; + + // register sq_workCompGenSQ_workCompGenStateReg + always@(MUX_sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_write_1__SEL_2 or + WILL_FIRE_RL_sq_workCompGenSQ_resetAndClear or + WILL_FIRE_RL_sq_workCompGenSQ_start) + begin + case (1'b1) // synopsys parallel_case + MUX_sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_write_1__SEL_2: + sq_workCompGenSQ_workCompGenStateReg_D_IN = 2'd2; + WILL_FIRE_RL_sq_workCompGenSQ_resetAndClear: + sq_workCompGenSQ_workCompGenStateReg_D_IN = 2'd0; + WILL_FIRE_RL_sq_workCompGenSQ_start: + sq_workCompGenSQ_workCompGenStateReg_D_IN = 2'd1; + default: sq_workCompGenSQ_workCompGenStateReg_D_IN = + 2'b10 /* unspecified value */ ; + endcase + end + assign sq_workCompGenSQ_workCompGenStateReg_EN = + WILL_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ && + !sq_workCompGenSQ_genWorkCompQ_D_OUT[1] || + WILL_FIRE_RL_sq_workCompGenSQ_resetAndClear || + WILL_FIRE_RL_sq_workCompGenSQ_start ; + + // submodule cntrl_reqQ + assign cntrl_reqQ_D_IN = srvPortQP_request_put ; + assign cntrl_reqQ_ENQ = EN_srvPortQP_request_put ; + assign cntrl_reqQ_DEQ = + WILL_FIRE_RL_cntrl_onERR || WILL_FIRE_RL_cntrl_onSQD || + WILL_FIRE_RL_cntrl_onRTS || + WILL_FIRE_RL_cntrl_onRTR || + WILL_FIRE_RL_cntrl_onINIT || + WILL_FIRE_RL_cntrl_onCreate || + WILL_FIRE_RL_cntrl_onReset ; + assign cntrl_reqQ_CLR = 1'b0 ; + + // submodule cntrl_respQ + always@(WILL_FIRE_RL_cntrl_onReset or + MUX_cntrl_respQ_enq_1__VAL_1 or + WILL_FIRE_RL_cntrl_onCreate or + MUX_cntrl_respQ_enq_1__VAL_2 or + WILL_FIRE_RL_cntrl_onINIT or + MUX_cntrl_respQ_enq_1__VAL_3 or + WILL_FIRE_RL_cntrl_onRTR or + MUX_cntrl_respQ_enq_1__VAL_4 or + WILL_FIRE_RL_cntrl_onRTS or + MUX_cntrl_respQ_enq_1__VAL_5 or + WILL_FIRE_RL_cntrl_onSQD or + MUX_cntrl_respQ_enq_1__VAL_6 or + WILL_FIRE_RL_cntrl_onERR or MUX_cntrl_respQ_enq_1__VAL_7) + begin + case (1'b1) // synopsys parallel_case + WILL_FIRE_RL_cntrl_onReset: + cntrl_respQ_D_IN = MUX_cntrl_respQ_enq_1__VAL_1; + WILL_FIRE_RL_cntrl_onCreate: + cntrl_respQ_D_IN = MUX_cntrl_respQ_enq_1__VAL_2; + WILL_FIRE_RL_cntrl_onINIT: + cntrl_respQ_D_IN = MUX_cntrl_respQ_enq_1__VAL_3; + WILL_FIRE_RL_cntrl_onRTR: + cntrl_respQ_D_IN = MUX_cntrl_respQ_enq_1__VAL_4; + WILL_FIRE_RL_cntrl_onRTS: + cntrl_respQ_D_IN = MUX_cntrl_respQ_enq_1__VAL_5; + WILL_FIRE_RL_cntrl_onSQD: + cntrl_respQ_D_IN = MUX_cntrl_respQ_enq_1__VAL_6; + WILL_FIRE_RL_cntrl_onERR: + cntrl_respQ_D_IN = MUX_cntrl_respQ_enq_1__VAL_7; + default: cntrl_respQ_D_IN = + 274'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA /* unspecified value */ ; + endcase + end + assign cntrl_respQ_ENQ = + WILL_FIRE_RL_cntrl_onReset || WILL_FIRE_RL_cntrl_onCreate || + WILL_FIRE_RL_cntrl_onINIT || + WILL_FIRE_RL_cntrl_onRTR || + WILL_FIRE_RL_cntrl_onRTS || + WILL_FIRE_RL_cntrl_onSQD || + WILL_FIRE_RL_cntrl_onERR ; + assign cntrl_respQ_DEQ = EN_srvPortQP_response_get ; + assign cntrl_respQ_CLR = 1'b0 ; + + // submodule cntrl_restoreQ + assign cntrl_restoreQ_D_IN = 29'h0 ; + assign cntrl_restoreQ_ENQ = 1'b0 ; + assign cntrl_restoreQ_DEQ = CAN_FIRE_RL_cntrl_restore ; + assign cntrl_restoreQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule dmaReadCntrl4SQ_addrChunkSrv_reqQ + assign dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_IN = + { dmaReadCntrl4SQ_reqQ_D_OUT[99:4], + dmaReadCntrl4SQ_reqQ_D_OUT[2:0] } ; + assign dmaReadCntrl4SQ_addrChunkSrv_reqQ_ENQ = + CAN_FIRE_RL_dmaReadCntrl4SQ_recvReq ; + assign dmaReadCntrl4SQ_addrChunkSrv_reqQ_DEQ = + CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq ; + assign dmaReadCntrl4SQ_addrChunkSrv_reqQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule dmaReadCntrl4SQ_addrChunkSrv_respQ + assign dmaReadCntrl4SQ_addrChunkSrv_respQ_D_IN = + { dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg, + addrChunkResp_chunkLen__h10586, + dmaReadCntrl4SQ_addrChunkSrv_isFirstReg, + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_77_BITS_ETC___d366 && + NOT_dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_77__ETC___d376 } ; + assign dmaReadCntrl4SQ_addrChunkSrv_respQ_ENQ = + CAN_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_genResp ; + assign dmaReadCntrl4SQ_addrChunkSrv_respQ_DEQ = + CAN_FIRE_RL_dmaReadCntrl4SQ_issueDmaReq ; + assign dmaReadCntrl4SQ_addrChunkSrv_respQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule dmaReadCntrl4SQ_pendingDmaCntrlReqQ + assign dmaReadCntrl4SQ_pendingDmaCntrlReqQ_D_IN = + dmaReadCntrl4SQ_reqQ_D_OUT ; + assign dmaReadCntrl4SQ_pendingDmaCntrlReqQ_ENQ = + CAN_FIRE_RL_dmaReadCntrl4SQ_recvReq ; + assign dmaReadCntrl4SQ_pendingDmaCntrlReqQ_DEQ = + WILL_FIRE_RL_dmaReadCntrl4SQ_issueDmaReq && + dmaReadCntrl4SQ_addrChunkSrv_respQ_D_OUT[0] ; + assign dmaReadCntrl4SQ_pendingDmaCntrlReqQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule dmaReadCntrl4SQ_pendingDmaReadReqQ + assign dmaReadCntrl4SQ_pendingDmaReadReqQ_D_IN = + { dmaReadCntrl4SQ_pendingDmaCntrlReqQ_D_OUT[191:100], + dmaReadCntrl4SQ_addrChunkSrv_respQ_D_OUT[78:2], + dmaReadCntrl4SQ_pendingDmaCntrlReqQ_D_OUT[3], + dmaReadCntrl4SQ_addrChunkSrv_respQ_D_OUT[1:0] } ; + assign dmaReadCntrl4SQ_pendingDmaReadReqQ_ENQ = + CAN_FIRE_RL_dmaReadCntrl4SQ_issueDmaReq ; + assign dmaReadCntrl4SQ_pendingDmaReadReqQ_DEQ = + WILL_FIRE_RL_dmaReadCntrl4SQ_recvDmaResp && + dmaReadProxy4SQ_respQ_D_OUT[0] ; + assign dmaReadCntrl4SQ_pendingDmaReadReqQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule dmaReadCntrl4SQ_reqQ + assign dmaReadCntrl4SQ_reqQ_D_IN = + { payloadGenerator4SQ_payloadGenReqQ_D_OUT[192:4], + payloadGenerator4SQ_payloadGenReqQ_D_OUT[2:0] } ; + assign dmaReadCntrl4SQ_reqQ_ENQ = + CAN_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq ; + assign dmaReadCntrl4SQ_reqQ_DEQ = CAN_FIRE_RL_dmaReadCntrl4SQ_recvReq ; + assign dmaReadCntrl4SQ_reqQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule dmaReadCntrl4SQ_respQ + assign dmaReadCntrl4SQ_respQ_D_IN = + { dmaReadProxy4SQ_respQ_D_OUT, + dmaReadProxy4SQ_respQ_D_OUT[1] && + dmaReadCntrl4SQ_pendingDmaReadReqQ_D_OUT[1], + dmaReadProxy4SQ_respQ_D_OUT[0] && + dmaReadCntrl4SQ_pendingDmaReadReqQ_D_OUT[0] } ; + assign dmaReadCntrl4SQ_respQ_ENQ = CAN_FIRE_RL_dmaReadCntrl4SQ_recvDmaResp ; + assign dmaReadCntrl4SQ_respQ_DEQ = + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding ; + assign dmaReadCntrl4SQ_respQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule dmaReadProxy4SQ_reqQ + assign dmaReadProxy4SQ_reqQ_D_IN = + { dmaReadCntrl4SQ_pendingDmaCntrlReqQ_D_OUT[191:100], + dmaReadCntrl4SQ_addrChunkSrv_respQ_D_OUT[78:2], + dmaReadCntrl4SQ_pendingDmaCntrlReqQ_D_OUT[3] } ; + assign dmaReadProxy4SQ_reqQ_ENQ = CAN_FIRE_RL_dmaReadCntrl4SQ_issueDmaReq ; + assign dmaReadProxy4SQ_reqQ_DEQ = EN_dmaReadClt4SQ_request_get ; + assign dmaReadProxy4SQ_reqQ_CLR = 1'b0 ; + + // submodule dmaReadProxy4SQ_respQ + assign dmaReadProxy4SQ_respQ_D_IN = dmaReadClt4SQ_response_put ; + assign dmaReadProxy4SQ_respQ_ENQ = EN_dmaReadClt4SQ_response_put ; + assign dmaReadProxy4SQ_respQ_DEQ = CAN_FIRE_RL_dmaReadCntrl4SQ_recvDmaResp ; + assign dmaReadProxy4SQ_respQ_CLR = 1'b0 ; + + // submodule payloadGenerator4SQ_bramQ2PipeOut_postBramQ + assign payloadGenerator4SQ_bramQ2PipeOut_postBramQ_D_IN = + payloadGenerator4SQ_payloadBufQ_wDataOut_wget ; + assign payloadGenerator4SQ_bramQ2PipeOut_postBramQ_ENQ = + CAN_FIRE_RL_payloadGenerator4SQ_bramQ2PipeOut_mkConnectionGetPut ; + assign payloadGenerator4SQ_bramQ2PipeOut_postBramQ_DEQ = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData ; + assign payloadGenerator4SQ_bramQ2PipeOut_postBramQ_CLR = + cntrl_stateReg == 4'd0 ; + + // submodule payloadGenerator4SQ_payloadBufQ_memory + assign payloadGenerator4SQ_payloadBufQ_memory_ADDRA = + payloadGenerator4SQ_payloadBufQ_rWrPtr[8:0] ; + assign payloadGenerator4SQ_payloadBufQ_memory_ADDRB = + CAN_FIRE_RL_payloadGenerator4SQ_bramQ2PipeOut_mkConnectionGetPut ? + x__h12913[8:0] : + payloadGenerator4SQ_payloadBufQ_rRdPtr[8:0] ; + assign payloadGenerator4SQ_payloadBufQ_memory_DIA = + { x__read_data__h12578, + x__read_byteEn__h12579, + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding && + payloadGenerator4SQ_payloadBufQ_wDataIn_wget[1], + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding && + payloadGenerator4SQ_payloadBufQ_wDataIn_wget[0] } ; + assign payloadGenerator4SQ_payloadBufQ_memory_DIB = + 290'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA /* unspecified value */ ; + assign payloadGenerator4SQ_payloadBufQ_memory_WEA = + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding ; + assign payloadGenerator4SQ_payloadBufQ_memory_WEB = 1'd0 ; + assign payloadGenerator4SQ_payloadBufQ_memory_ENA = cntrl_stateReg != 4'd0 ; + assign payloadGenerator4SQ_payloadBufQ_memory_ENB = cntrl_stateReg != 4'd0 ; + + // submodule payloadGenerator4SQ_payloadGenReqQ + assign payloadGenerator4SQ_payloadGenReqQ_D_IN = + { 4'd5, + cntrl_sqpnReg, + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[719:656], + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[518:455], + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[550:519], + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[454], + 1'd1, + cntrl_pmtuReg } ; + assign payloadGenerator4SQ_payloadGenReqQ_ENQ = + WILL_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq && + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[3] ; + assign payloadGenerator4SQ_payloadGenReqQ_DEQ = + CAN_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq ; + assign payloadGenerator4SQ_payloadGenReqQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule payloadGenerator4SQ_payloadGenRespQ + assign payloadGenerator4SQ_payloadGenRespQ_D_IN = + { payloadGenerator4SQ_pendingGenReqQ_D_OUT[43], + dmaReadCntrl4SQ_respQ_D_OUT[292] } ; + assign payloadGenerator4SQ_payloadGenRespQ_ENQ = + WILL_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding && + (dmaReadCntrl4SQ_respQ_D_OUT[2] || + dmaReadCntrl4SQ_respQ_D_OUT[292]) ; + assign payloadGenerator4SQ_payloadGenRespQ_DEQ = + WILL_FIRE_RL_sq_reqGenSQ_genReqHeader && + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[544] && + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[545] ; + assign payloadGenerator4SQ_payloadGenRespQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule payloadGenerator4SQ_pendingGenReqQ + assign payloadGenerator4SQ_pendingGenReqQ_D_IN = + { payloadGenerator4SQ_payloadGenReqQ_D_OUT, + x__h14424, + x__h16825 } ; + assign payloadGenerator4SQ_pendingGenReqQ_ENQ = + CAN_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq ; + assign payloadGenerator4SQ_pendingGenReqQ_DEQ = + WILL_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding && + dmaReadCntrl4SQ_respQ_D_OUT[0] ; + assign payloadGenerator4SQ_pendingGenReqQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule respPktPipe_metaDataQ + assign respPktPipe_metaDataQ_D_IN = respPktPipeIn_pktMetaData_put ; + assign respPktPipe_metaDataQ_ENQ = EN_respPktPipeIn_pktMetaData_put ; + assign respPktPipe_metaDataQ_DEQ = + WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + sq_respHandleSQ_preStageDeqPktMetaDataReg || + WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + respPktPipe_metaDataQ_EMPTY_N || + WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp || + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp ; + assign respPktPipe_metaDataQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule respPktPipe_payloadQ + assign respPktPipe_payloadQ_D_IN = respPktPipeIn_payload_put ; + assign respPktPipe_payloadQ_ENQ = EN_respPktPipeIn_payload_put ; + assign respPktPipe_payloadQ_DEQ = 1'b0 ; + assign respPktPipe_payloadQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ + assign sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_D_IN = + { workReqQ_D_OUT, 78'h1555554AAAAAA2AAAAA8 } ; + assign sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_ENQ = + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_genPendingWR || + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_flushWR ; + assign sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_DEQ = + CAN_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn2 ; + assign sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_CLR = + cntrl_stateReg == 4'd0 ; + + // submodule sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_D_IN = 1'd1 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_ENQ = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_decrPendingNewWorkReqCnt ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_DEQ = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrement ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrQ_CLR = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_write ; + + // submodule sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_D_IN = 1'd1 ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_ENQ = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_genPendingWR ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_DEQ = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_increment ; + assign sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrQ_CLR = + CAN_FIRE_RL_sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_write ; + + // submodule sq_pendingWorkReqBuf_itemCnt + assign sq_pendingWorkReqBuf_itemCnt_DATA_A = 3'd1 ; + assign sq_pendingWorkReqBuf_itemCnt_DATA_B = 3'd7 ; + assign sq_pendingWorkReqBuf_itemCnt_DATA_C = 3'h0 ; + assign sq_pendingWorkReqBuf_itemCnt_DATA_F = 3'd0 ; + assign sq_pendingWorkReqBuf_itemCnt_ADDA = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize && + sq_pendingWorkReqBuf_pushReg_port1__read[679] && + !sq_pendingWorkReqBuf_popReg_port1__read ; + assign sq_pendingWorkReqBuf_itemCnt_ADDB = + WILL_FIRE_RL_sq_pendingWorkReqBuf_canonicalize && + !sq_pendingWorkReqBuf_pushReg_port1__read[679] && + sq_pendingWorkReqBuf_popReg_port1__read ; + assign sq_pendingWorkReqBuf_itemCnt_SETC = 1'b0 ; + assign sq_pendingWorkReqBuf_itemCnt_SETF = + CAN_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + + // submodule sq_pendingWorkReqBuf_scanCnt + assign sq_pendingWorkReqBuf_scanCnt_DATA_A = 3'h0 ; + assign sq_pendingWorkReqBuf_scanCnt_DATA_B = 3'd7 ; + assign sq_pendingWorkReqBuf_scanCnt_DATA_C = 3'h0 ; + assign sq_pendingWorkReqBuf_scanCnt_DATA_F = + sq_pendingWorkReqBuf_itemCnt_Q_OUT ; + assign sq_pendingWorkReqBuf_scanCnt_ADDA = 1'b0 ; + assign sq_pendingWorkReqBuf_scanCnt_ADDB = + CAN_FIRE_RL_sq_pendingWorkReqBuf_scanNext ; + assign sq_pendingWorkReqBuf_scanCnt_SETC = 1'b0 ; + assign sq_pendingWorkReqBuf_scanCnt_SETF = + CAN_FIRE_RL_sq_pendingWorkReqBuf_preScanMode ; + + // submodule sq_pendingWorkReqBuf_scanOutQ + assign sq_pendingWorkReqBuf_scanOutQ_D_IN = + sq_pendingWorkReqBuf_headReg[679] ? + sq_pendingWorkReqBuf_headReg[678:0] : + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d768, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d774, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d780, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d786, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d792, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d798, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d804, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d810, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d816, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d822, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d828, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d838, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d845, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d855, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d861, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d871, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d878, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d888, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d894, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d904, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d911, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d921, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d927, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d937, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d951, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d961, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d968, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d978, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d984, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d994, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1001, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1007 } ; + assign sq_pendingWorkReqBuf_scanOutQ_ENQ = + CAN_FIRE_RL_sq_pendingWorkReqBuf_scanNext ; + assign sq_pendingWorkReqBuf_scanOutQ_DEQ = + CAN_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn1 ; + assign sq_pendingWorkReqBuf_scanOutQ_CLR = + WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + (sq_pendingWorkReqBuf_scanStopReg_port1__read || + sq_pendingWorkReqBuf_preScanRestartReg_port1__read) || + WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode || + WILL_FIRE_RL_sq_pendingWorkReqBuf_clearAll ; + + // submodule sq_pendingWorkReqPipeOut_pipeMuxOutQ + assign sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_IN = + WILL_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn1 ? + sq_pendingWorkReqBuf_scanOutQ_D_OUT : + sq_newPendingWorkReqPiptOut_newPendingWorkReqOutQ_D_OUT ; + assign sq_pendingWorkReqPipeOut_pipeMuxOutQ_ENQ = + WILL_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn1 || + WILL_FIRE_RL_sq_pendingWorkReqPipeOut_outputPipeIn2 ; + assign sq_pendingWorkReqPipeOut_pipeMuxOutQ_DEQ = + WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + IF_cntrl_stateReg_EQ_3_AND_sq_pendingWorkReqPi_ETC___d2074 ; + assign sq_pendingWorkReqPipeOut_pipeMuxOutQ_CLR = 1'b0 ; + + // submodule sq_reqGenSQ_pendingReqHeaderQ + assign sq_reqGenSQ_pendingReqHeaderQ_D_IN = + { sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[685:7], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[4:0], + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3420, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686] } ; + assign sq_reqGenSQ_pendingReqHeaderQ_ENQ = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign sq_reqGenSQ_pendingReqHeaderQ_DEQ = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + assign sq_reqGenSQ_pendingReqHeaderQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_pendingWorkReqOutQ + assign sq_reqGenSQ_pendingWorkReqOutQ_D_IN = + MUX_sq_reqGenSQ_pendingWorkReqOutQ_enq_1__SEL_1 ? + sq_reqGenSQ_workReqOutQ_D_OUT[683:5] : + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[719:41] ; + assign sq_reqGenSQ_pendingWorkReqOutQ_ENQ = + WILL_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq && + sq_reqGenSQ_workReqOutQ_D_OUT[4] && + sq_reqGenSQ_workReqOutQ_D_OUT[2] || + WILL_FIRE_RL_sq_reqGenSQ_errFlushWR && + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[2] && + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[1] ; + assign sq_reqGenSQ_pendingWorkReqOutQ_DEQ = + CAN_FIRE_RL_sq_pendingWorkReq2Q_mkConnectionGetPut ; + assign sq_reqGenSQ_pendingWorkReqOutQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_psnReqOutQ + assign sq_reqGenSQ_psnReqOutQ_D_IN = sq_reqGenSQ_reqHeaderGenQ_D_OUT[23:0] ; + assign sq_reqGenSQ_psnReqOutQ_ENQ = + WILL_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp && + sq_reqGenSQ_reqHeaderGenQ_D_OUT[620] && + (!sq_reqGenSQ_reqHeaderGenQ_D_OUT[24] || + !sq_reqGenSQ_reqHeaderGenQ_D_OUT[26]) ; + assign sq_reqGenSQ_psnReqOutQ_DEQ = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_connect && + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_D_OUT[0] ; + assign sq_reqGenSQ_psnReqOutQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_IN = + { x__h47525[511:256], + x__h47528[63:32], + !sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg, + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1742 || + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1737 == + 2'd1 } ; + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_ENQ = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_outputHeader && + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1734 ; + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_DEQ = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader ; + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_CLR = + cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_IN = + sq_reqGenSQ_reqHeaderOutQ_D_OUT[16:0] ; + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_ENQ = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_outputHeader && + !sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg ; + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_DEQ = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData ; + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_CLR = + cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_rdmaReqPipeOut_outputQ + assign sq_reqGenSQ_rdmaReqPipeOut_outputQ_D_IN = + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_D_OUT ; + assign sq_reqGenSQ_rdmaReqPipeOut_outputQ_ENQ = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_connect ; + assign sq_reqGenSQ_rdmaReqPipeOut_outputQ_DEQ = EN_rdmaReqPipeOut_deq ; + assign sq_reqGenSQ_rdmaReqPipeOut_outputQ_CLR = 1'b0 ; + + // submodule sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ + always@(MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_enq_1__SEL_1 or + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT or + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData or + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_enq_1__VAL_2 or + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_extraLastFrag or + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_enq_1__VAL_3) + begin + case (1'b1) // synopsys parallel_case + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_enq_1__SEL_1: + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_D_IN = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT; + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData: + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_D_IN = + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_enq_1__VAL_2; + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_extraLastFrag: + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_D_IN = + MUX_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_enq_1__VAL_3; + default: sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_D_IN = + 290'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA /* unspecified value */ ; + endcase + end + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_ENQ = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader && + (!sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg || + !sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0]) || + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputData || + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_extraLastFrag ; + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_DEQ = + CAN_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_connect ; + assign sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_CLR = + cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_reqCountQ + assign sq_reqGenSQ_reqCountQ_D_IN = sq_reqGenSQ_workReqCheckQ_D_OUT ; + assign sq_reqGenSQ_reqCountQ_ENQ = + WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + (!sq_reqGenSQ_workReqCheckQ_D_OUT[1] || + sq_reqGenSQ_workReqCheckQ_D_OUT[5]) ; + assign sq_reqGenSQ_reqCountQ_DEQ = + WILL_FIRE_RL_sq_reqGenSQ_countReqPkt && + (sq_reqGenSQ_reqCountQ_D_OUT[5] || + !sq_reqGenSQ_isFirstOrOnlyReqPktReg && + sq_reqGenSQ_remainingPktNumReg == 25'd0) ; + assign sq_reqGenSQ_reqCountQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_reqHeaderGenQ + assign sq_reqGenSQ_reqHeaderGenQ_D_IN = + { sq_reqGenSQ_pendingReqHeaderQ_D_OUT[1228:550], + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[544:32], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[0], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[1], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[2], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[3], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[4], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[5], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[6], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[7], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[8], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[9], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[10], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[11], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[12], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[13], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[14], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[15], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[16], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[17], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[18], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[19], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[20], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[21], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[22], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[23], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[24], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[25], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[26], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[27], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[28], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[29], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[30], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[31], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[32], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[33], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[34], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[35], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[36], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[37], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[38], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[39], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[40], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[41], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[42], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[43], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[44], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[45], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[46], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[47], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[48], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[49], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[50], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[51], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[52], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[53], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[54], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[55], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[56], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[57], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[58], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[59], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[60], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[61], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[62], + _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443[63], + sq_reqGenSQ_pendingReqHeaderQ_first__424_BITS__ETC___d3563, + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[544] && + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[545], + payloadGenerator4SQ_payloadGenRespQ_D_OUT, + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[23:0] } ; + assign sq_reqGenSQ_reqHeaderGenQ_ENQ = + CAN_FIRE_RL_sq_reqGenSQ_genReqHeader ; + assign sq_reqGenSQ_reqHeaderGenQ_DEQ = + CAN_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp ; + assign sq_reqGenSQ_reqHeaderGenQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_reqHeaderOutQ + assign sq_reqGenSQ_reqHeaderOutQ_D_IN = + sq_reqGenSQ_reqHeaderGenQ_D_OUT[619:27] ; + assign sq_reqGenSQ_reqHeaderOutQ_ENQ = + WILL_FIRE_RL_sq_reqGenSQ_recvPayloadGenRespAndGenErrWorkComp && + sq_reqGenSQ_reqHeaderGenQ_D_OUT[620] && + (!sq_reqGenSQ_reqHeaderGenQ_D_OUT[24] || + !sq_reqGenSQ_reqHeaderGenQ_D_OUT[26]) ; + assign sq_reqGenSQ_reqHeaderOutQ_DEQ = + WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_outputHeader && + (IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1742 || + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1737 == + 2'd1) ; + assign sq_reqGenSQ_reqHeaderOutQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_reqHeaderPrepareQ + assign sq_reqGenSQ_reqHeaderPrepareQ_D_IN = + { curPSN__h61636, + sq_reqGenSQ_reqCountQ_D_OUT[683:5], + sq_reqGenSQ_isFirstOrOnlyReqPktReg, + sq_reqGenSQ_reqCountQ_D_OUT[5] || + !sq_reqGenSQ_isFirstOrOnlyReqPktReg && + sq_reqGenSQ_remainingPktNumReg == 25'd0, + sq_reqGenSQ_reqCountQ_D_OUT[4:0] } ; + assign sq_reqGenSQ_reqHeaderPrepareQ_ENQ = + CAN_FIRE_RL_sq_reqGenSQ_countReqPkt ; + assign sq_reqGenSQ_reqHeaderPrepareQ_DEQ = + CAN_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen ; + assign sq_reqGenSQ_reqHeaderPrepareQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_workCompGenReqOutQ + assign sq_reqGenSQ_workCompGenReqOutQ_D_IN = + { sq_reqGenSQ_reqHeaderGenQ_D_OUT[1299:699], + 3'd1, + sq_reqGenSQ_reqHeaderGenQ_D_OUT[23:0], + 5'd2 } ; + assign sq_reqGenSQ_workCompGenReqOutQ_ENQ = + MUX_sq_reqGenSQ_isNormalStateReg_write_1__SEL_1 ; + assign sq_reqGenSQ_workCompGenReqOutQ_DEQ = + WILL_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ && + sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N ; + assign sq_reqGenSQ_workCompGenReqOutQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_workReqCheckQ + assign sq_reqGenSQ_workReqCheckQ_D_IN = + { sq_reqGenSQ_workReqPsnQ_D_OUT[683:83], + sq_reqGenSQ_workReqPsnQ_first__498_BIT_4_499_O_ETC___d2558, + sq_reqGenSQ_workReqPsnQ_D_OUT[4:0] } ; + assign sq_reqGenSQ_workReqCheckQ_ENQ = + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq ; + assign sq_reqGenSQ_workReqCheckQ_DEQ = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + assign sq_reqGenSQ_workReqCheckQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_workReqOutQ + assign sq_reqGenSQ_workReqOutQ_D_IN = sq_reqGenSQ_workReqCheckQ_D_OUT ; + assign sq_reqGenSQ_workReqOutQ_ENQ = + CAN_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq ; + assign sq_reqGenSQ_workReqOutQ_DEQ = + CAN_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq ; + assign sq_reqGenSQ_workReqOutQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_workReqPayloadGenQ + assign sq_reqGenSQ_workReqPayloadGenQ_D_IN = + { sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT, + x__h52242, + x__h52371, + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd1 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd2 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd3 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == + 4'd9) && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:508] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[507:506] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[505:504] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[503:502] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[501:500] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[499:498] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[497:496] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[495:494] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[493:492] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[491:490] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[489:488] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[487:486] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[485:484] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[483:482] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[481:480] != 2'd0 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[479:478] != 2'd0), + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[1], + cntrl_sqTypeReg == 4'd2 || cntrl_sqTypeReg == 4'd9, + cntrl_sqTypeReg == 4'd4 } ; + assign sq_reqGenSQ_workReqPayloadGenQ_ENQ = + WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + IF_cntrl_stateReg_EQ_3_AND_sq_pendingWorkReqPi_ETC___d2074 ; + assign sq_reqGenSQ_workReqPayloadGenQ_DEQ = + WILL_FIRE_RL_sq_reqGenSQ_errFlushWR || + WILL_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign sq_reqGenSQ_workReqPayloadGenQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_workReqPktNumQ + assign sq_reqGenSQ_workReqPktNumQ_D_IN = + { sq_reqGenSQ_workReqPayloadGenQ_D_OUT[719:16], + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[2], + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[15:4] == 12'd0, + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[1:0], + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[3] } ; + assign sq_reqGenSQ_workReqPktNumQ_ENQ = + CAN_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq ; + assign sq_reqGenSQ_workReqPktNumQ_DEQ = + CAN_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq ; + assign sq_reqGenSQ_workReqPktNumQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_reqGenSQ_workReqPsnQ + assign sq_reqGenSQ_workReqPsnQ_D_IN = + { sq_reqGenSQ_workReqPktNumQ_D_OUT[708:58], + sq_reqGenSQ_workReqPktNumQ_D_OUT[4] || + sq_reqGenSQ_workReqPktNumQ_D_OUT[57], + sq_reqGenSQ_workReqPktNumQ_D_OUT[4] ? + totalPktNum__h55209 : + sq_reqGenSQ_workReqPktNumQ_D_OUT[56:32], + sq_reqGenSQ_workReqPktNumQ_D_OUT[4] || + sq_reqGenSQ_workReqPktNumQ_D_OUT[31], + sq_reqGenSQ_workReqPktNumQ_D_OUT[4] ? + totalPktNum__h55209[24:23] == 2'd0 && + !totalPktNum__h55209[22] && + totalPktNum__h55209[21:20] == 2'd0 && + !totalPktNum__h55209[19] && + totalPktNum__h55209[18:17] == 2'd0 && + !totalPktNum__h55209[16] && + totalPktNum__h55209[15:14] == 2'd0 && + !totalPktNum__h55209[13] && + totalPktNum__h55209[12:11] == 2'd0 && + !totalPktNum__h55209[10] && + totalPktNum__h55209[9:8] == 2'd0 && + !totalPktNum__h55209[7] && + totalPktNum__h55209[6:5] == 2'd0 && + totalPktNum__h55209[4:3] == 2'd0 && + totalPktNum__h55209[2:1] == 2'd0 : + sq_reqGenSQ_workReqPktNumQ_D_OUT[30], + sq_reqGenSQ_workReqPktNumQ_D_OUT[4:0] } ; + assign sq_reqGenSQ_workReqPsnQ_ENQ = + CAN_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq ; + assign sq_reqGenSQ_workReqPsnQ_DEQ = + CAN_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq ; + assign sq_reqGenSQ_workReqPsnQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_respHandleSQ_incomingRespQ + always@(MUX_sq_respHandleSQ_incomingRespQ_enq_1__SEL_1 or + MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_1 or + WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp or + WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq or + MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_3 or + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp or + MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_4 or + WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq or + MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_5) + begin + case (1'b1) // synopsys parallel_case + MUX_sq_respHandleSQ_incomingRespQ_enq_1__SEL_1: + sq_respHandleSQ_incomingRespQ_D_IN = + MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_1; + WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp: + sq_respHandleSQ_incomingRespQ_D_IN = + MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_1; + WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq: + sq_respHandleSQ_incomingRespQ_D_IN = + MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_3; + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp: + sq_respHandleSQ_incomingRespQ_D_IN = + MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_4; + WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq: + sq_respHandleSQ_incomingRespQ_D_IN = + MUX_sq_respHandleSQ_incomingRespQ_enq_1__VAL_5; + default: sq_respHandleSQ_incomingRespQ_D_IN = + 1470'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA /* unspecified value */ ; + endcase + end + assign sq_respHandleSQ_incomingRespQ_ENQ = + WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + respPktPipe_metaDataQ_EMPTY_N || + WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp || + WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq || + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp || + WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq ; + assign sq_respHandleSQ_incomingRespQ_DEQ = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign sq_respHandleSQ_incomingRespQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_respHandleSQ_pendingAddrCalcQ + assign sq_respHandleSQ_pendingAddrCalcQ_D_IN = + { sq_respHandleSQ_pendingPermCheckQ_D_OUT[1475:139], + 1'd0, + sq_respHandleSQ_pendingPermCheckQ_D_OUT[137:136], + 4'd0, + sq_respHandleSQ_pendingPermCheckQ_D_OUT[131:116], + 8'd0, + sq_respHandleSQ_pendingPermCheckQ_D_OUT[107:83], + 7'd0, + sq_respHandleSQ_pendingPermCheckQ_D_OUT[75:52], + 1'd0, + sq_respHandleSQ_pendingPermCheckQ_D_OUT[50:1] } ; + assign sq_respHandleSQ_pendingAddrCalcQ_ENQ = + CAN_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp ; + assign sq_respHandleSQ_pendingAddrCalcQ_DEQ = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespAddr ; + assign sq_respHandleSQ_pendingAddrCalcQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_respHandleSQ_pendingDmaReqQ + assign sq_respHandleSQ_pendingDmaReqQ_D_IN = + { sq_respHandleSQ_pendingLenCheckQ_D_OUT[1572:236], + 1'd0, + sq_respHandleSQ_pendingLenCheckQ_D_OUT[234:233], + 4'd0, + sq_respHandleSQ_pendingLenCheckQ_D_OUT[228:213], + 8'd0, + sq_respHandleSQ_pendingLenCheckQ_D_OUT[204:180], + 7'd0, + sq_respHandleSQ_pendingLenCheckQ_D_OUT[172:149], + 1'd0, + sq_respHandleSQ_pendingLenCheckQ_D_OUT[147:98], + sq_respHandleSQ_pendingLenCheckQ_D_OUT[95:32] } ; + assign sq_respHandleSQ_pendingDmaReqQ_ENQ = + CAN_FIRE_RL_sq_respHandleSQ_checkReadRespLen ; + assign sq_respHandleSQ_pendingDmaReqQ_DEQ = + CAN_FIRE_RL_sq_respHandleSQ_issueDmaReq ; + assign sq_respHandleSQ_pendingDmaReqQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_respHandleSQ_pendingLenCalcQ + assign sq_respHandleSQ_pendingLenCalcQ_D_IN = + { sq_respHandleSQ_pendingAddrCalcQ_D_OUT[1474:138], + 1'd0, + sq_respHandleSQ_pendingAddrCalcQ_D_OUT[136:135], + 4'd0, + sq_respHandleSQ_pendingAddrCalcQ_D_OUT[130:115], + 8'd0, + sq_respHandleSQ_pendingAddrCalcQ_D_OUT[106:82], + 7'd0, + sq_respHandleSQ_pendingAddrCalcQ_D_OUT[74:51], + 1'd0, + sq_respHandleSQ_pendingAddrCalcQ_D_OUT[49:0], + sq_respHandleSQ_nextReadRespWriteAddrReg } ; + assign sq_respHandleSQ_pendingLenCalcQ_ENQ = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespAddr ; + assign sq_respHandleSQ_pendingLenCalcQ_DEQ = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespLen ; + assign sq_respHandleSQ_pendingLenCalcQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_respHandleSQ_pendingLenCheckQ + assign sq_respHandleSQ_pendingLenCheckQ_D_IN = + { sq_respHandleSQ_pendingSpaceCalcQ_D_OUT[1604:268], + 1'd0, + sq_respHandleSQ_pendingSpaceCalcQ_D_OUT[266:265], + 4'd0, + sq_respHandleSQ_pendingSpaceCalcQ_D_OUT[260:245], + 8'd0, + sq_respHandleSQ_pendingSpaceCalcQ_D_OUT[236:212], + 7'd0, + sq_respHandleSQ_pendingSpaceCalcQ_D_OUT[204:181], + 1'd0, + sq_respHandleSQ_pendingSpaceCalcQ_D_OUT[179:130], + 2'd2, + sq_respHandleSQ_pendingSpaceCalcQ_D_OUT[127:32] } ; + assign sq_respHandleSQ_pendingLenCheckQ_ENQ = + CAN_FIRE_RL_sq_respHandleSQ_calcEnoughDmaSpace ; + assign sq_respHandleSQ_pendingLenCheckQ_DEQ = + CAN_FIRE_RL_sq_respHandleSQ_checkReadRespLen ; + assign sq_respHandleSQ_pendingLenCheckQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_respHandleSQ_pendingPermCheckQ + assign sq_respHandleSQ_pendingPermCheckQ_D_IN = + { sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1469:133], + 1'd0, + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[131:130], + 4'd0, + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[125:110], + 8'd0, + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[101:77], + 7'd0, + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[69:46], + 1'd0, + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:9], + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd0 || + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q25, + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd0 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 || + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q27, + sq_respHandleSQ_pendingRetryCheckQ_first__949__ETC___d5187 } ; + assign sq_respHandleSQ_pendingPermCheckQ_ENQ = + CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr ; + assign sq_respHandleSQ_pendingPermCheckQ_DEQ = + CAN_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp ; + assign sq_respHandleSQ_pendingPermCheckQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_respHandleSQ_pendingPermQueryQ + assign sq_respHandleSQ_pendingPermQueryQ_D_IN = + { sq_respHandleSQ_pendingRespQ_D_OUT[1472:136], + 1'd0, + sq_respHandleSQ_pendingRespQ_D_OUT[134:133], + 4'd0, + sq_respHandleSQ_pendingRespQ_D_OUT[128:113], + 8'd0, + sq_respHandleSQ_pendingRespQ_D_OUT[104:80], + 7'd0, + sq_respHandleSQ_pendingRespQ_D_OUT[72:49], + 1'd0, + sq_respHandleSQ_pendingRespQ_D_OUT[47:13], + (sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3) ? + IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4844 || + sq_respHandleSQ_pendingRespQ_D_OUT[12] : + sq_respHandleSQ_pendingRespQ_D_OUT[12], + sq_respHandleSQ_pendingRespQ_D_OUT[11:10], + (sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3) ? + (IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4844 ? + { 4'd0, + (sq_respHandleSQ_pendingRespQ_D_OUT[3:0] == 4'd3) ? + 2'd1 : + 2'd0 } : + sq_respHandleSQ_pendingRespQ_D_OUT[9:4]) : + sq_respHandleSQ_pendingRespQ_D_OUT[9:4] } ; + assign sq_respHandleSQ_pendingPermQueryQ_ENQ = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign sq_respHandleSQ_pendingPermQueryQ_DEQ = + CAN_FIRE_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp ; + assign sq_respHandleSQ_pendingPermQueryQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_respHandleSQ_pendingRespQ + assign sq_respHandleSQ_pendingRespQ_D_IN = + { sq_respHandleSQ_incomingRespQ_D_OUT[1469:133], + 1'd0, + sq_respHandleSQ_incomingRespQ_D_OUT[131:130], + 4'd0, + sq_respHandleSQ_incomingRespQ_D_OUT[125:110], + 8'd0, + sq_respHandleSQ_incomingRespQ_D_OUT[101:77], + 7'd0, + sq_respHandleSQ_incomingRespQ_D_OUT[69:46], + 1'd0, + sq_respHandleSQ_incomingRespQ_D_OUT[44:7], + CASE_sq_respHandleSQ_incomingRespQD_OUT_BITS__ETC__q28, + sq_respHandleSQ_incomingRespQ_D_OUT[5:0] } ; + assign sq_respHandleSQ_pendingRespQ_ENQ = + CAN_FIRE_RL_sq_respHandleSQ_recvRespHeader ; + assign sq_respHandleSQ_pendingRespQ_DEQ = + CAN_FIRE_RL_sq_respHandleSQ_handleRespByType ; + assign sq_respHandleSQ_pendingRespQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_respHandleSQ_pendingRetryCheckQ + assign sq_respHandleSQ_pendingRetryCheckQ_D_IN = + { sq_respHandleSQ_pendingPermQueryQ_D_OUT[1468:132], + 1'd0, + sq_respHandleSQ_pendingPermQueryQ_D_OUT[130:129], + 4'd0, + sq_respHandleSQ_pendingPermQueryQ_D_OUT[124:109], + 8'd0, + sq_respHandleSQ_pendingPermQueryQ_D_OUT[100:76], + 7'd0, + sq_respHandleSQ_pendingPermQueryQ_D_OUT[68:45], + 1'd0, + sq_respHandleSQ_pendingPermQueryQ_D_OUT[43:0], + 1'd0 } ; + assign sq_respHandleSQ_pendingRetryCheckQ_ENQ = + CAN_FIRE_RL_sq_respHandleSQ_queryPerm4NormalReadAtomicResp ; + assign sq_respHandleSQ_pendingRetryCheckQ_DEQ = + CAN_FIRE_RL_sq_respHandleSQ_checkRetryErr ; + assign sq_respHandleSQ_pendingRetryCheckQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_respHandleSQ_pendingSpaceCalcQ + assign sq_respHandleSQ_pendingSpaceCalcQ_D_IN = + { sq_respHandleSQ_pendingLenCalcQ_D_OUT[1538:202], + 1'd0, + sq_respHandleSQ_pendingLenCalcQ_D_OUT[200:199], + 4'd0, + sq_respHandleSQ_pendingLenCalcQ_D_OUT[194:179], + 8'd0, + sq_respHandleSQ_pendingLenCalcQ_D_OUT[170:146], + 7'd0, + sq_respHandleSQ_pendingLenCalcQ_D_OUT[138:115], + 1'd0, + sq_respHandleSQ_pendingLenCalcQ_D_OUT[113:64], + 2'd1, + sq_respHandleSQ_pendingLenCalcQ_D_OUT[63:0], + sq_respHandleSQ_remainingReadRespLenReg, + sq_respHandleSQ_remainingReadRespLenReg } ; + assign sq_respHandleSQ_pendingSpaceCalcQ_ENQ = + CAN_FIRE_RL_sq_respHandleSQ_calcReadRespLen ; + assign sq_respHandleSQ_pendingSpaceCalcQ_DEQ = + CAN_FIRE_RL_sq_respHandleSQ_calcEnoughDmaSpace ; + assign sq_respHandleSQ_pendingSpaceCalcQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_respHandleSQ_pendingWorkCompQ + assign sq_respHandleSQ_pendingWorkCompQ_D_IN = + { sq_respHandleSQ_pendingDmaReqQ_D_OUT[210:202], + 1'd0, + sq_respHandleSQ_pendingDmaReqQ_D_OUT[200:199], + 4'd0, + sq_respHandleSQ_pendingDmaReqQ_D_OUT[194:179], + 8'd0, + sq_respHandleSQ_pendingDmaReqQ_D_OUT[170:146], + 7'd0, + sq_respHandleSQ_pendingDmaReqQ_D_OUT[138:115], + 1'd0, + sq_respHandleSQ_pendingDmaReqQ_D_OUT[113:76], + sq_respHandleSQ_pendingDmaReqQ_D_OUT[1538:938], + 1'd0, + sq_respHandleSQ_pendingDmaReqQ_D_OUT[65:64], + sq_respHandleSQ_pendingDmaReqQ_D_OUT[138:115], + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] ? + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] : + 5'd0 } ; + assign sq_respHandleSQ_pendingWorkCompQ_ENQ = + CAN_FIRE_RL_sq_respHandleSQ_issueDmaReq ; + assign sq_respHandleSQ_pendingWorkCompQ_DEQ = + CAN_FIRE_RL_sq_respHandleSQ_genWorkCompSQ ; + assign sq_respHandleSQ_pendingWorkCompQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_respHandleSQ_workCompGenReqOutQ + assign sq_respHandleSQ_workCompGenReqOutQ_D_IN = + sq_respHandleSQ_pendingWorkCompQ_D_OUT[632:0] ; + assign sq_respHandleSQ_workCompGenReqOutQ_ENQ = + WILL_FIRE_RL_sq_respHandleSQ_genWorkCompSQ && + (sq_respHandleSQ_pendingWorkCompQ_D_OUT[633] || + sq_respHandleSQ_pendingWorkCompQ_D_OUT[31]) ; + assign sq_respHandleSQ_workCompGenReqOutQ_DEQ = + WILL_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ && + !sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N && + sq_respHandleSQ_workCompGenReqOutQ_EMPTY_N ; + assign sq_respHandleSQ_workCompGenReqOutQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_retryHandler_prepareRetryRespQ + assign sq_retryHandler_prepareRetryRespQ_D_IN = + { IF_IF_sq_retryHandler_updateRetryCntQ_first__3_ETC___d1388 || + sq_retryHandler_retryCntrlStateReg_port1__read == 2'd1, + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] } ; + assign sq_retryHandler_prepareRetryRespQ_ENQ = + MUX_sq_retryHandler_pauseRetryHandleReg_write_1__SEL_1 ; + assign sq_retryHandler_prepareRetryRespQ_DEQ = + CAN_FIRE_RL_sq_retryHandler_sendRetryResp ; + assign sq_retryHandler_prepareRetryRespQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_retryHandler_resetReqQ + assign sq_retryHandler_resetReqQ_D_IN = + sq_respHandleSQ_incomingRespQ_D_OUT[6] ; + assign sq_retryHandler_resetReqQ_ENQ = + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + cntrl_preStateReg == 4'd3 && + cntrl_stateReg == 4'd3 ; + assign sq_retryHandler_resetReqQ_DEQ = + CAN_FIRE_RL_sq_retryHandler_recvResetReq ; + assign sq_retryHandler_resetReqQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_retryHandler_resetRetryCntQ + assign sq_retryHandler_resetRetryCntQ_D_IN = 1'd1 ; + assign sq_retryHandler_resetRetryCntQ_ENQ = + WILL_FIRE_RL_sq_retryHandler_recvResetReq && + sq_retryHandler_resetReqQ_D_OUT ; + assign sq_retryHandler_resetRetryCntQ_DEQ = + WILL_FIRE_RL_sq_retryHandler_recvRetryReq && + sq_retryHandler_resetRetryCntQ_EMPTY_N ; + assign sq_retryHandler_resetRetryCntQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_retryHandler_resetTimeOutQ + assign sq_retryHandler_resetTimeOutQ_D_IN = 1'd1 ; + assign sq_retryHandler_resetTimeOutQ_ENQ = + CAN_FIRE_RL_sq_retryHandler_recvResetReq ; + assign sq_retryHandler_resetTimeOutQ_DEQ = + WILL_FIRE_RL_sq_retryHandler_checkTimeOut && + sq_retryHandler_resetTimeOutQ_EMPTY_N ; + assign sq_retryHandler_resetTimeOutQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_retryHandler_retryActionQ + assign sq_retryHandler_retryActionQ_D_IN = + { sq_retryHandler_retryNotificationQ_EMPTY_N ? + sq_retryHandler_retryNotificationQ_D_OUT[97] : + sq_retryHandler_timeOutTriggerQ_EMPTY_N, + sq_retryHandler_retryNotificationQ_D_OUT[96:9], + sq_retryHandler_retryNotificationQ_EMPTY_N ? + sq_retryHandler_retryNotificationQ_D_OUT[8:6] : + 3'd4, + sq_retryHandler_retryNotificationQ_D_OUT[5:0] } ; + assign sq_retryHandler_retryActionQ_ENQ = + WILL_FIRE_RL_sq_retryHandler_handleNotifiedRetryAndTimeOut && + (sq_retryHandler_timeOutTriggerQ_EMPTY_N || + sq_retryHandler_retryNotificationQ_EMPTY_N) ; + assign sq_retryHandler_retryActionQ_DEQ = + CAN_FIRE_RL_sq_retryHandler_handleRetryAction ; + assign sq_retryHandler_retryActionQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_retryHandler_retryNotificationQ + assign sq_retryHandler_retryNotificationQ_D_IN = + { sq_retryHandler_retryReqQ_EMPTY_N, + sq_retryHandler_retryReqQ_D_OUT } ; + assign sq_retryHandler_retryNotificationQ_ENQ = + WILL_FIRE_RL_sq_retryHandler_recvRetryReq && + (sq_retryHandler_retryReqQ_EMPTY_N || + sq_retryHandler_resetRetryCntQ_EMPTY_N) ; + assign sq_retryHandler_retryNotificationQ_DEQ = + WILL_FIRE_RL_sq_retryHandler_handleNotifiedRetryAndTimeOut && + sq_retryHandler_retryNotificationQ_EMPTY_N ; + assign sq_retryHandler_retryNotificationQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_retryHandler_retryReqQ + assign sq_retryHandler_retryReqQ_D_IN = + { sq_respHandleSQ_pendingRespQ_D_OUT[1472:1409], + sq_respHandleSQ_pendingRespQ_D_OUT[72:49], + (sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd10) ? + 3'd3 : + CASE_sq_respHandleSQ_pendingRespQD_OUT_BITS_4_ETC__q33, + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd10 && + sq_respHandleSQ_pendingRespQ_D_OUT[47:46] == 2'd1, + sq_respHandleSQ_pendingRespQ_D_OUT[45:41] } ; + assign sq_retryHandler_retryReqQ_ENQ = + WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + (sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd9 || + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd10) ; + assign sq_retryHandler_retryReqQ_DEQ = + WILL_FIRE_RL_sq_retryHandler_recvRetryReq && + sq_retryHandler_retryReqQ_EMPTY_N ; + assign sq_retryHandler_retryReqQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_retryHandler_retryRespQ + assign sq_retryHandler_retryRespQ_D_IN = + sq_retryHandler_prepareRetryRespQ_D_OUT[3] ; + assign sq_retryHandler_retryRespQ_ENQ = + WILL_FIRE_RL_sq_retryHandler_sendRetryResp && + sq_retryHandler_prepareRetryRespQ_D_OUT[2:0] != 3'd4 ; + assign sq_retryHandler_retryRespQ_DEQ = + WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd9 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd10) ; + assign sq_retryHandler_retryRespQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_retryHandler_timeOutNotificationQ + assign sq_retryHandler_timeOutNotificationQ_D_IN = + sq_retryHandler_prepareRetryRespQ_D_OUT[3] ; + assign sq_retryHandler_timeOutNotificationQ_ENQ = + WILL_FIRE_RL_sq_retryHandler_sendRetryResp && + sq_retryHandler_prepareRetryRespQ_D_OUT[2:0] == 3'd4 ; + assign sq_retryHandler_timeOutNotificationQ_DEQ = + CAN_FIRE_RL_sq_respHandleSQ_checkTimeOutErr ; + assign sq_retryHandler_timeOutNotificationQ_CLR = + WILL_FIRE_RL_sq_retryHandler_initRetryCntAndTimeOutTimer || + WILL_FIRE_RL_sq_retryHandler_resetAndClear ; + + // submodule sq_retryHandler_timeOutTriggerQ + assign sq_retryHandler_timeOutTriggerQ_D_IN = 1'd1 ; + assign sq_retryHandler_timeOutTriggerQ_ENQ = + WILL_FIRE_RL_sq_retryHandler_checkTimeOut && + NOT_sq_retryHandler_resetTimeOutQ_notEmpty__17_ETC___d1219 ; + assign sq_retryHandler_timeOutTriggerQ_DEQ = + WILL_FIRE_RL_sq_retryHandler_handleNotifiedRetryAndTimeOut && + sq_retryHandler_timeOutTriggerQ_EMPTY_N ; + assign sq_retryHandler_timeOutTriggerQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_retryHandler_updateRetryCntQ + assign sq_retryHandler_updateRetryCntQ_D_IN = + { sq_retryHandler_retryActionQ_D_OUT[97], + sq_retryHandler_retryActionQ_D_OUT[8:6] } ; + assign sq_retryHandler_updateRetryCntQ_ENQ = + CAN_FIRE_RL_sq_retryHandler_handleRetryAction ; + assign sq_retryHandler_updateRetryCntQ_DEQ = + CAN_FIRE_RL_sq_retryHandler_handleRetryCntUpdate ; + assign sq_retryHandler_updateRetryCntQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_workCompGenSQ_dmaWaitingQ + assign sq_workCompGenSQ_dmaWaitingQ_D_IN = + { sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT, + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[632:569], + CASE_sq_workCompGenSQ_pendingWorkCompQ4SQD_OU_ETC__q34, + 7'd0, + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[4:0], + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[463:432], + cntrl_pkeyReg, + cntrl_sqpnReg, + 66'h155555554AAAAAAAA, + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[4:0] == 5'd0, + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[30:29] == 2'd0 && + (sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[561] || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd4 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd5 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd6 || + cntrl_sqSigAllReg) } ; + assign sq_workCompGenSQ_dmaWaitingQ_ENQ = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + assign sq_workCompGenSQ_dmaWaitingQ_DEQ = + WILL_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ || + WILL_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ ; + assign sq_workCompGenSQ_dmaWaitingQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_workCompGenSQ_genWorkCompQ + assign sq_workCompGenSQ_genWorkCompQ_D_IN = + sq_workCompGenSQ_dmaWaitingQ_D_OUT ; + assign sq_workCompGenSQ_genWorkCompQ_ENQ = + WILL_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ || + WILL_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ ; + assign sq_workCompGenSQ_genWorkCompQ_DEQ = + WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ || + WILL_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ ; + assign sq_workCompGenSQ_genWorkCompQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_workCompGenSQ_pendingWorkCompQ4SQ + assign sq_workCompGenSQ_pendingWorkCompQ4SQ_D_IN = + sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N ? + sq_reqGenSQ_workCompGenReqOutQ_D_OUT : + sq_respHandleSQ_workCompGenReqOutQ_D_OUT ; + assign sq_workCompGenSQ_pendingWorkCompQ4SQ_ENQ = + WILL_FIRE_RL_sq_workCompGenSQ_recvWorkCompGenReqSQ && + (sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N || + sq_respHandleSQ_workCompGenReqOutQ_EMPTY_N) ; + assign sq_workCompGenSQ_pendingWorkCompQ4SQ_DEQ = + CAN_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ ; + assign sq_workCompGenSQ_pendingWorkCompQ4SQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule sq_workCompGenSQ_workCompOutQ4SQ + assign sq_workCompGenSQ_workCompOutQ4SQ_D_IN = + MUX_sq_workCompGenSQ_workCompOutQ4SQ_enq_1__SEL_1 ? + sq_workCompGenSQ_genWorkCompQ_D_OUT[223:2] : + MUX_sq_workCompGenSQ_workCompOutQ4SQ_enq_1__VAL_2 ; + assign sq_workCompGenSQ_workCompOutQ4SQ_ENQ = + WILL_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ && + (sq_workCompGenSQ_genWorkCompQ_D_OUT[0] || + !sq_workCompGenSQ_genWorkCompQ_D_OUT[1]) || + WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + !sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg ; + assign sq_workCompGenSQ_workCompOutQ4SQ_DEQ = EN_workCompPipeOutSQ_deq ; + assign sq_workCompGenSQ_workCompOutQ4SQ_CLR = cntrl_stateReg == 4'd0 ; + + // submodule workReqQ + assign workReqQ_D_IN = workReqIn_put ; + assign workReqQ_ENQ = EN_workReqIn_put ; + assign workReqQ_DEQ = + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_genPendingWR || + WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_flushWR ; + assign workReqQ_CLR = cntrl_stateReg == 4'd0 ; + + // remaining internal signals + assign IF_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_ETC___d1515 = + IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d1501 ? + NOT_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVe_ETC___d1503 || + NOT_IF_sq_retryHandler_retryReasonReg_431_EQ_4_ETC___d1505 : + NOT_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVe_ETC___d1514 ; + assign IF_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_ETC___d4106 = + (value__h99966[23] == cntrl_npsnReg[23]) ? + IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4097 && + respPktPipe_metaDataQ_first__626_BITS_554_TO_5_ETC___d4098 : + IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4105 ; + assign IF_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_ETC___d4116 = + IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d1501 ? + IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4108 && + respPktPipe_metaDataQ_first__626_BITS_554_TO_5_ETC___d4109 : + IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4115 ; + assign IF_IF_sq_retryHandler_updateRetryCntQ_first__3_ETC___d1388 = + (sq_retryHandler_updateRetryCntQ_D_OUT[2:0] == 3'd1) ? + sq_retryHandler_rnrCntReg == 3'd0 : + (sq_retryHandler_updateRetryCntQ_D_OUT[2:0] == 3'd2 || + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] == 3'd3 || + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] == 3'd4) && + sq_retryHandler_retryCntReg == 3'd0 ; + assign IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d1501 = + value__h99939[23] == value__h99966[23] ; + assign IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4097 = + value__h99966 < respPktPipe_metaDataQ_D_OUT[554:531] ; + assign IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4105 = + IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4097 && + value__h99966[23] == respPktPipe_metaDataQ_D_OUT[554] || + respPktPipe_metaDataQ_first__626_BITS_554_TO_5_ETC___d4098 && + respPktPipe_metaDataQ_D_OUT[554] == cntrl_npsnReg[23] ; + assign IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4108 = + value__h99939 < respPktPipe_metaDataQ_D_OUT[554:531] ; + assign IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4115 = + IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0__ETC___d4108 && + value__h99939[23] == respPktPipe_metaDataQ_D_OUT[554] || + respPktPipe_metaDataQ_first__626_BITS_554_TO_5_ETC___d4109 && + respPktPipe_metaDataQ_D_OUT[554] == value__h99966[23] ; + assign IF_cntrl_npsnReg_41_BIT_23_518_EQ_IF_IF_sq_req_ETC___d2534 = + (cntrl_npsnReg[23] == nextPktSeqNum__h56056[23]) ? + NOT_cntrl_npsnReg_41_ULT_IF_IF_sq_reqGenSQ_wor_ETC___d2522 || + NOT_IF_IF_sq_reqGenSQ_workReqPsnQ_first__498_B_ETC___d2524 : + NOT_cntrl_npsnReg_41_ULT_IF_IF_sq_reqGenSQ_wor_ETC___d2533 ; + assign IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_12_ELSE_16___d3346 = + (cntrl_sqTypeReg == 4'd2) ? 7'd12 : 7'd16 ; + assign IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_IF_cntrl__ETC___d3311 = + (cntrl_sqTypeReg == 4'd2) ? a__h69887 : a__h69889 ; + assign IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_IF_sq_req_ETC___d3316 = + (cntrl_sqTypeReg == 4'd2) ? a__h69891 : a__h69893 ; + assign IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_IF_sq_req_ETC___d3349 = + (cntrl_sqTypeReg == 4'd2) ? b__h69892 : b__h69894 ; + assign IF_cntrl_stateReg_EQ_3_AND_sq_pendingWorkReqPi_ETC___d1880 = + (cntrl_stateReg == 4'd3 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[606]) ? + !sq_pendingWorkReqBuf_emptyReg : + cntrl_stateReg == 4'd4 ; + assign IF_cntrl_stateReg_EQ_3_AND_sq_pendingWorkReqPi_ETC___d2074 = + (cntrl_stateReg == 4'd3 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[606]) ? + sq_pendingWorkReqBuf_emptyReg : + cntrl_stateReg != 4'd4 ; + assign IF_sq_pendingWorkReqBuf_pushReg_port1__read__7_ETC___d702 = + (sq_pendingWorkReqBuf_pushReg_port1__read[679] && + !sq_pendingWorkReqBuf_popReg_port1__read) ? + sq_pendingWorkReqBuf_itemCnt_Q_OUT[1:0] == 2'd3 : + sq_pendingWorkReqBuf_fullReg ; + assign IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1734 = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg ? + !sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg[0] : + !sq_reqGenSQ_reqHeaderOutQ_D_OUT[0] ; + assign IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1737 = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg ? + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg[9:8] : + sq_reqGenSQ_reqHeaderOutQ_D_OUT[9:8] ; + assign IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1742 = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg ? + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg[0] : + sq_reqGenSQ_reqHeaderOutQ_D_OUT[0] ; + assign IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1745 = + (IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1734 && + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1737 != + 2'd1 || + sq_reqGenSQ_reqHeaderOutQ_EMPTY_N) && + (IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1742 || + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_FULL_N) ; + assign IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1770 = + { remainingHeaderLen__h47316, + remainingHeaderFragNum__h47317, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg ? + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg[7:0] : + sq_reqGenSQ_reqHeaderOutQ_D_OUT[7:0] } ; + assign IF_sq_reqGenSQ_reqHeaderGenQ_first__570_BIT_24_ETC___d3578 = + sq_reqGenSQ_reqHeaderGenQ_D_OUT[24] ? + sq_reqGenSQ_workCompGenReqOutQ_FULL_N : + sq_reqGenSQ_reqHeaderOutQ_FULL_N && + sq_reqGenSQ_psnReqOutQ_FULL_N ; + assign IF_sq_reqGenSQ_reqHeaderGenQ_first__570_BIT_26_ETC___d3579 = + sq_reqGenSQ_reqHeaderGenQ_D_OUT[26] ? + IF_sq_reqGenSQ_reqHeaderGenQ_first__570_BIT_24_ETC___d3578 : + sq_reqGenSQ_reqHeaderOutQ_FULL_N && + sq_reqGenSQ_psnReqOutQ_FULL_N ; + assign IF_sq_reqGenSQ_reqHeaderGenQ_first__570_BIT_62_ETC___d3580 = + sq_reqGenSQ_reqHeaderGenQ_D_OUT[620] ? + IF_sq_reqGenSQ_reqHeaderGenQ_first__570_BIT_26_ETC___d3579 : + sq_reqGenSQ_workCompGenReqOutQ_FULL_N ; + assign IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2724 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] ? + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 : + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 ; + assign IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2730 = + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd2) ? + cntrl_sqTypeReg != 4'd4 || + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7 : + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd3 || + cntrl_sqTypeReg != 4'd4 || + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7 ; + assign IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2734 = + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2724 || + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd1 || + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2730 ; + assign IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3420 = + { sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] ? + (cntrl_sqTypeReg == 4'd2 || cntrl_sqTypeReg == 4'd3 || + cntrl_sqTypeReg == 4'd9 || + cntrl_sqTypeReg == 4'd4 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[142]) && + CASE_sq_reqGenSQ_reqHeaderPrepareQD_OUT_BITS__ETC__q18 : + CASE_sq_reqGenSQ_reqHeaderPrepareQD_OUT_BITS__ETC__q19, + x__h74169, + x__h81436, + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] || + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd1 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd2 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd3 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd9) && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[516:515] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[514:513] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[512:511] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[510:509] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[508:507] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[506:505] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[504:503] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[502:501] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[500:499] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[498:497] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[496:495] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[494:493] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[492:491] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[490:489] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[488:487] != 2'd0 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[486:485] != 2'd0) && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd4 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd5 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd6 } ; + assign IF_sq_reqGenSQ_workCompGenReqOutQ_notEmpty__69_ETC___d5707 = + sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N ? + sq_reqGenSQ_workCompGenReqOutQ_EMPTY_N && + sq_workCompGenSQ_pendingWorkCompQ4SQ_FULL_N : + !sq_respHandleSQ_workCompGenReqOutQ_EMPTY_N || + sq_workCompGenSQ_pendingWorkCompQ4SQ_FULL_N ; + assign IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4844 = + IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4824 || + CASE_sq_respHandleSQ_preRdmaOpCodeReg_13_NOT_s_ETC__q14 ; + assign IF_sq_respHandleSQ_pendingRetryCheckQ_first__9_ETC___d5037 = + IF_sq_respHandleSQ_pendingRetryCheckQ_first__9_ETC___d4989 && + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q17 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd9 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd10 || + sq_retryHandler_retryRespQ_EMPTY_N ; + assign IF_sq_retryHandler_resetTimeOutQ_notEmpty__176_ETC___d1197 = + (sq_retryHandler_resetTimeOutQ_EMPTY_N || + sq_retryHandler_retryCntrlStateReg != 2'd0) ? + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7 : + sq_retryHandler_disableTimeOutReg || + sq_pendingWorkReqBuf_emptyReg || + !sq_retryHandler_isTimeOutCntHighPartZeroReg || + !sq_retryHandler_isTimeOutCntLowPartZeroReg || + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7 && + sq_retryHandler_timeOutTriggerQ_FULL_N ; + assign IF_sq_retryHandler_retryRespQ_first__023_THEN__ETC___d5182 = + sq_retryHandler_retryRespQ_D_OUT ? + 2'd1 : + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[2:1] ; + assign IF_sq_retryHandler_updateRetryCntQ_first__309__ETC___d1340 = + (sq_retryHandler_updateRetryCntQ_D_OUT[2:0] == 3'd2 || + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] == 3'd3 || + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] == 3'd4) && + !sq_retryHandler_disableRetryCntReg && + sq_retryHandler_retryCntReg != 3'd0 || + !sq_retryHandler_updateRetryCntQ_D_OUT[3] ; + assign IF_sq_workCompGenSQ_genWorkCompQ_first__802_BI_ETC___d5808 = + sq_workCompGenSQ_genWorkCompQ_D_OUT[1] ? + !sq_workCompGenSQ_genWorkCompQ_D_OUT[0] || + sq_workCompGenSQ_workCompOutQ4SQ_FULL_N : + sq_workCompGenSQ_workCompOutQ4SQ_FULL_N ; + assign NOT_IF_IF_sq_reqGenSQ_workReqPsnQ_first__498_B_ETC___d2524 = + endPktSeqNum__h56057 >= nextPktSeqNum__h56056 ; + assign NOT_IF_IF_sq_reqGenSQ_workReqPsnQ_first__498_B_ETC___d2536 = + x__h56104 != nextPktSeqNum__h56056 || + endPktSeqNum__h56057 != cntrl_npsnReg && + IF_cntrl_npsnReg_41_BIT_23_518_EQ_IF_IF_sq_req_ETC___d2534 ; + assign NOT_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVe_ETC___d1503 = + value__h99939 >= v__h37423 ; + assign NOT_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVe_ETC___d1514 = + (NOT_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVe_ETC___d1503 || + value__h99939[23] != v__h37423[23]) && + (NOT_IF_sq_retryHandler_retryReasonReg_431_EQ_4_ETC___d1505 || + v__h37423[23] != value__h99966[23]) ; + assign NOT_IF_sq_retryHandler_retryReasonReg_431_EQ_4_ETC___d1505 = + v__h37423 >= value__h99966 ; + assign NOT_IF_sq_retryHandler_retryReasonReg_431_EQ_4_ETC___d1516 = + v__h37423 != value__h99939 && v__h37423 != value__h99966 && + IF_IF_SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_ETC___d1515 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1474 || + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1492 || + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649 || + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1652 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3783 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3794 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3806 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3822 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3836 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3851 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3867 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3870 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3867 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3884 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3887 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3884 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3902 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3896 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3905 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3902 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3921 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3896 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3915 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3927 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3921 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3941 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3896 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3915 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3935 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3947 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3941 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3956 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3896 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3915 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3935 ; + assign NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3962 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3956 ; + assign NOT_cntrl_npsnReg_41_ULT_IF_IF_sq_reqGenSQ_wor_ETC___d2522 = + cntrl_npsnReg >= endPktSeqNum__h56057 ; + assign NOT_cntrl_npsnReg_41_ULT_IF_IF_sq_reqGenSQ_wor_ETC___d2533 = + (NOT_cntrl_npsnReg_41_ULT_IF_IF_sq_reqGenSQ_wor_ETC___d2522 || + cntrl_npsnReg[23] != endPktSeqNum__h56057[23]) && + (NOT_IF_IF_sq_reqGenSQ_workReqPsnQ_first__498_B_ETC___d2524 || + endPktSeqNum__h56057[23] != nextPktSeqNum__h56056[23]) ; + assign NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd0 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd1 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd2 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd3 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd9 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd4 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd5 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd6 || + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 && + (cntrl_sqTypeReg != 4'd4 || + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[142]) || + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2711 ; + assign NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd0 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd1 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd2 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd3 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd9 || + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 && + (cntrl_sqTypeReg != 4'd4 || + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[142]) || + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2722 ; + assign NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2742 = + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 && + (cntrl_sqTypeReg != 4'd4 || + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[142]) || + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2711 ; + assign NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2882 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd0 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd1 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd2 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd3 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd9 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd4 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd5 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd6 || + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 && + cntrl_sqTypeReg != 4'd4 || + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2711 ; + assign NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2889 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd0 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd1 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd2 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd3 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd9 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd4 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd5 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd6 || + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 || + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2711 ; + assign NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2902 = + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 && + (cntrl_sqTypeReg != 4'd4 || + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[142]) || + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2722 ; + assign NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2973 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd0 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd1 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd2 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd3 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd9 || + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 && + cntrl_sqTypeReg != 4'd4 || + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2722 ; + assign NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2978 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd0 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd1 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd2 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd3 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd9 || + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 || + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2722 ; + assign NOT_dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_77__ETC___d376 = + !dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[13] && + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[12:11] == 2'd0 && + !dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[10] && + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[9:8] == 2'd0 && + !dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[7] && + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[6:5] == 2'd0 && + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[4:3] == 2'd0 && + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[2:1] == 2'd0 ; + assign NOT_sq_newPendingWorkReqPiptOut_pendingNewWork_ETC___d1707 = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg > 8'd4 ; + assign NOT_sq_pendingWorkReqPipeOut_pipeMuxOutQ_first_ETC___d1919 = + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[381:358] != + cntrl_sqpnReg ; + assign NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686] != + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[58:35] ; + assign NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 = + sq_respHandleSQ_preStageWorkReqAckTypeReg != 4'd8 && + !sq_respHandleSQ_recvRetryRespReg && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4479 = + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd1 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd2 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd3 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd4 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd5 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd6 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd7 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd8 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd9 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd10 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd11 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd12 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd13 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd14 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd15 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd16 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd17 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd18 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd19 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd20 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd21 && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] != 5'd22 ; + assign NOT_sq_respHandleSQ_retryFlushReg_616_617_OR_s_ETC___d4756 = + (!sq_respHandleSQ_retryFlushReg || + sq_respHandleSQ_errOccurredReg || + sq_respHandleSQ_recvErrRespReg) && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd0 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd3 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd1 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd4 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd2 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd5 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd6 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd7 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd8 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd11 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd9 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd10 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd12 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd13 ; + assign NOT_sq_retryHandler_resetTimeOutQ_notEmpty__17_ETC___d1219 = + !sq_retryHandler_resetTimeOutQ_EMPTY_N && + sq_retryHandler_retryCntrlStateReg == 2'd0 && + !sq_retryHandler_disableTimeOutReg && + !sq_pendingWorkReqBuf_emptyReg && + sq_retryHandler_isTimeOutCntHighPartZeroReg && + sq_retryHandler_isTimeOutCntLowPartZeroReg ; + assign NOT_sq_retryHandler_retryReasonReg_431_EQ_4_46_ETC___d1656 = + { sq_retryHandler_retryReasonReg != 3'd4 || + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1474, + (sq_retryHandler_retryReasonReg == 3'd4) ? + value__h99939 : + sq_retryHandler_retryStartPsnReg, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1492, + value__h99966, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649, + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d1650, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1652, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1653 } ; + assign _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577 = + (32'd1 << lastFragValidByteNumWithPadding__h13828) - 32'd1 ; + assign _1_SL_sq_reqGenSQ_pendingReqHeaderQ_first__424__ETC___d3443 = + (64'd1 << sq_reqGenSQ_pendingReqHeaderQ_D_OUT[31:25]) - 64'd1 ; + assign __duses1049 = + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd13 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd12 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd11 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd10 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd9 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd8 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd7 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd6 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd5 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd4 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd3 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd2 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd1 && + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd0 ; + assign __duses1054 = + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd13 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd12 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd11 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd10 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd9 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd8 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd7 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd6 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd5 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd4 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd3 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd2 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd1 && + sq_respHandleSQ_retryFlushReg && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd0 ; + assign __duses727 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses732 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses737 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses742 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses747 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses752 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses753 = + __duses752 || __duses747 || __duses742 || __duses737 || + __duses732 || + __duses727 || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + sq_respHandleSQ_preStageReqPktInfoReg[5] && + sq_respHandleSQ_preStageRespTypeReg == 2'd0 ; + assign __duses757 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses762 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses767 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses772 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses777 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3896 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses782 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3896 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3915 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses783 = + __duses782 || __duses777 || __duses772 || __duses767 || + __duses762 || + __duses757 || + __duses753 ; + assign __duses787 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3915 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3896 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3935 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses792 = + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3935 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3915 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3896 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 ; + assign __duses795 = + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses792 || + __duses787 || + __duses783 ; + assign __duses806 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !enumBits__h93928[0] || + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses795 ; + assign __duses810 = + enumBits__h93928[1] && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) || + __duses806 ; + assign __duses815 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !enumBits__h93928[1] || + __duses810 ; + assign __duses819 = + enumBits__h93928[2] && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) || + __duses815 ; + assign __duses824 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !enumBits__h93928[2] || + __duses819 ; + assign __duses828 = + enumBits__h93928[3] && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) || + __duses824 ; + assign __duses833 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !enumBits__h93928[3] || + __duses828 ; + assign __duses837 = + enumBits__h93928[4] && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) || + __duses833 ; + assign __duses842 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !enumBits__h93928[4] || + __duses837 ; + assign __duses847 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + enumBits__h93928 == 5'd0 || + __duses842 ; + assign __duses852 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + enumBits__h93928 != 5'd0 || + __duses847 ; + assign __duses863 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3994 || + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses852 ; + assign __duses874 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4003 || + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses863 ; + assign __duses885 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4012 || + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses874 ; + assign __duses896 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4021 || + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses885 ; + assign __duses907 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4030 || + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses896 ; + assign __duses918 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4039 || + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses907 ; + assign __duses929 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4048 || + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses918 ; + assign __duses940 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4057 || + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses929 ; + assign __duses953 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1473 || + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses940 ; + assign __duses964 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1491 || + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses953 ; + assign __duses975 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649 || + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses964 ; + assign __duses986 = + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074 || + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses975 ; + assign __duses988 = + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) || + __duses986 ; + assign __duses991 = + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4083 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) ; + assign __duses996 = + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4083 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2) && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074 ; + assign __duses999 = + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses996 || + __duses991 || + __duses988 ; + assign _theResult___snd__h61670 = + sq_reqGenSQ_reqCountQ_D_OUT[5] ? + 25'd0 : + remainingPktNum___1__h61681 ; + assign a__h52253 = + { 1'd0, sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:486] } ; + assign a__h52263 = + { 2'd0, sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:487] } ; + assign a__h52273 = + { 3'd0, sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:488] } ; + assign a__h52283 = + { 4'd0, sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:489] } ; + assign a__h52293 = + { 5'd0, sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:490] } ; + assign a__h63239 = + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[612:485], + 288'd0 } ; + assign a__h63241 = + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + 8'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[166:143], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[612:485], + 256'd0 } ; + assign a__h63243 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[612:485], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[232:201], + 256'd0 } : + a__h63239 ; + assign a__h63245 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + 8'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[166:143], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[612:485], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[232:201], + 224'd0 } : + a__h63241 ; + assign a__h63247 = + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + 416'd0 } ; + assign a__h63249 = + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[116:85], + 8'd0, + cntrl_sqpnReg, + 352'd0 } ; + assign a__h63251 = + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + 8'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[166:143], + 384'd0 } ; + assign a__h63253 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[232:201], + 384'd0 } : + a__h63247 ; + assign a__h63255 = + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[116:85], + 8'd0, + cntrl_sqpnReg, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[232:201], + 320'd0 } ; + assign a__h63257 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + 8'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[166:143], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[232:201], + 352'd0 } : + a__h63251 ; + assign a__h63259 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[199:168], + 384'd0 } : + a__h63247 ; + assign a__h63261 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + 8'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[166:143], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[199:168], + 352'd0 } : + a__h63251 ; + assign a__h63269 = + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[612:517], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[297:234], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[362:299], + 192'd0 } ; + assign a__h63272 = + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h63283, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + 8'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[166:143], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[612:517], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[297:234], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[362:299], + 160'd0 } ; + assign a__h69887 = + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3300, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h69915, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + 416'd0 } ; + assign a__h69889 = + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3300, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h69915, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + 8'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[166:143], + 384'd0 } ; + assign a__h69891 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] ? + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3300, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h69915, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[232:201], + 384'd0 } : + a__h69887 ; + assign a__h69893 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] ? + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3300, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h69915, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + 8'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[166:143], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[232:201], + 352'd0 } : + a__h69889 ; + assign a__h69903 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] ? + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3300, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h69915, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[199:168], + 384'd0 } : + a__h69887 ; + assign a__h69905 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] ? + { IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208, + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3300, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364], + 1'd0, + bth_padCnt__h69915, + 4'd0, + cntrl_pkeyReg, + 8'd0, + x__h63485, + cntrl_sqSigAllReg || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614] || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6), + 7'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + 8'd0, + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[166:143], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[199:168], + 352'd0 } : + a__h69889 ; + assign a__h9345 = { 1'd0, dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[34:11] } ; + assign a__h9355 = { 2'd0, dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[34:12] } ; + assign a__h9365 = { 3'd0, dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[34:13] } ; + assign a__h9375 = { 4'd0, dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[34:14] } ; + assign a__h9385 = { 5'd0, dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[34:15] } ; + assign addrChunkResp_chunkLen__h10586 = + (dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_77_BITS_ETC___d366 && + NOT_dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_77__ETC___d376 && + !dmaReadCntrl4SQ_addrChunkSrv_isZeroResidueReg) ? + { 1'd0, dmaReadCntrl4SQ_addrChunkSrv_residueReg } : + dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg ; + assign b__h52254 = + { 4'd0, sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[485:478] } ; + assign b__h52264 = + { 3'd0, sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[486:478] } ; + assign b__h52274 = + { 2'd0, sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[487:478] } ; + assign b__h52284 = + { 1'd0, sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[488:478] } ; + assign b__h63244 = sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? 7'd32 : 7'd28 ; + assign b__h63246 = sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? 7'd36 : 7'd32 ; + assign b__h63254 = sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? 7'd16 : 7'd12 ; + assign b__h63258 = sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? 7'd20 : 7'd16 ; + assign b__h69892 = sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] ? 7'd16 : 7'd12 ; + assign b__h69894 = sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] ? 7'd20 : 7'd16 ; + assign b__h9346 = { 4'd0, dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[10:3] } ; + assign b__h9356 = { 3'd0, dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[11:3] } ; + assign b__h9366 = { 2'd0, dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[12:3] } ; + assign b__h9376 = { 1'd0, dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[13:3] } ; + assign bits__h49179 = + { payloadGenerator4SQ_bramQ2PipeOut_postBramQ_fi_ETC___d1852[31], + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_fi_ETC___d1852[0] } ; + assign bth_padCnt__h63283 = + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd4 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd5 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd6) ? + padCnt__h63476 : + 2'd0 ; + assign bth_padCnt__h69915 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] ? padCnt__h63476 : 2'd0 ; + assign cntrl_stateReg_EQ_3_AND_NOT_sq_respHandleSQ_re_ETC___d5647 = + cntrl_stateReg == 4'd3 && !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg ; + assign cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_preSta_ETC___d3624 = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd0 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg ; + assign cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_preSta_ETC___d4329 = + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd2 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg ; + assign cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_recvEr_ETC___d5683 = + (cntrl_stateReg == 4'd3 && + (sq_respHandleSQ_recvErrRespReg || + sq_respHandleSQ_errOccurredReg) || + cntrl_stateReg == 4'd6) && + sq_pendingWorkReqBuf_emptyReg ; + assign cntrl_stateReg_EQ_3_AND_sq_respHandleSQ_retryF_ETC___d5690 = + cntrl_stateReg == 4'd3 && sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg ; + assign curPSN__h61636 = + sq_reqGenSQ_isFirstOrOnlyReqPktReg ? + sq_reqGenSQ_reqCountQ_D_OUT[81:58] : + sq_reqGenSQ_curPsnReg ; + assign dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_77_BITS_ETC___d366 = + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[24:23] == 2'd0 && + !dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[22] && + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[21:20] == 2'd0 && + !dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[19] && + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[18:17] == 2'd0 && + !dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[16] && + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg[15:14] == 2'd0 ; + assign dmaReadCntrl4SQ_respQ_i_notEmpty__42_AND_NOT_d_ETC___d657 = + dmaReadCntrl4SQ_respQ_EMPTY_N && + (!dmaReadCntrl4SQ_respQ_D_OUT[0] || + payloadGenerator4SQ_pendingGenReqQ_EMPTY_N) && + (!dmaReadCntrl4SQ_respQ_D_OUT[2] && + !dmaReadCntrl4SQ_respQ_D_OUT[292] || + payloadGenerator4SQ_pendingGenReqQ_EMPTY_N && + payloadGenerator4SQ_payloadGenRespQ_FULL_N) ; + assign endPktSeqNum__h56057 = + sq_reqGenSQ_workReqPsnQ_D_OUT[5] ? + cntrl_npsnReg : + nextPktSeqNum__h56056 - 24'd1 ; + assign headerLastFragInvalidByteNum__h47982 = + 6'd32 - + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[7:2] ; + assign headerLastFragValidBitNum__h47980 = + { sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[7:2], + 3'd0 } ; + assign lastFragValidByteNumWithPadding__h13828 = + lastFragValidByteNum__h13827 + { 4'd0, padCnt__h13826 } ; + assign lastFragValidByteNum__h13827 = + (payloadGenerator4SQ_payloadGenReqQ_D_OUT[9:8] == 2'd0 && + !payloadGenerator4SQ_payloadGenReqQ_D_OUT[7] && + payloadGenerator4SQ_payloadGenReqQ_D_OUT[6:5] == 2'd0 && + (payloadGenerator4SQ_payloadGenReqQ_D_OUT[36] || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[35:34] != 2'd0 || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[33] || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[32:31] != 2'd0 || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[30] || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[29:28] != 2'd0 || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[27:26] != 2'd0 || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[25:24] != 2'd0 || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[23] || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[22:21] != 2'd0 || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[20:19] != 2'd0 || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[18:17] != 2'd0 || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[16] || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[15:14] != 2'd0 || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[13:12] != 2'd0 || + payloadGenerator4SQ_payloadGenReqQ_D_OUT[11:10] != 2'd0)) ? + 6'd32 : + lastFragValidByteNum__h13849 ; + assign lastFragValidByteNum__h13849 = + { 1'd0, payloadGenerator4SQ_payloadGenReqQ_D_OUT[9:5] } ; + assign lastFragValidByteNum__h87658 = + { 1'd0, sq_reqGenSQ_pendingReqHeaderQ_D_OUT[29:25] } ; + assign leftShiftByteEn__h49542 = + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg[33:2] << + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg ; + assign leftShiftData__h49541 = + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg[289:34] << + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidBitNumReg ; + assign leftShiftHeaderByteEn__h47319 = { x__h47528[31:0], 32'd0 } ; + assign leftShiftHeaderData__h47318 = { x__h47525[255:0], 256'd0 } ; + assign nextPktSeqNum__h56056 = + sq_reqGenSQ_workReqPsnQ_D_OUT[5] ? + startPlusOne__h56122 : + x__h56223[23:0] ; + assign padCnt__h13826 = + 2'd0 - payloadGenerator4SQ_payloadGenReqQ_D_OUT[6:5] ; + assign padCnt__h63476 = + 2'd0 - sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[486:485] ; + assign payloadGenerator4SQ_bramQ2PipeOut_postBramQ_fi_ETC___d1852 = + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_D_OUT[33:2] << + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg ; + assign payloadGenerator4SQ_payloadBufQ_rRdPtr_read__7_ETC___d487 = + payloadGenerator4SQ_payloadBufQ_rRdPtr == + payloadGenerator4SQ_payloadBufQ_rWrPtr ; + assign remainingHeaderFragNum__h47317 = + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1737 - + 2'd1 ; + assign remainingHeaderLen__h47316 = + (sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg ? + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg[16:10] : + sq_reqGenSQ_reqHeaderOutQ_D_OUT[16:10]) - + 7'd32 ; + assign remainingPktNum___1__h61681 = + sq_reqGenSQ_reqCountQ_D_OUT[31:7] - 25'd2 ; + assign remainingPktNum___1__h61739 = + sq_reqGenSQ_remainingPktNumReg - 25'd1 ; + assign respPktPipe_metaDataQ_first__626_BITS_554_TO_5_ETC___d4098 = + respPktPipe_metaDataQ_D_OUT[554:531] < cntrl_npsnReg ; + assign respPktPipe_metaDataQ_first__626_BITS_554_TO_5_ETC___d4109 = + respPktPipe_metaDataQ_D_OUT[554:531] < value__h99966 ; + assign rightShiftHeaderLastFragByteEn__h48758 = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[33:2] >> + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg ; + assign rightShiftHeaderLastFragData__h48757 = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[289:34] >> + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidBitNumReg ; + assign rnrTimer__h36783 = + (sq_retryHandler_retryRnrTimerReg <= cntrl_minRnrTimerReg) ? + cntrl_minRnrTimerReg : + sq_retryHandler_retryRnrTimerReg ; + assign sq_pendingWorkReqBuf_deqPtrReg_90_EQ_sq_pendin_ETC___d1031 = + sq_pendingWorkReqBuf_deqPtrReg == + sq_pendingWorkReqBuf_scanPtrReg + 2'd1 ; + assign sq_reqGenSQ_pendingReqHeaderQ_first__424_BITS__ETC___d3563 = + { sq_reqGenSQ_pendingReqHeaderQ_D_OUT[31:25], + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[31:30] + + { 1'd0, x__h87589 }, + (sq_reqGenSQ_pendingReqHeaderQ_D_OUT[29:28] == 2'd0 && + !sq_reqGenSQ_pendingReqHeaderQ_D_OUT[27] && + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[26:25] == 2'd0 && + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[31:30] != 2'd0) ? + 6'd32 : + lastFragValidByteNum__h87658, + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[24], + 1'd0 } ; + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAnd_ETC___d1747 = + (sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg || + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_FULL_N && + sq_reqGenSQ_reqHeaderOutQ_EMPTY_N) && + (sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg || + sq_reqGenSQ_reqHeaderOutQ_EMPTY_N) && + IF_sq_reqGenSQ_rdmaReqPipeOut_headerDataStream_ETC___d1745 ; + assign sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAnd_ETC___d1808 = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_EMPTY_N && + (sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0] ? + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg || + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_FULL_N : + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_dataStreamOutQ_FULL_N) ; + assign sq_reqGenSQ_workReqCheckQ_i_notEmpty__561_AND__ETC___d2573 = + sq_reqGenSQ_workReqCheckQ_EMPTY_N && + sq_reqGenSQ_workReqOutQ_FULL_N && + (sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] || + sq_reqGenSQ_reqCountQ_FULL_N) ; + assign sq_reqGenSQ_workReqPsnQ_first__498_BIT_4_499_O_ETC___d2558 = + { sq_reqGenSQ_workReqPsnQ_D_OUT[4] || + sq_reqGenSQ_workReqPsnQ_D_OUT[82], + sq_reqGenSQ_workReqPsnQ_D_OUT[4] ? + cntrl_npsnReg : + sq_reqGenSQ_workReqPsnQ_D_OUT[81:58], + sq_reqGenSQ_workReqPsnQ_D_OUT[4] || + sq_reqGenSQ_workReqPsnQ_D_OUT[57], + sq_reqGenSQ_workReqPsnQ_D_OUT[4] ? + endPktSeqNum__h56057 : + sq_reqGenSQ_workReqPsnQ_D_OUT[56:33], + sq_reqGenSQ_workReqPsnQ_D_OUT[32:7], + sq_reqGenSQ_workReqPsnQ_D_OUT[4] || + sq_reqGenSQ_workReqPsnQ_D_OUT[6], + sq_reqGenSQ_workReqPsnQ_D_OUT[4] ? + sq_reqGenSQ_workReqPsnQ_D_OUT[5] || + sq_reqGenSQ_workReqPsnQ_D_OUT[619:616] == 4'd4 : + sq_reqGenSQ_workReqPsnQ_D_OUT[5] } ; + assign sq_respHandleSQ_pendingRetryCheckQ_first__949__ETC___d5046 = + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd9 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd10 || + sq_retryHandler_retryRespQ_EMPTY_N) && + IF_sq_respHandleSQ_pendingRetryCheckQ_first__9_ETC___d5037 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd9 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd10 || + sq_retryHandler_retryRespQ_EMPTY_N) ; + assign sq_respHandleSQ_pendingRetryCheckQ_first__949__ETC___d5187 = + { sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3], + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd0 || + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q21, + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q23, + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q24, + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[0] } ; + assign sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4187 = + sq_respHandleSQ_preStagePktMetaDataReg[1] && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1000 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1010) && + sq_respHandleSQ_preStageRespTypeReg != 2'd1 && + sq_respHandleSQ_preStageRespTypeReg != 2'd2 && + sq_respHandleSQ_preStageRespTypeReg != 2'd0 ; + assign sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4200 = + sq_respHandleSQ_preStagePktMetaDataReg[1] && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0001) && + sq_respHandleSQ_preStageRespTypeReg != 2'd1 && + sq_respHandleSQ_preStageRespTypeReg != 2'd2 && + sq_respHandleSQ_preStageRespTypeReg != 2'd0 ; + assign sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4203 = + sq_respHandleSQ_preStagePktMetaDataReg[1] && + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q13 ; + assign sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4208 = + sq_respHandleSQ_preStagePktMetaDataReg[1] && + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q10 ; + assign sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4214 = + sq_respHandleSQ_preStagePktMetaDataReg[1] && + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q12 ; + assign sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4220 = + sq_respHandleSQ_preStagePktMetaDataReg[1] && + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q11 ; + assign sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4267 = + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4203 && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b1000 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b1010 || + sq_respHandleSQ_preStageRespTypeReg != 2'd0) && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b1000 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b1010 || + sq_respHandleSQ_preStageRespTypeReg != 2'd1) && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b1000 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b1010 || + sq_respHandleSQ_preStageRespTypeReg != 2'd2) && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0010 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0001 || + sq_respHandleSQ_preStageRespTypeReg != 2'd0) && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0010 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0001 || + sq_respHandleSQ_preStageRespTypeReg != 2'd1) && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0010 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0001 || + sq_respHandleSQ_preStageRespTypeReg != 2'd2) && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0100 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]) && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0100 || + !sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]) && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1000 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0100 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0001) ; + assign sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4697 = + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd11 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd9 ; + assign sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4716 = + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + (sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd0 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd3 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd10 && + !sq_respHandleSQ_incomingRespQ_D_OUT[142]) ; + assign sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4746 = + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd11 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd9 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd6 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd2 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd5 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd0 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd3 && + (sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd10 || + sq_respHandleSQ_incomingRespQ_D_OUT[142]) && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd8 && + (sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd10 || + !sq_respHandleSQ_incomingRespQ_D_OUT[142]) && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd12 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd13 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd1 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd4 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd7 ; + assign sq_retryHandler_prepareRetryRespQ_i_notEmpty___ETC___d1411 = + sq_retryHandler_prepareRetryRespQ_EMPTY_N && + ((sq_retryHandler_prepareRetryRespQ_D_OUT[2:0] == 3'd4) ? + sq_retryHandler_timeOutNotificationQ_FULL_N : + sq_retryHandler_retryRespQ_FULL_N) ; + assign sq_retryHandler_resetReqQ_i_notEmpty__149_AND__ETC___d1155 = + sq_retryHandler_resetReqQ_EMPTY_N && + (sq_retryHandler_resetReqQ_D_OUT ? + sq_retryHandler_resetTimeOutQ_FULL_N && + sq_retryHandler_resetRetryCntQ_FULL_N : + sq_retryHandler_resetTimeOutQ_FULL_N) ; + assign sq_retryHandler_resetTimeOutQ_notEmpty__176_OR_ETC___d1209 = + sq_retryHandler_resetTimeOutQ_EMPTY_N || + sq_retryHandler_retryCntrlStateReg != 2'd0 || + !sq_retryHandler_disableTimeOutReg && + !sq_pendingWorkReqBuf_emptyReg && + sq_retryHandler_isTimeOutCntHighPartZeroReg && + sq_retryHandler_isTimeOutCntLowPartZeroReg ; + assign sq_retryHandler_updateRetryCntQ_i_notEmpty__30_ETC___d1313 = + sq_retryHandler_updateRetryCntQ_EMPTY_N && + (sq_retryHandler_updateRetryCntQ_D_OUT[3] ? + sq_retryHandler_prepareRetryRespQ_FULL_N : + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7) ; + assign sq_workCompGenSQ_dmaWaitingQ_i_notFull__721_AN_ETC___d5767 = + sq_workCompGenSQ_dmaWaitingQ_FULL_N && + (sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10 || + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7) && + (sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[30:29] != 2'd0 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[561] || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd4 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd5 || + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] == 4'd6 || + cntrl_stateReg != 4'd0 && cntrl_stateReg != 4'd7) ; + assign startPlusOne__h56122 = cntrl_npsnReg + 24'd1 ; + assign tmpByteEn__h49088 = + { sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg[33:2], + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_D_OUT[33:2] } >> + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidByteNumReg ; + assign tmpData__h49087 = + { sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg[289:34], + payloadGenerator4SQ_bramQ2PipeOut_postBramQ_D_OUT[289:34] } >> + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidBitNumReg ; + assign totalPktNum__h55209 = + sq_reqGenSQ_workReqPktNumQ_D_OUT[3] ? + sq_reqGenSQ_workReqPktNumQ_D_OUT[29:5] : + sq_reqGenSQ_workReqPktNumQ_D_OUT[29:5] + 25'd1 ; + assign v__h37423 = + (sq_retryHandler_retryReasonReg == 3'd4) ? + value__h99939 : + sq_retryHandler_retryStartPsnReg ; + assign x__h12662 = payloadGenerator4SQ_payloadBufQ_rWrPtr + 10'd1 ; + assign x__h12913 = payloadGenerator4SQ_payloadBufQ_rRdPtr + 10'd1 ; + assign x__h14424 = + { _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[0], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[1], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[2], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[3], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[4], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[5], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[6], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[7], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[8], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[9], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[10], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[11], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[12], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[13], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[14], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[15], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[16], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[17], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[18], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[19], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[20], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[21], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[22], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[23], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[24], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[25], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[26], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[27], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[28], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[29], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[30], + _1_SL_IF_payloadGenerator4SQ_payloadGenReqQ_fir_ETC___d577[31] } ; + assign x__h16825 = + 8'd1 << CASE_payloadGenerator4SQ_payloadGenReqQD_OUT__ETC__q1 ; + assign x__h33715 = sq_retryHandler_timeOutCntReg - 42'd1 ; + assign x__h35617 = sq_retryHandler_retryCntReg - 3'd1 ; + assign x__h35647 = sq_retryHandler_rnrCntReg - 3'd1 ; + assign x__h37628 = { 1'b1, v__h37423 } - { 1'b0, value__h99939 } ; + assign x__h41815 = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg + 8'd1 ; + assign x__h41903 = + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg - 8'd1 ; + assign x__h47525 = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg ? + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg[592:81] : + sq_reqGenSQ_reqHeaderOutQ_D_OUT[592:81] ; + assign x__h47528 = + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg ? + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg[80:17] : + sq_reqGenSQ_reqHeaderOutQ_D_OUT[80:17] ; + assign x__h56104 = endPktSeqNum__h56057 + 24'd1 ; + assign x__h56223 = + { 1'd0, cntrl_npsnReg } + sq_reqGenSQ_workReqPsnQ_D_OUT[31:7] ; + assign x__h74169 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] ? + value__h63238 : + value__h69886 ; + assign x__h81436 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] ? + value__h68654 : + value__h72405 ; + assign x__h87589 = + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[29:28] != 2'd0 || + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[27] || + sq_reqGenSQ_pendingReqHeaderQ_D_OUT[26:25] != 2'd0 ; + assign x__read_byteEn__h12579 = + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding ? + payloadGenerator4SQ_payloadBufQ_wDataIn_wget[33:2] : + 32'd0 ; + assign x__read_data__h12578 = + CAN_FIRE_RL_payloadGenerator4SQ_lastFragAddPadding ? + payloadGenerator4SQ_payloadBufQ_wDataIn_wget[289:34] : + 256'd0 ; + assign y__h42773 = cntrl_pendingWorkReqNumReg - 8'd1 ; + assign y_avValue_byteEn__h17055 = + payloadGenerator4SQ_pendingGenReqQ_D_OUT[43] ? + payloadGenerator4SQ_pendingGenReqQ_D_OUT[39:8] : + dmaReadCntrl4SQ_respQ_D_OUT[35:4] ; + always@(cntrl_reqQ_D_OUT or cntrl_pendingReadAtomicReqNumReg) + begin + case (cntrl_reqQ_D_OUT[300:299]) + 2'd0, 2'd1, 2'd2: x__h6243 = cntrl_reqQ_D_OUT[36:29]; + 2'd3: x__h6243 = cntrl_pendingReadAtomicReqNumReg; + endcase + end + always@(cntrl_reqQ_D_OUT or cntrl_npsnReg) + begin + case (cntrl_reqQ_D_OUT[300:299]) + 2'd0, 2'd1, 2'd2: x__h6205 = cntrl_reqQ_D_OUT[149:126]; + 2'd3: x__h6205 = cntrl_npsnReg; + endcase + end + always@(payloadGenerator4SQ_payloadGenReqQ_D_OUT) + begin + case (payloadGenerator4SQ_payloadGenReqQ_D_OUT[2:0]) + 3'd1: CASE_payloadGenerator4SQ_payloadGenReqQD_OUT__ETC__q1 = 32'd3; + 3'd2: CASE_payloadGenerator4SQ_payloadGenReqQD_OUT__ETC__q1 = 32'd4; + 3'd3: CASE_payloadGenerator4SQ_payloadGenReqQD_OUT__ETC__q1 = 32'd5; + 3'd4: CASE_payloadGenerator4SQ_payloadGenReqQD_OUT__ETC__q1 = 32'd6; + default: CASE_payloadGenerator4SQ_payloadGenReqQD_OUT__ETC__q1 = 32'd7; + endcase + end + always@(cntrl_sqTypeReg or + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT or cntrl_dqpnReg) + begin + case (cntrl_sqTypeReg) + 4'd2, 4'd3, 4'd9: x__h63485 = cntrl_dqpnReg; + default: x__h63485 = sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[141:118]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: value__h99740 = sq_pendingWorkReqBuf_dataVec_0[355:292]; + 2'd1: value__h99740 = sq_pendingWorkReqBuf_dataVec_1[355:292]; + 2'd2: value__h99740 = sq_pendingWorkReqBuf_dataVec_2[355:292]; + 2'd3: value__h99740 = sq_pendingWorkReqBuf_dataVec_3[355:292]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: enumBits__h93928 = sq_pendingWorkReqBuf_dataVec_0[610:606]; + 2'd1: enumBits__h93928 = sq_pendingWorkReqBuf_dataVec_1[610:606]; + 2'd2: enumBits__h93928 = sq_pendingWorkReqBuf_dataVec_2[610:606]; + 2'd3: enumBits__h93928 = sq_pendingWorkReqBuf_dataVec_3[610:606]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: value__h99767 = sq_pendingWorkReqBuf_dataVec_0[290:227]; + 2'd1: value__h99767 = sq_pendingWorkReqBuf_dataVec_1[290:227]; + 2'd2: value__h99767 = sq_pendingWorkReqBuf_dataVec_2[290:227]; + 2'd3: value__h99767 = sq_pendingWorkReqBuf_dataVec_3[290:227]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: value__h99797 = sq_pendingWorkReqBuf_dataVec_0[225:194]; + 2'd1: value__h99797 = sq_pendingWorkReqBuf_dataVec_1[225:194]; + 2'd2: value__h99797 = sq_pendingWorkReqBuf_dataVec_2[225:194]; + 2'd3: value__h99797 = sq_pendingWorkReqBuf_dataVec_3[225:194]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: value__h99854 = sq_pendingWorkReqBuf_dataVec_0[159:136]; + 2'd1: value__h99854 = sq_pendingWorkReqBuf_dataVec_1[159:136]; + 2'd2: value__h99854 = sq_pendingWorkReqBuf_dataVec_2[159:136]; + 2'd3: value__h99854 = sq_pendingWorkReqBuf_dataVec_3[159:136]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: value__h99824 = sq_pendingWorkReqBuf_dataVec_0[192:161]; + 2'd1: value__h99824 = sq_pendingWorkReqBuf_dataVec_1[192:161]; + 2'd2: value__h99824 = sq_pendingWorkReqBuf_dataVec_2[192:161]; + 2'd3: value__h99824 = sq_pendingWorkReqBuf_dataVec_3[192:161]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: value__h99881 = sq_pendingWorkReqBuf_dataVec_0[134:111]; + 2'd1: value__h99881 = sq_pendingWorkReqBuf_dataVec_1[134:111]; + 2'd2: value__h99881 = sq_pendingWorkReqBuf_dataVec_2[134:111]; + 2'd3: value__h99881 = sq_pendingWorkReqBuf_dataVec_3[134:111]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: value__h99908 = sq_pendingWorkReqBuf_dataVec_0[109:78]; + 2'd1: value__h99908 = sq_pendingWorkReqBuf_dataVec_1[109:78]; + 2'd2: value__h99908 = sq_pendingWorkReqBuf_dataVec_2[109:78]; + 2'd3: value__h99908 = sq_pendingWorkReqBuf_dataVec_3[109:78]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: value__h99939 = sq_pendingWorkReqBuf_dataVec_0[76:53]; + 2'd1: value__h99939 = sq_pendingWorkReqBuf_dataVec_1[76:53]; + 2'd2: value__h99939 = sq_pendingWorkReqBuf_dataVec_2[76:53]; + 2'd3: value__h99939 = sq_pendingWorkReqBuf_dataVec_3[76:53]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: value__h99966 = sq_pendingWorkReqBuf_dataVec_0[51:28]; + 2'd1: value__h99966 = sq_pendingWorkReqBuf_dataVec_1[51:28]; + 2'd2: value__h99966 = sq_pendingWorkReqBuf_dataVec_2[51:28]; + 2'd3: value__h99966 = sq_pendingWorkReqBuf_dataVec_3[51:28]; + endcase + end + always@(dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT or + a__h9385 or a__h9345 or a__h9355 or a__h9365 or a__h9375) + begin + case (dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[2:0]) + 3'd1: tmpPktNum__h9229 = a__h9345; + 3'd2: tmpPktNum__h9229 = a__h9355; + 3'd3: tmpPktNum__h9229 = a__h9365; + 3'd4: tmpPktNum__h9229 = a__h9375; + default: tmpPktNum__h9229 = a__h9385; + endcase + end + always@(cntrl_sqTypeReg) + begin + case (cntrl_sqTypeReg) + 4'd2, 4'd3: CASE_cntrl_sqTypeReg_2_28_3_28_32__q2 = 7'd28; + default: CASE_cntrl_sqTypeReg_2_28_3_28_32__q2 = 7'd32; + endcase + end + always@(cntrl_sqTypeReg or b__h63246 or b__h63244) + begin + case (cntrl_sqTypeReg) + 4'd2, 4'd3: CASE_cntrl_sqTypeReg_2_b3244_3_b3244_b3246__q3 = b__h63244; + default: CASE_cntrl_sqTypeReg_2_b3244_3_b3244_b3246__q3 = b__h63246; + endcase + end + always@(cntrl_sqTypeReg) + begin + case (cntrl_sqTypeReg) + 4'd2, 4'd3: CASE_cntrl_sqTypeReg_2_12_3_12_4_20_16__q4 = 7'd12; + 4'd4: CASE_cntrl_sqTypeReg_2_12_3_12_4_20_16__q4 = 7'd20; + default: CASE_cntrl_sqTypeReg_2_12_3_12_4_20_16__q4 = 7'd16; + endcase + end + always@(cntrl_sqTypeReg or b__h63258 or b__h63254) + begin + case (cntrl_sqTypeReg) + 4'd2, 4'd3: + CASE_cntrl_sqTypeReg_2_b3254_3_b3254_4_24_b3258__q5 = b__h63254; + 4'd4: CASE_cntrl_sqTypeReg_2_b3254_3_b3254_4_24_b3258__q5 = 7'd24; + default: CASE_cntrl_sqTypeReg_2_b3254_3_b3254_4_24_b3258__q5 = + b__h63258; + endcase + end + always@(sq_reqGenSQ_reqHeaderPrepareQ_D_OUT or + cntrl_sqTypeReg or + CASE_cntrl_sqTypeReg_2_28_3_28_32__q2 or + CASE_cntrl_sqTypeReg_2_b3244_3_b3244_b3246__q3 or + CASE_cntrl_sqTypeReg_2_12_3_12_4_20_16__q4 or + CASE_cntrl_sqTypeReg_2_b3254_3_b3254_4_24_b3258__q5 or + b__h63254 or b__h63258) + begin + case (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618]) + 4'd0: value__h68654 = CASE_cntrl_sqTypeReg_2_28_3_28_32__q2; + 4'd1: value__h68654 = CASE_cntrl_sqTypeReg_2_b3244_3_b3244_b3246__q3; + 4'd2: value__h68654 = CASE_cntrl_sqTypeReg_2_12_3_12_4_20_16__q4; + 4'd3: + value__h68654 = CASE_cntrl_sqTypeReg_2_b3254_3_b3254_4_24_b3258__q5; + 4'd4: value__h68654 = (cntrl_sqTypeReg == 4'd2) ? 7'd28 : 7'd32; + 4'd9: value__h68654 = (cntrl_sqTypeReg == 4'd2) ? b__h63254 : b__h63258; + default: value__h68654 = (cntrl_sqTypeReg == 4'd2) ? 7'd40 : 7'd44; + endcase + end + always@(cntrl_pmtuReg or + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT or + b__h52254 or b__h52264 or b__h52274 or b__h52284) + begin + case (cntrl_pmtuReg) + 3'd1: x__h52371 = b__h52254; + 3'd2: x__h52371 = b__h52264; + 3'd3: x__h52371 = b__h52274; + 3'd4: x__h52371 = b__h52284; + default: x__h52371 = + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[489:478]; + endcase + end + always@(cntrl_pmtuReg or + a__h52293 or a__h52253 or a__h52263 or a__h52273 or a__h52283) + begin + case (cntrl_pmtuReg) + 3'd1: x__h52242 = a__h52253; + 3'd2: x__h52242 = a__h52263; + 3'd3: x__h52242 = a__h52273; + 3'd4: x__h52242 = a__h52283; + default: x__h52242 = a__h52293; + endcase + end + always@(dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT or + b__h9346 or b__h9356 or b__h9366 or b__h9376) + begin + case (dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[2:0]) + 3'd1: pmtuResidue__h9230 = b__h9346; + 3'd2: pmtuResidue__h9230 = b__h9356; + 3'd3: pmtuResidue__h9230 = b__h9366; + 3'd4: pmtuResidue__h9230 = b__h9376; + default: pmtuResidue__h9230 = + dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[14:3]; + endcase + end + always@(cntrl_maxTimeOutReg) + begin + case (cntrl_maxTimeOutReg) + 5'd0: x__h32835 = 42'd0; + 5'd1: x__h32835 = 42'd1366; + 5'd2: x__h32835 = 42'd2731; + 5'd3: x__h32835 = 42'd5462; + 5'd4: x__h32835 = 42'd10923; + 5'd5: x__h32835 = 42'd21846; + 5'd6: x__h32835 = 42'd43691; + 5'd7: x__h32835 = 42'd87382; + 5'd8: x__h32835 = 42'd174763; + 5'd9: x__h32835 = 42'd349526; + 5'd10: x__h32835 = 42'd699051; + 5'd11: x__h32835 = 42'd1398102; + 5'd12: x__h32835 = 42'd2796203; + 5'd13: x__h32835 = 42'd5592406; + 5'd14: x__h32835 = 42'd11184811; + 5'd15: x__h32835 = 42'd22369622; + 5'd16: x__h32835 = 42'd44739243; + 5'd17: x__h32835 = 42'd89478486; + 5'd18: x__h32835 = 42'd178956971; + 5'd19: x__h32835 = 42'd357913942; + 5'd20: x__h32835 = 42'd715827883; + 5'd21: x__h32835 = 42'd1431655766; + 5'd22: x__h32835 = 42'h000AAAAAAAB; + 5'd23: x__h32835 = 42'h00155555556; + 5'd24: x__h32835 = 42'h002AAAAAAAB; + 5'd25: x__h32835 = 42'h00555555556; + 5'd26: x__h32835 = 42'h00AAAAAAAAB; + 5'd27: x__h32835 = 42'h01555555556; + 5'd28: x__h32835 = 42'h02AAAAAAAAB; + 5'd29: x__h32835 = 42'h05555555556; + 5'd30: x__h32835 = 42'h0AAAAAAAAAB; + 5'd31: x__h32835 = 42'h15555555556; + endcase + end + always@(cntrl_reqQ_D_OUT or cntrl_stateReg) + begin + case (cntrl_reqQ_D_OUT[300:299]) + 2'd0, 2'd1, 2'd2: + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d60 = + cntrl_reqQ_D_OUT[212:209]; + 2'd3: + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d60 = + cntrl_stateReg; + endcase + end + always@(cntrl_reqQ_D_OUT or cntrl_pkeyReg) + begin + case (cntrl_reqQ_D_OUT[300:299]) + 2'd0, 2'd1, 2'd2: + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d99 = + cntrl_reqQ_D_OUT[53:38]; + 2'd3: + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d99 = + cntrl_pkeyReg; + endcase + end + always@(cntrl_reqQ_D_OUT or cntrl_qpAccessFlagsReg) + begin + case (cntrl_reqQ_D_OUT[300:299]) + 2'd0, 2'd1, 2'd2: + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d95 = + cntrl_reqQ_D_OUT[101:94]; + 2'd3: + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d95 = + cntrl_qpAccessFlagsReg; + endcase + end + always@(cntrl_reqQ_D_OUT or + cntrl_maxTimeOutReg or cntrl_maxRetryCntReg or cntrl_maxRnrCntReg) + begin + case (cntrl_reqQ_D_OUT[300:299]) + 2'd0, 2'd1, 2'd2: + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d155 = + cntrl_reqQ_D_OUT[15:5]; + 2'd3: + IF_cntrl_reqQ_first__7_BITS_300_TO_299_8_EQ_1__ETC___d155 = + { cntrl_maxTimeOutReg, + cntrl_maxRetryCntReg, + cntrl_maxRnrCntReg }; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d861 = + sq_pendingWorkReqBuf_dataVec_0[226]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d861 = + sq_pendingWorkReqBuf_dataVec_1[226]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d861 = + sq_pendingWorkReqBuf_dataVec_2[226]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d861 = + sq_pendingWorkReqBuf_dataVec_3[226]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d951 = + sq_pendingWorkReqBuf_dataVec_0[77]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d951 = + sq_pendingWorkReqBuf_dataVec_1[77]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d951 = + sq_pendingWorkReqBuf_dataVec_2[77]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d951 = + sq_pendingWorkReqBuf_dataVec_3[77]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d894 = + sq_pendingWorkReqBuf_dataVec_0[160]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d894 = + sq_pendingWorkReqBuf_dataVec_1[160]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d894 = + sq_pendingWorkReqBuf_dataVec_2[160]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d894 = + sq_pendingWorkReqBuf_dataVec_3[160]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1001 = + sq_pendingWorkReqBuf_dataVec_0[1]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1001 = + sq_pendingWorkReqBuf_dataVec_1[1]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1001 = + sq_pendingWorkReqBuf_dataVec_2[1]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1001 = + sq_pendingWorkReqBuf_dataVec_3[1]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463 = + sq_pendingWorkReqBuf_dataVec_0[678:615]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463 = + sq_pendingWorkReqBuf_dataVec_1[678:615]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463 = + sq_pendingWorkReqBuf_dataVec_2[678:615]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463 = + sq_pendingWorkReqBuf_dataVec_3[678:615]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1473 = + !sq_pendingWorkReqBuf_dataVec_0[77]; + 2'd1: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1473 = + !sq_pendingWorkReqBuf_dataVec_1[77]; + 2'd2: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1473 = + !sq_pendingWorkReqBuf_dataVec_2[77]; + 2'd3: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1473 = + !sq_pendingWorkReqBuf_dataVec_3[77]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1474 = + sq_pendingWorkReqBuf_dataVec_0[77]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1474 = + sq_pendingWorkReqBuf_dataVec_1[77]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1474 = + sq_pendingWorkReqBuf_dataVec_2[77]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1474 = + sq_pendingWorkReqBuf_dataVec_3[77]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1492 = + sq_pendingWorkReqBuf_dataVec_0[52]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1492 = + sq_pendingWorkReqBuf_dataVec_1[52]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1492 = + sq_pendingWorkReqBuf_dataVec_2[52]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1492 = + sq_pendingWorkReqBuf_dataVec_3[52]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1491 = + !sq_pendingWorkReqBuf_dataVec_0[52]; + 2'd1: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1491 = + !sq_pendingWorkReqBuf_dataVec_1[52]; + 2'd2: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1491 = + !sq_pendingWorkReqBuf_dataVec_2[52]; + 2'd3: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1491 = + !sq_pendingWorkReqBuf_dataVec_3[52]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1620 = + sq_pendingWorkReqBuf_dataVec_0[357]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1620 = + sq_pendingWorkReqBuf_dataVec_1[357]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1620 = + sq_pendingWorkReqBuf_dataVec_2[357]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1620 = + sq_pendingWorkReqBuf_dataVec_3[357]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525 = + sq_pendingWorkReqBuf_dataVec_0[605:542]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525 = + sq_pendingWorkReqBuf_dataVec_1[605:542]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525 = + sq_pendingWorkReqBuf_dataVec_2[605:542]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525 = + sq_pendingWorkReqBuf_dataVec_3[605:542]; + endcase + end + always@(cntrl_pmtuReg or + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525 or + sq_retryHandler_psnDiffReg) + begin + case (cntrl_pmtuReg) + 3'd1: + x__h39125 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525[63:8] + + { 32'd0, sq_retryHandler_psnDiffReg }, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525[7:0] }; + 3'd2: + x__h39125 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525[63:9] + + { 31'd0, sq_retryHandler_psnDiffReg }, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525[8:0] }; + 3'd3: + x__h39125 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525[63:10] + + { 30'd0, sq_retryHandler_psnDiffReg }, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525[9:0] }; + 3'd4: + x__h39125 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525[63:11] + + { 29'd0, sq_retryHandler_psnDiffReg }, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525[10:0] }; + default: x__h39125 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525[63:12] + + { 28'd0, sq_retryHandler_psnDiffReg }, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525[11:0] }; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592 = + sq_pendingWorkReqBuf_dataVec_0[477:414]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592 = + sq_pendingWorkReqBuf_dataVec_1[477:414]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592 = + sq_pendingWorkReqBuf_dataVec_2[477:414]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592 = + sq_pendingWorkReqBuf_dataVec_3[477:414]; + endcase + end + always@(cntrl_pmtuReg or + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592 or + sq_retryHandler_psnDiffReg) + begin + case (cntrl_pmtuReg) + 3'd1: + x__h39664 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592[63:8] + + { 32'd0, sq_retryHandler_psnDiffReg }, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592[7:0] }; + 3'd2: + x__h39664 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592[63:9] + + { 31'd0, sq_retryHandler_psnDiffReg }, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592[8:0] }; + 3'd3: + x__h39664 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592[63:10] + + { 30'd0, sq_retryHandler_psnDiffReg }, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592[9:0] }; + 3'd4: + x__h39664 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592[63:11] + + { 29'd0, sq_retryHandler_psnDiffReg }, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592[10:0] }; + default: x__h39664 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592[63:12] + + { 28'd0, sq_retryHandler_psnDiffReg }, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592[11:0] }; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1621 = + sq_pendingWorkReqBuf_dataVec_0[356]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1621 = + sq_pendingWorkReqBuf_dataVec_1[356]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1621 = + sq_pendingWorkReqBuf_dataVec_2[356]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1621 = + sq_pendingWorkReqBuf_dataVec_3[356]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d828 = + sq_pendingWorkReqBuf_dataVec_0[356]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d828 = + sq_pendingWorkReqBuf_dataVec_1[356]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d828 = + sq_pendingWorkReqBuf_dataVec_2[356]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d828 = + sq_pendingWorkReqBuf_dataVec_3[356]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1631 = + sq_pendingWorkReqBuf_dataVec_0[160]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1631 = + sq_pendingWorkReqBuf_dataVec_1[160]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1631 = + sq_pendingWorkReqBuf_dataVec_2[160]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1631 = + sq_pendingWorkReqBuf_dataVec_3[160]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1626 = + sq_pendingWorkReqBuf_dataVec_0[226]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1626 = + sq_pendingWorkReqBuf_dataVec_1[226]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1626 = + sq_pendingWorkReqBuf_dataVec_2[226]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1626 = + sq_pendingWorkReqBuf_dataVec_3[226]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1634 = + sq_pendingWorkReqBuf_dataVec_0[135]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1634 = + sq_pendingWorkReqBuf_dataVec_1[135]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1634 = + sq_pendingWorkReqBuf_dataVec_2[135]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1634 = + sq_pendingWorkReqBuf_dataVec_3[135]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d911 = + sq_pendingWorkReqBuf_dataVec_0[135]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d911 = + sq_pendingWorkReqBuf_dataVec_1[135]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d911 = + sq_pendingWorkReqBuf_dataVec_2[135]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d911 = + sq_pendingWorkReqBuf_dataVec_3[135]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1629 = + sq_pendingWorkReqBuf_dataVec_0[193]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1629 = + sq_pendingWorkReqBuf_dataVec_1[193]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1629 = + sq_pendingWorkReqBuf_dataVec_2[193]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1629 = + sq_pendingWorkReqBuf_dataVec_3[193]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d878 = + sq_pendingWorkReqBuf_dataVec_0[193]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d878 = + sq_pendingWorkReqBuf_dataVec_1[193]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d878 = + sq_pendingWorkReqBuf_dataVec_2[193]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d878 = + sq_pendingWorkReqBuf_dataVec_3[193]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1624 = + sq_pendingWorkReqBuf_dataVec_0[291]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1624 = + sq_pendingWorkReqBuf_dataVec_1[291]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1624 = + sq_pendingWorkReqBuf_dataVec_2[291]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1624 = + sq_pendingWorkReqBuf_dataVec_3[291]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d845 = + sq_pendingWorkReqBuf_dataVec_0[291]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d845 = + sq_pendingWorkReqBuf_dataVec_1[291]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d845 = + sq_pendingWorkReqBuf_dataVec_2[291]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d845 = + sq_pendingWorkReqBuf_dataVec_3[291]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d822 = + sq_pendingWorkReqBuf_dataVec_0[357]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d822 = + sq_pendingWorkReqBuf_dataVec_1[357]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d822 = + sq_pendingWorkReqBuf_dataVec_2[357]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d822 = + sq_pendingWorkReqBuf_dataVec_3[357]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1618 = + sq_pendingWorkReqBuf_dataVec_0[413:382]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1618 = + sq_pendingWorkReqBuf_dataVec_1[413:382]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1618 = + sq_pendingWorkReqBuf_dataVec_2[413:382]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1618 = + sq_pendingWorkReqBuf_dataVec_3[413:382]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d810 = + sq_pendingWorkReqBuf_dataVec_0[413:382]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d810 = + sq_pendingWorkReqBuf_dataVec_1[413:382]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d810 = + sq_pendingWorkReqBuf_dataVec_2[413:382]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d810 = + sq_pendingWorkReqBuf_dataVec_3[413:382]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d984 = + sq_pendingWorkReqBuf_dataVec_0[27]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d984 = + sq_pendingWorkReqBuf_dataVec_1[27]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d984 = + sq_pendingWorkReqBuf_dataVec_2[27]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d984 = + sq_pendingWorkReqBuf_dataVec_3[27]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649 = + sq_pendingWorkReqBuf_dataVec_0[27]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649 = + sq_pendingWorkReqBuf_dataVec_1[27]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649 = + sq_pendingWorkReqBuf_dataVec_2[27]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649 = + sq_pendingWorkReqBuf_dataVec_3[27]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1652 = + sq_pendingWorkReqBuf_dataVec_0[1]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1652 = + sq_pendingWorkReqBuf_dataVec_1[1]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1652 = + sq_pendingWorkReqBuf_dataVec_2[1]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1652 = + sq_pendingWorkReqBuf_dataVec_3[1]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d968 = + sq_pendingWorkReqBuf_dataVec_0[52]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d968 = + sq_pendingWorkReqBuf_dataVec_1[52]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d968 = + sq_pendingWorkReqBuf_dataVec_2[52]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d968 = + sq_pendingWorkReqBuf_dataVec_3[52]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562 = + sq_pendingWorkReqBuf_dataVec_0[509:478]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562 = + sq_pendingWorkReqBuf_dataVec_1[509:478]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562 = + sq_pendingWorkReqBuf_dataVec_2[509:478]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562 = + sq_pendingWorkReqBuf_dataVec_3[509:478]; + endcase + end + always@(cntrl_pmtuReg or + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562 or + sq_retryHandler_psnDiffReg) + begin + case (cntrl_pmtuReg) + 3'd1: + x__h39399 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562[31:8] - + sq_retryHandler_psnDiffReg, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562[7:0] }; + 3'd2: + x__h39399 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562[31:9] - + sq_retryHandler_psnDiffReg[22:0], + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562[8:0] }; + 3'd3: + x__h39399 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562[31:10] - + sq_retryHandler_psnDiffReg[21:0], + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562[9:0] }; + 3'd4: + x__h39399 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562[31:11] - + sq_retryHandler_psnDiffReg[20:0], + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562[10:0] }; + default: x__h39399 = + { SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562[31:12] - + sq_retryHandler_psnDiffReg[19:0], + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562[11:0] }; + endcase + end + always@(sq_reqGenSQ_reqHeaderPrepareQ_D_OUT or cntrl_sqTypeReg) + begin + case (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618]) + 4'd0, 4'd1: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2711 = + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9; + 4'd2, 4'd3: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2711 = + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd4 && + cntrl_sqTypeReg != 4'd9; + 4'd4, 4'd9: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2711 = + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd9; + default: IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2711 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd5 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd6 || + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd9; + endcase + end + always@(sq_reqGenSQ_reqHeaderPrepareQ_D_OUT or cntrl_sqTypeReg) + begin + case (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618]) + 4'd0, 4'd1, 4'd2, 4'd3: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2722 = + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd9; + default: IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d2722 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd9 || + cntrl_sqTypeReg != 4'd2 && cntrl_sqTypeReg != 4'd9; + endcase + end + always@(cntrl_sqTypeReg) + begin + case (cntrl_sqTypeReg) + 4'd2: IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208 = 3'd0; + 4'd3: IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208 = 3'd1; + 4'd4: IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208 = 3'd3; + default: IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_0_ELSE_IF_ETC___d3208 = + 3'd5; + endcase + end + always@(sq_reqGenSQ_reqHeaderPrepareQ_D_OUT) + begin + case (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618]) + 4'd0: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? 5'd10 : 5'd6; + 4'd1: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? 5'd11 : 5'd6; + 4'd2: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? 5'd4 : 5'd0; + 4'd3: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? 5'd5 : 5'd0; + 4'd4: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222 = 5'd12; + 4'd5: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222 = 5'd19; + 4'd9: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7] ? 5'd23 : 5'd0; + default: IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3222 = + 5'd20; + endcase + end + always@(cntrl_sqTypeReg or a__h63241 or a__h63239) + begin + case (cntrl_sqTypeReg) + 4'd2, 4'd3: CASE_cntrl_sqTypeReg_2_a3239_3_a3239_a3241__q6 = a__h63239; + default: CASE_cntrl_sqTypeReg_2_a3239_3_a3239_a3241__q6 = a__h63241; + endcase + end + always@(cntrl_sqTypeReg or a__h63245 or a__h63243) + begin + case (cntrl_sqTypeReg) + 4'd2, 4'd3: CASE_cntrl_sqTypeReg_2_a3243_3_a3243_a3245__q7 = a__h63243; + default: CASE_cntrl_sqTypeReg_2_a3243_3_a3243_a3245__q7 = a__h63245; + endcase + end + always@(cntrl_sqTypeReg or a__h63251 or a__h63247 or a__h63249) + begin + case (cntrl_sqTypeReg) + 4'd2, 4'd3: + CASE_cntrl_sqTypeReg_2_a3247_3_a3247_4_a3249_a_ETC__q8 = a__h63247; + 4'd4: + CASE_cntrl_sqTypeReg_2_a3247_3_a3247_4_a3249_a_ETC__q8 = a__h63249; + default: CASE_cntrl_sqTypeReg_2_a3247_3_a3247_4_a3249_a_ETC__q8 = + a__h63251; + endcase + end + always@(cntrl_sqTypeReg or a__h63257 or a__h63253 or a__h63255) + begin + case (cntrl_sqTypeReg) + 4'd2, 4'd3: + CASE_cntrl_sqTypeReg_2_a3253_3_a3253_4_a3255_a_ETC__q9 = a__h63253; + 4'd4: + CASE_cntrl_sqTypeReg_2_a3253_3_a3253_4_a3255_a_ETC__q9 = a__h63255; + default: CASE_cntrl_sqTypeReg_2_a3253_3_a3253_4_a3255_a_ETC__q9 = + a__h63257; + endcase + end + always@(sq_reqGenSQ_reqHeaderPrepareQ_D_OUT or + cntrl_sqTypeReg or + a__h63269 or + a__h63272 or + CASE_cntrl_sqTypeReg_2_a3239_3_a3239_a3241__q6 or + CASE_cntrl_sqTypeReg_2_a3243_3_a3243_a3245__q7 or + CASE_cntrl_sqTypeReg_2_a3247_3_a3247_4_a3249_a_ETC__q8 or + CASE_cntrl_sqTypeReg_2_a3253_3_a3253_4_a3255_a_ETC__q9 or + a__h63239 or a__h63241 or a__h63259 or a__h63261) + begin + case (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618]) + 4'd0: value__h63238 = CASE_cntrl_sqTypeReg_2_a3239_3_a3239_a3241__q6; + 4'd1: value__h63238 = CASE_cntrl_sqTypeReg_2_a3243_3_a3243_a3245__q7; + 4'd2: + value__h63238 = + CASE_cntrl_sqTypeReg_2_a3247_3_a3247_4_a3249_a_ETC__q8; + 4'd3: + value__h63238 = + CASE_cntrl_sqTypeReg_2_a3253_3_a3253_4_a3255_a_ETC__q9; + 4'd4: value__h63238 = (cntrl_sqTypeReg == 4'd2) ? a__h63239 : a__h63241; + 4'd9: value__h63238 = (cntrl_sqTypeReg == 4'd2) ? a__h63259 : a__h63261; + default: value__h63238 = + (cntrl_sqTypeReg == 4'd2) ? a__h63269 : a__h63272; + endcase + end + always@(sq_reqGenSQ_reqHeaderPrepareQ_D_OUT) + begin + case (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618]) + 4'd0: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3300 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] ? 5'd8 : 5'd7; + 4'd1: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3300 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] ? 5'd9 : 5'd7; + 4'd2: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3300 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] ? 5'd2 : 5'd1; + 4'd3: + IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3300 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] ? 5'd3 : 5'd1; + default: IF_sq_reqGenSQ_reqHeaderPrepareQ_first__665_BI_ETC___d3300 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] ? 5'd22 : 5'd1; + endcase + end + always@(sq_reqGenSQ_reqHeaderPrepareQ_D_OUT or + cntrl_sqTypeReg or + a__h69903 or + a__h69905 or + IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_IF_cntrl__ETC___d3311 or + IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_IF_sq_req_ETC___d3316) + begin + case (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618]) + 4'd0, 4'd2: + value__h69886 = + IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_IF_cntrl__ETC___d3311; + 4'd1, 4'd3: + value__h69886 = + IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_IF_sq_req_ETC___d3316; + default: value__h69886 = + (cntrl_sqTypeReg == 4'd2) ? a__h69903 : a__h69905; + endcase + end + always@(sq_reqGenSQ_reqHeaderPrepareQ_D_OUT or + IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_IF_sq_req_ETC___d3349 or + IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_12_ELSE_16___d3346) + begin + case (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618]) + 4'd0, 4'd2: + value__h72405 = + IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_12_ELSE_16___d3346; + 4'd1: + value__h72405 = + IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_IF_sq_req_ETC___d3349; + default: value__h72405 = + IF_cntrl_sqTypeReg_887_EQ_2_888_THEN_IF_sq_req_ETC___d3349; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd0; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd0; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd0; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd0; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd1; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd1; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd1; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd1; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd2; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd2; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd2; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd2; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd3; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd3; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd3; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3780 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd3; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd4; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd4; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd4; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3791 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd4; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd5; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd5; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd5; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3803 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd5; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd6; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd6; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd6; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3816 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd6; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd7; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd7; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd7; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3830 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd7; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd8; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd8; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd8; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3845 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd8; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd9; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd9; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd9; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3861 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd9; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd10; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd10; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd10; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3878 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd10; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3896 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd11; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3896 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd11; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3896 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd11; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3896 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd11; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3915 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd12; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3915 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd12; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3915 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd12; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3915 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd12; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3935 = + sq_pendingWorkReqBuf_dataVec_0[614:611] == 4'd14; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3935 = + sq_pendingWorkReqBuf_dataVec_1[614:611] == 4'd14; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3935 = + sq_pendingWorkReqBuf_dataVec_2[614:611] == 4'd14; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3935 = + sq_pendingWorkReqBuf_dataVec_3[614:611] == 4'd14; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3994 = + !sq_pendingWorkReqBuf_dataVec_0[357]; + 2'd1: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3994 = + !sq_pendingWorkReqBuf_dataVec_1[357]; + 2'd2: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3994 = + !sq_pendingWorkReqBuf_dataVec_2[357]; + 2'd3: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3994 = + !sq_pendingWorkReqBuf_dataVec_3[357]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4012 = + !sq_pendingWorkReqBuf_dataVec_0[291]; + 2'd1: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4012 = + !sq_pendingWorkReqBuf_dataVec_1[291]; + 2'd2: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4012 = + !sq_pendingWorkReqBuf_dataVec_2[291]; + 2'd3: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4012 = + !sq_pendingWorkReqBuf_dataVec_3[291]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4003 = + !sq_pendingWorkReqBuf_dataVec_0[356]; + 2'd1: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4003 = + !sq_pendingWorkReqBuf_dataVec_1[356]; + 2'd2: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4003 = + !sq_pendingWorkReqBuf_dataVec_2[356]; + 2'd3: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4003 = + !sq_pendingWorkReqBuf_dataVec_3[356]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4021 = + !sq_pendingWorkReqBuf_dataVec_0[226]; + 2'd1: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4021 = + !sq_pendingWorkReqBuf_dataVec_1[226]; + 2'd2: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4021 = + !sq_pendingWorkReqBuf_dataVec_2[226]; + 2'd3: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4021 = + !sq_pendingWorkReqBuf_dataVec_3[226]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4030 = + !sq_pendingWorkReqBuf_dataVec_0[193]; + 2'd1: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4030 = + !sq_pendingWorkReqBuf_dataVec_1[193]; + 2'd2: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4030 = + !sq_pendingWorkReqBuf_dataVec_2[193]; + 2'd3: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4030 = + !sq_pendingWorkReqBuf_dataVec_3[193]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4039 = + !sq_pendingWorkReqBuf_dataVec_0[160]; + 2'd1: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4039 = + !sq_pendingWorkReqBuf_dataVec_1[160]; + 2'd2: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4039 = + !sq_pendingWorkReqBuf_dataVec_2[160]; + 2'd3: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4039 = + !sq_pendingWorkReqBuf_dataVec_3[160]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4048 = + !sq_pendingWorkReqBuf_dataVec_0[135]; + 2'd1: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4048 = + !sq_pendingWorkReqBuf_dataVec_1[135]; + 2'd2: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4048 = + !sq_pendingWorkReqBuf_dataVec_2[135]; + 2'd3: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4048 = + !sq_pendingWorkReqBuf_dataVec_3[135]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4057 = + !sq_pendingWorkReqBuf_dataVec_0[110]; + 2'd1: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4057 = + !sq_pendingWorkReqBuf_dataVec_1[110]; + 2'd2: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4057 = + !sq_pendingWorkReqBuf_dataVec_2[110]; + 2'd3: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4057 = + !sq_pendingWorkReqBuf_dataVec_3[110]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074 = + !sq_pendingWorkReqBuf_dataVec_0[1]; + 2'd1: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074 = + !sq_pendingWorkReqBuf_dataVec_1[1]; + 2'd2: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074 = + !sq_pendingWorkReqBuf_dataVec_2[1]; + 2'd3: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074 = + !sq_pendingWorkReqBuf_dataVec_3[1]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4083 = + !sq_pendingWorkReqBuf_dataVec_0[0]; + 2'd1: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4083 = + !sq_pendingWorkReqBuf_dataVec_1[0]; + 2'd2: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4083 = + !sq_pendingWorkReqBuf_dataVec_2[0]; + 2'd3: + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4083 = + !sq_pendingWorkReqBuf_dataVec_3[0]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1521 = + sq_pendingWorkReqBuf_dataVec_0[614:611]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1521 = + sq_pendingWorkReqBuf_dataVec_1[614:611]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1521 = + sq_pendingWorkReqBuf_dataVec_2[614:611]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1521 = + sq_pendingWorkReqBuf_dataVec_3[614:611]; + endcase + end + always@(sq_respHandleSQ_preStageRespAndWorkReqRelationReg or + sq_respHandleSQ_preStageRespTypeReg) + begin + case (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0]) + 4'b1000, 4'b1010: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q10 = + sq_respHandleSQ_preStageRespTypeReg != 2'd0; + default: CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q10 = + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0001) && + sq_respHandleSQ_preStageRespTypeReg != 2'd0; + endcase + end + always@(sq_respHandleSQ_preStageRespAndWorkReqRelationReg or + sq_respHandleSQ_preStageRespTypeReg) + begin + case (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0]) + 4'b1000, 4'b1010: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q11 = + sq_respHandleSQ_preStageRespTypeReg != 2'd2; + default: CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q11 = + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0001) && + sq_respHandleSQ_preStageRespTypeReg != 2'd2; + endcase + end + always@(sq_respHandleSQ_preStageRespAndWorkReqRelationReg or + sq_respHandleSQ_preStageRespTypeReg) + begin + case (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0]) + 4'b1000, 4'b1010: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q12 = + sq_respHandleSQ_preStageRespTypeReg != 2'd1; + default: CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q12 = + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0001) && + sq_respHandleSQ_preStageRespTypeReg != 2'd1; + endcase + end + always@(sq_respHandleSQ_preStageRespAndWorkReqRelationReg or + sq_respHandleSQ_preStageRespTypeReg) + begin + case (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0]) + 4'b1000, 4'b1010: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q13 = + sq_respHandleSQ_preStageRespTypeReg != 2'd1 && + sq_respHandleSQ_preStageRespTypeReg != 2'd2 && + sq_respHandleSQ_preStageRespTypeReg != 2'd0; + default: CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q13 = + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0001) && + sq_respHandleSQ_preStageRespTypeReg != 2'd1 && + sq_respHandleSQ_preStageRespTypeReg != 2'd2 && + sq_respHandleSQ_preStageRespTypeReg != 2'd0; + endcase + end + always@(sq_respHandleSQ_preStageRespAndWorkReqRelationReg or + sq_respHandleSQ_preStageRespTypeReg) + begin + case (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0]) + 4'b0100: + IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4271 = + !sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]; + 4'b1000, 4'b1010: + IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4271 = + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + sq_respHandleSQ_preStageRespTypeReg == 2'd0; + default: IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4271 = + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0001) && + sq_respHandleSQ_preStageRespTypeReg == 2'd2; + endcase + end + always@(sq_respHandleSQ_preStageRespAndWorkReqRelationReg or + sq_respHandleSQ_preStageRespTypeReg) + begin + case (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0]) + 4'b0100: + IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4275 = + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]; + 4'b1000, 4'b1010: + IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4275 = + sq_respHandleSQ_preStageRespTypeReg != 2'd2 && + sq_respHandleSQ_preStageRespTypeReg != 2'd0; + default: IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4275 = + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0010 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0001 || + sq_respHandleSQ_preStageRespTypeReg != 2'd2; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d798 = + sq_pendingWorkReqBuf_dataVec_0[509:478]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d798 = + sq_pendingWorkReqBuf_dataVec_1[509:478]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d798 = + sq_pendingWorkReqBuf_dataVec_2[509:478]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d798 = + sq_pendingWorkReqBuf_dataVec_3[509:478]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d786 = + sq_pendingWorkReqBuf_dataVec_0[605:542]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d786 = + sq_pendingWorkReqBuf_dataVec_1[605:542]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d786 = + sq_pendingWorkReqBuf_dataVec_2[605:542]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d786 = + sq_pendingWorkReqBuf_dataVec_3[605:542]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d774 = + sq_pendingWorkReqBuf_dataVec_0[614:611]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d774 = + sq_pendingWorkReqBuf_dataVec_1[614:611]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d774 = + sq_pendingWorkReqBuf_dataVec_2[614:611]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d774 = + sq_pendingWorkReqBuf_dataVec_3[614:611]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d768 = + sq_pendingWorkReqBuf_dataVec_0[678:615]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d768 = + sq_pendingWorkReqBuf_dataVec_1[678:615]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d768 = + sq_pendingWorkReqBuf_dataVec_2[678:615]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d768 = + sq_pendingWorkReqBuf_dataVec_3[678:615]; + endcase + end + always@(sq_respHandleSQ_pendingRespQ_D_OUT) + begin + case (sq_respHandleSQ_pendingRespQ_D_OUT[141:137]) + 5'd13, 5'd14, 5'd15, 5'd16: + IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4824 = + sq_respHandleSQ_pendingRespQ_D_OUT[1408:1405] != 4'd4; + 5'd18: + IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4824 = + sq_respHandleSQ_pendingRespQ_D_OUT[1408:1405] != 4'd5 && + sq_respHandleSQ_pendingRespQ_D_OUT[1408:1405] != 4'd6; + default: IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4824 = + sq_respHandleSQ_pendingRespQ_D_OUT[141:137] != 5'd17; + endcase + end + always@(sq_respHandleSQ_preRdmaOpCodeReg or + sq_respHandleSQ_pendingRespQ_D_OUT) + begin + case (sq_respHandleSQ_preRdmaOpCodeReg) + 5'd13, 5'd14: + CASE_sq_respHandleSQ_preRdmaOpCodeReg_13_NOT_s_ETC__q14 = + sq_respHandleSQ_pendingRespQ_D_OUT[141:137] != 5'd14 && + sq_respHandleSQ_pendingRespQ_D_OUT[141:137] != 5'd15; + default: CASE_sq_respHandleSQ_preRdmaOpCodeReg_13_NOT_s_ETC__q14 = + sq_respHandleSQ_preRdmaOpCodeReg != 5'd15 && + sq_respHandleSQ_preRdmaOpCodeReg != 5'd16 && + sq_respHandleSQ_preRdmaOpCodeReg != 5'd17 && + sq_respHandleSQ_preRdmaOpCodeReg != 5'd18; + endcase + end + always@(sq_respHandleSQ_pendingRetryCheckQ_D_OUT or + sq_respHandleSQ_pendingRetryCheckQ_EMPTY_N or + sq_retryHandler_retryRespQ_EMPTY_N) + begin + case (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3]) + 4'd9, 4'd10: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q15 = + sq_retryHandler_retryRespQ_EMPTY_N; + default: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q15 = + sq_respHandleSQ_pendingRetryCheckQ_EMPTY_N; + endcase + end + always@(sq_respHandleSQ_pendingRetryCheckQ_D_OUT or + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q15 or + sq_respHandleSQ_pendingRetryCheckQ_EMPTY_N) + begin + case (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3]) + 4'd1, 4'd3: + IF_sq_respHandleSQ_pendingRetryCheckQ_first__9_ETC___d4989 = + sq_respHandleSQ_pendingRetryCheckQ_EMPTY_N; + default: IF_sq_respHandleSQ_pendingRetryCheckQ_first__9_ETC___d4989 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd5 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd6 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd4 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd7 || + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q15; + endcase + end + always@(sq_respHandleSQ_pendingRetryCheckQ_D_OUT or + sq_retryHandler_retryRespQ_D_OUT) + begin + case (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3]) + 4'd9, 4'd10: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q16 = + !sq_retryHandler_retryRespQ_D_OUT; + default: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q16 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd8; + endcase + end + always@(sq_respHandleSQ_pendingRetryCheckQ_D_OUT or + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q16) + begin + case (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3]) + 4'd1: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q17 = + !sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1398] && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] != 4'd4 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] != 4'd5 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] != 4'd6; + 4'd2: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q17 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4; + 4'd3: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q17 = + !sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1398] && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] != 4'd4 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] != 4'd5 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] != 4'd6 || + !sq_respHandleSQ_pendingRetryCheckQ_D_OUT[12]; + default: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q17 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd6 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd7 && + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q16; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1561 = + sq_pendingWorkReqBuf_dataVec_0[541:510]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1561 = + sq_pendingWorkReqBuf_dataVec_1[541:510]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1561 = + sq_pendingWorkReqBuf_dataVec_2[541:510]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1561 = + sq_pendingWorkReqBuf_dataVec_3[541:510]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1636 = + sq_pendingWorkReqBuf_dataVec_0[110]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1636 = + sq_pendingWorkReqBuf_dataVec_1[110]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1636 = + sq_pendingWorkReqBuf_dataVec_2[110]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1636 = + sq_pendingWorkReqBuf_dataVec_3[110]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1619 = + sq_pendingWorkReqBuf_dataVec_0[381:358]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1619 = + sq_pendingWorkReqBuf_dataVec_1[381:358]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1619 = + sq_pendingWorkReqBuf_dataVec_2[381:358]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1619 = + sq_pendingWorkReqBuf_dataVec_3[381:358]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d1650 = + sq_pendingWorkReqBuf_dataVec_0[26:2]; + 2'd1: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d1650 = + sq_pendingWorkReqBuf_dataVec_1[26:2]; + 2'd2: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d1650 = + sq_pendingWorkReqBuf_dataVec_2[26:2]; + 2'd3: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d1650 = + sq_pendingWorkReqBuf_dataVec_3[26:2]; + endcase + end + always@(sq_pendingWorkReqBuf_deqPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_deqPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1653 = + sq_pendingWorkReqBuf_dataVec_0[0]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1653 = + sq_pendingWorkReqBuf_dataVec_1[0]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1653 = + sq_pendingWorkReqBuf_dataVec_2[0]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1653 = + sq_pendingWorkReqBuf_dataVec_3[0]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1007 = + sq_pendingWorkReqBuf_dataVec_0[0]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1007 = + sq_pendingWorkReqBuf_dataVec_1[0]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1007 = + sq_pendingWorkReqBuf_dataVec_2[0]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1007 = + sq_pendingWorkReqBuf_dataVec_3[0]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d961 = + sq_pendingWorkReqBuf_dataVec_0[76:53]; + 2'd1: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d961 = + sq_pendingWorkReqBuf_dataVec_1[76:53]; + 2'd2: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d961 = + sq_pendingWorkReqBuf_dataVec_2[76:53]; + 2'd3: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d961 = + sq_pendingWorkReqBuf_dataVec_3[76:53]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d904 = + sq_pendingWorkReqBuf_dataVec_0[159:136]; + 2'd1: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d904 = + sq_pendingWorkReqBuf_dataVec_1[159:136]; + 2'd2: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d904 = + sq_pendingWorkReqBuf_dataVec_2[159:136]; + 2'd3: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d904 = + sq_pendingWorkReqBuf_dataVec_3[159:136]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d994 = + sq_pendingWorkReqBuf_dataVec_0[26:2]; + 2'd1: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d994 = + sq_pendingWorkReqBuf_dataVec_1[26:2]; + 2'd2: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d994 = + sq_pendingWorkReqBuf_dataVec_2[26:2]; + 2'd3: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d994 = + sq_pendingWorkReqBuf_dataVec_3[26:2]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d871 = + sq_pendingWorkReqBuf_dataVec_0[225:194]; + 2'd1: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d871 = + sq_pendingWorkReqBuf_dataVec_1[225:194]; + 2'd2: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d871 = + sq_pendingWorkReqBuf_dataVec_2[225:194]; + 2'd3: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d871 = + sq_pendingWorkReqBuf_dataVec_3[225:194]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d978 = + sq_pendingWorkReqBuf_dataVec_0[51:28]; + 2'd1: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d978 = + sq_pendingWorkReqBuf_dataVec_1[51:28]; + 2'd2: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d978 = + sq_pendingWorkReqBuf_dataVec_2[51:28]; + 2'd3: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d978 = + sq_pendingWorkReqBuf_dataVec_3[51:28]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d921 = + sq_pendingWorkReqBuf_dataVec_0[134:111]; + 2'd1: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d921 = + sq_pendingWorkReqBuf_dataVec_1[134:111]; + 2'd2: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d921 = + sq_pendingWorkReqBuf_dataVec_2[134:111]; + 2'd3: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d921 = + sq_pendingWorkReqBuf_dataVec_3[134:111]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d927 = + sq_pendingWorkReqBuf_dataVec_0[110]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d927 = + sq_pendingWorkReqBuf_dataVec_1[110]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d927 = + sq_pendingWorkReqBuf_dataVec_2[110]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d927 = + sq_pendingWorkReqBuf_dataVec_3[110]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d937 = + sq_pendingWorkReqBuf_dataVec_0[109:78]; + 2'd1: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d937 = + sq_pendingWorkReqBuf_dataVec_1[109:78]; + 2'd2: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d937 = + sq_pendingWorkReqBuf_dataVec_2[109:78]; + 2'd3: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d937 = + sq_pendingWorkReqBuf_dataVec_3[109:78]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d838 = + sq_pendingWorkReqBuf_dataVec_0[355:292]; + 2'd1: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d838 = + sq_pendingWorkReqBuf_dataVec_1[355:292]; + 2'd2: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d838 = + sq_pendingWorkReqBuf_dataVec_2[355:292]; + 2'd3: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d838 = + sq_pendingWorkReqBuf_dataVec_3[355:292]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d855 = + sq_pendingWorkReqBuf_dataVec_0[290:227]; + 2'd1: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d855 = + sq_pendingWorkReqBuf_dataVec_1[290:227]; + 2'd2: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d855 = + sq_pendingWorkReqBuf_dataVec_2[290:227]; + 2'd3: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d855 = + sq_pendingWorkReqBuf_dataVec_3[290:227]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d888 = + sq_pendingWorkReqBuf_dataVec_0[192:161]; + 2'd1: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d888 = + sq_pendingWorkReqBuf_dataVec_1[192:161]; + 2'd2: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d888 = + sq_pendingWorkReqBuf_dataVec_2[192:161]; + 2'd3: + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d888 = + sq_pendingWorkReqBuf_dataVec_3[192:161]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d816 = + sq_pendingWorkReqBuf_dataVec_0[381:358]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d816 = + sq_pendingWorkReqBuf_dataVec_1[381:358]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d816 = + sq_pendingWorkReqBuf_dataVec_2[381:358]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d816 = + sq_pendingWorkReqBuf_dataVec_3[381:358]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d804 = + sq_pendingWorkReqBuf_dataVec_0[477:414]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d804 = + sq_pendingWorkReqBuf_dataVec_1[477:414]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d804 = + sq_pendingWorkReqBuf_dataVec_2[477:414]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d804 = + sq_pendingWorkReqBuf_dataVec_3[477:414]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d792 = + sq_pendingWorkReqBuf_dataVec_0[541:510]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d792 = + sq_pendingWorkReqBuf_dataVec_1[541:510]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d792 = + sq_pendingWorkReqBuf_dataVec_2[541:510]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d792 = + sq_pendingWorkReqBuf_dataVec_3[541:510]; + endcase + end + always@(sq_pendingWorkReqBuf_scanPtrReg or + sq_pendingWorkReqBuf_dataVec_0 or + sq_pendingWorkReqBuf_dataVec_1 or + sq_pendingWorkReqBuf_dataVec_2 or sq_pendingWorkReqBuf_dataVec_3) + begin + case (sq_pendingWorkReqBuf_scanPtrReg) + 2'd0: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d780 = + sq_pendingWorkReqBuf_dataVec_0[610:606]; + 2'd1: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d780 = + sq_pendingWorkReqBuf_dataVec_1[610:606]; + 2'd2: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d780 = + sq_pendingWorkReqBuf_dataVec_2[610:606]; + 2'd3: + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d780 = + sq_pendingWorkReqBuf_dataVec_3[610:606]; + endcase + end + always@(sq_reqGenSQ_reqHeaderPrepareQ_D_OUT or cntrl_sqTypeReg) + begin + case (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618]) + 4'd0, 4'd1: + CASE_sq_reqGenSQ_reqHeaderPrepareQD_OUT_BITS__ETC__q18 = + cntrl_sqTypeReg == 4'd2 || cntrl_sqTypeReg == 4'd3 || + cntrl_sqTypeReg == 4'd9; + 4'd2, 4'd3: + CASE_sq_reqGenSQ_reqHeaderPrepareQD_OUT_BITS__ETC__q18 = + cntrl_sqTypeReg == 4'd2 || cntrl_sqTypeReg == 4'd3 || + cntrl_sqTypeReg == 4'd4 || + cntrl_sqTypeReg == 4'd9; + 4'd4, 4'd9: + CASE_sq_reqGenSQ_reqHeaderPrepareQD_OUT_BITS__ETC__q18 = + cntrl_sqTypeReg == 4'd2 || cntrl_sqTypeReg == 4'd9; + default: CASE_sq_reqGenSQ_reqHeaderPrepareQD_OUT_BITS__ETC__q18 = + (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5 || + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6) && + (cntrl_sqTypeReg == 4'd2 || cntrl_sqTypeReg == 4'd9); + endcase + end + always@(sq_reqGenSQ_reqHeaderPrepareQ_D_OUT or cntrl_sqTypeReg) + begin + case (sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618]) + 4'd0, 4'd1, 4'd2, 4'd3: + CASE_sq_reqGenSQ_reqHeaderPrepareQD_OUT_BITS__ETC__q19 = + cntrl_sqTypeReg == 4'd2 || cntrl_sqTypeReg == 4'd9; + default: CASE_sq_reqGenSQ_reqHeaderPrepareQD_OUT_BITS__ETC__q19 = + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd9 && + (cntrl_sqTypeReg == 4'd2 || cntrl_sqTypeReg == 4'd9); + endcase + end + always@(sq_respHandleSQ_pendingRetryCheckQ_D_OUT or + sq_retryHandler_retryRespQ_D_OUT) + begin + case (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3]) + 4'd9, 4'd10: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q20 = + sq_retryHandler_retryRespQ_D_OUT; + default: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q20 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd8; + endcase + end + always@(sq_respHandleSQ_pendingRetryCheckQ_D_OUT or + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q20) + begin + case (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3]) + 4'd1: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q21 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1398] || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] == 4'd4 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] == 4'd5 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] == 4'd6; + 4'd2: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q21 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] == 2'd3 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] == 5'd1 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] == 5'd2 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] == 5'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] == 5'd4); + 4'd3: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q21 = + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1398] || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] == 4'd4 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] == 4'd5 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] == 4'd6) && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[12]; + default: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q21 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd6 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd7 || + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q20; + endcase + end + always@(sq_respHandleSQ_pendingRetryCheckQ_D_OUT) + begin + case (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38]) + 5'd1: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q22 = 5'd9; + 5'd2: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q22 = 5'd10; + 5'd3: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q22 = 5'd11; + default: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q22 = 5'd15; + endcase + end + always@(sq_respHandleSQ_pendingRetryCheckQ_D_OUT or + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q22) + begin + case (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3]) + 4'd0: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q23 = 5'd7; + 4'd1, 4'd3: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q23 = 5'd0; + 4'd2: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q23 = + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q22; + 4'd6: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q23 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[142] ? 5'd1 : 5'd0; + 4'd7: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q23 = 5'd5; + 4'd9, 4'd10: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q23 = 5'd12; + default: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q23 = 5'd20; + endcase + end + always@(sq_respHandleSQ_pendingRetryCheckQ_D_OUT or + IF_sq_retryHandler_retryRespQ_first__023_THEN__ETC___d5182) + begin + case (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3]) + 4'd0, 4'd1, 4'd2, 4'd3, 4'd4, 4'd5, 4'd6, 4'd7: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q24 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[2:1]; + 4'd9, 4'd10: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q24 = + IF_sq_retryHandler_retryRespQ_first__023_THEN__ETC___d5182; + default: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q24 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[2:1]; + endcase + end + always@(sq_respHandleSQ_preStageRespTypeReg) + begin + case (sq_respHandleSQ_preStageRespTypeReg) + 2'd0, 2'd2: + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4308 = 2'd0; + 2'd1: IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4308 = 2'd2; + 2'd3: IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4308 = 2'd3; + endcase + end + always@(sq_respHandleSQ_preStageRespTypeReg) + begin + case (sq_respHandleSQ_preStageRespTypeReg) + 2'd0: IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4312 = 2'd1; + 2'd1: IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4312 = 2'd2; + 2'd2: IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4312 = 2'd0; + 2'd3: IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4312 = 2'd3; + endcase + end + always@(sq_respHandleSQ_preStageRespTypeReg) + begin + case (sq_respHandleSQ_preStageRespTypeReg) + 2'd0: IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4298 = 4'd0; + 2'd1: IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4298 = 4'd1; + 2'd2: IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4298 = 4'd2; + 2'd3: + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4298 = 4'd14; + endcase + end + always@(sq_respHandleSQ_preStageRespTypeReg) + begin + case (sq_respHandleSQ_preStageRespTypeReg) + 2'd0: IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4302 = 4'd3; + 2'd1: IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4302 = 4'd4; + 2'd2: IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4302 = 4'd5; + 2'd3: + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4302 = 4'd14; + endcase + end + always@(sq_respHandleSQ_pendingRetryCheckQ_D_OUT) + begin + case (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3]) + 4'd1, 4'd2, 4'd3: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q25 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[8]; + default: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q25 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd5 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd6 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd4 || + ((sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd7) ? + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[8] : + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd9 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == + 4'd10 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[8]); + endcase + end + always@(sq_respHandleSQ_pendingRetryCheckQ_D_OUT or + sq_retryHandler_retryRespQ_D_OUT) + begin + case (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3]) + 4'd9, 4'd10: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q26 = + sq_retryHandler_retryRespQ_D_OUT || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[7]; + default: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q26 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd8 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[7]; + endcase + end + always@(sq_respHandleSQ_pendingRetryCheckQ_D_OUT or + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q26) + begin + case (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3]) + 4'd1: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q27 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1398] || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] == 4'd4 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] == 4'd5 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] == 4'd6; + 4'd3: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q27 = + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1398] || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] == 4'd4 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] == 4'd5 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[1405:1402] == 4'd6) && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[12] || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[7]; + 4'd5: + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q27 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[7]; + default: CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q27 = + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd6 || + ((sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd4) ? + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[7] : + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd7 || + CASE_sq_respHandleSQ_pendingRetryCheckQD_OUT__ETC__q26); + endcase + end + always@(sq_respHandleSQ_incomingRespQ_D_OUT) + begin + case (sq_respHandleSQ_incomingRespQ_D_OUT[3:0]) + 4'd0, 4'd3: + CASE_sq_respHandleSQ_incomingRespQD_OUT_BITS__ETC__q28 = 4'd3; + 4'd1, 4'd4: + CASE_sq_respHandleSQ_incomingRespQD_OUT_BITS__ETC__q28 = 4'd9; + 4'd2, 4'd5: + CASE_sq_respHandleSQ_incomingRespQD_OUT_BITS__ETC__q28 = 4'd2; + 4'd6: CASE_sq_respHandleSQ_incomingRespQD_OUT_BITS__ETC__q28 = 4'd1; + 4'd7: CASE_sq_respHandleSQ_incomingRespQD_OUT_BITS__ETC__q28 = 4'd10; + 4'd8: CASE_sq_respHandleSQ_incomingRespQD_OUT_BITS__ETC__q28 = 4'd5; + 4'd9, 4'd11: + CASE_sq_respHandleSQ_incomingRespQD_OUT_BITS__ETC__q28 = 4'd4; + 4'd10: + CASE_sq_respHandleSQ_incomingRespQD_OUT_BITS__ETC__q28 = + sq_respHandleSQ_incomingRespQ_D_OUT[142] ? 4'd6 : 4'd3; + 4'd12: CASE_sq_respHandleSQ_incomingRespQD_OUT_BITS__ETC__q28 = 4'd7; + 4'd13: CASE_sq_respHandleSQ_incomingRespQD_OUT_BITS__ETC__q28 = 4'd8; + default: CASE_sq_respHandleSQ_incomingRespQD_OUT_BITS__ETC__q28 = 4'd13; + endcase + end + always@(respPktPipe_metaDataQ_D_OUT) + begin + case (respPktPipe_metaDataQ_D_OUT[527:523]) + 5'd0: CASE_respPktPipe_metaDataQD_OUT_BITS_527_TO_5_ETC__q29 = 2'd1; + 5'd1, 5'd2, 5'd3, 5'd4: + CASE_respPktPipe_metaDataQD_OUT_BITS_527_TO_5_ETC__q29 = 2'd2; + default: CASE_respPktPipe_metaDataQD_OUT_BITS_527_TO_5_ETC__q29 = 2'd3; + endcase + end + always@(respPktPipe_metaDataQ_D_OUT or + CASE_respPktPipe_metaDataQD_OUT_BITS_527_TO_5_ETC__q29) + begin + case (respPktPipe_metaDataQ_D_OUT[529:528]) + 2'd0, 2'd1: + CASE_respPktPipe_metaDataQD_OUT_BITS_529_TO_5_ETC__q30 = + respPktPipe_metaDataQ_D_OUT[529:528]; + 2'd2: CASE_respPktPipe_metaDataQD_OUT_BITS_529_TO_5_ETC__q30 = 2'd3; + 2'd3: + CASE_respPktPipe_metaDataQD_OUT_BITS_529_TO_5_ETC__q30 = + CASE_respPktPipe_metaDataQD_OUT_BITS_527_TO_5_ETC__q29; + endcase + end + always@(sq_respHandleSQ_preStageRespAndWorkReqRelationReg or + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4312 or + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4308) + begin + case (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0]) + 4'b0001, 4'b0010: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q31 = + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4312; + 4'b0100: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q31 = + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4] ? + 2'd2 : + 2'd0; + 4'b1000, 4'b1010: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q31 = + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4308; + default: CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q31 = 2'd2; + endcase + end + always@(sq_respHandleSQ_preStageRespAndWorkReqRelationReg or + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4302 or + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4298) + begin + case (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0]) + 4'b0001, 4'b0010: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q32 = + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4302; + 4'b0100: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q32 = + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4] ? + 4'd7 : + 4'd6; + 4'b1000, 4'b1010: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q32 = + IF_sq_respHandleSQ_preStageRespTypeReg_177_EQ__ETC___d4298; + default: CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q32 = 4'd8; + endcase + end + always@(sq_respHandleSQ_pendingRespQ_D_OUT) + begin + case (sq_respHandleSQ_pendingRespQ_D_OUT[47:46]) + 2'd1: CASE_sq_respHandleSQ_pendingRespQD_OUT_BITS_4_ETC__q33 = 3'd1; + 2'd3: + CASE_sq_respHandleSQ_pendingRespQD_OUT_BITS_4_ETC__q33 = + (sq_respHandleSQ_pendingRespQ_D_OUT[45:41] == 5'd0) ? + 3'd2 : + 3'd0; + default: CASE_sq_respHandleSQ_pendingRespQD_OUT_BITS_4_ETC__q33 = 3'd0; + endcase + end + always@(sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT) + begin + case (sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565]) + 4'd0, 4'd1: + CASE_sq_workCompGenSQ_pendingWorkCompQ4SQD_OU_ETC__q34 = 8'd1; + 4'd2, 4'd3, 4'd9: + CASE_sq_workCompGenSQ_pendingWorkCompQ4SQD_OU_ETC__q34 = 8'd0; + 4'd4: CASE_sq_workCompGenSQ_pendingWorkCompQ4SQD_OU_ETC__q34 = 8'd2; + 4'd5: CASE_sq_workCompGenSQ_pendingWorkCompQ4SQD_OU_ETC__q34 = 8'd3; + 4'd6: CASE_sq_workCompGenSQ_pendingWorkCompQ4SQD_OU_ETC__q34 = 8'd4; + 4'd7: CASE_sq_workCompGenSQ_pendingWorkCompQ4SQD_OU_ETC__q34 = 8'd6; + 4'd8: CASE_sq_workCompGenSQ_pendingWorkCompQ4SQD_OU_ETC__q34 = 8'd5; + default: CASE_sq_workCompGenSQ_pendingWorkCompQ4SQD_OU_ETC__q34 = 8'd7; + endcase + end + always@(sq_respHandleSQ_preStageRespAndWorkReqRelationReg or + sq_respHandleSQ_preStageRespTypeReg) + begin + case (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0]) + 4'b0100: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q35 = + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]; + 4'b1000, 4'b1010: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q35 = + sq_respHandleSQ_preStageRespTypeReg == 2'd1; + default: CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q35 = + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0010 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0001 || + sq_respHandleSQ_preStageRespTypeReg == 2'd1; + endcase + end + always@(sq_respHandleSQ_preStageRespAndWorkReqRelationReg or + sq_respHandleSQ_preStageRespTypeReg) + begin + case (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0]) + 4'b0100: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q36 = + !sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]; + 4'b1000, 4'b1010: + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q36 = + sq_respHandleSQ_preStageRespTypeReg != 2'd1; + default: CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q36 = + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0001) && + sq_respHandleSQ_preStageRespTypeReg != 2'd1; + endcase + end + + // handling of inlined registers + + always@(posedge CLK) + begin + if (RST_N == `BSV_RESET_VALUE) + begin + cntrl_nextStateReg <= `BSV_ASSIGNMENT_DELAY 5'd10; + cntrl_pendingRecvReqNumReg <= `BSV_ASSIGNMENT_DELAY 8'd4; + cntrl_pendingWorkReqNumReg <= `BSV_ASSIGNMENT_DELAY 8'd4; + cntrl_preReqOpCodeReg <= `BSV_ASSIGNMENT_DELAY 5'd4; + cntrl_preStateReg <= `BSV_ASSIGNMENT_DELAY 4'd7; + cntrl_qpDestroyReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + cntrl_setStateErrReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + cntrl_stateReg <= `BSV_ASSIGNMENT_DELAY 4'd0; + dmaReadCntrl4SQ_addrChunkSrv_busyReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + dmaReadCntrl4SQ_addrChunkSrv_isFirstReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + dmaReadCntrl4SQ_cancelReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + dmaReadCntrl4SQ_gracefulStopReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + payloadGenerator4SQ_isNormalStateReg <= `BSV_ASSIGNMENT_DELAY 1'd1; + payloadGenerator4SQ_payloadBufQ_rCache <= `BSV_ASSIGNMENT_DELAY + 301'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + payloadGenerator4SQ_payloadBufQ_rRdPtr <= `BSV_ASSIGNMENT_DELAY 10'd0; + payloadGenerator4SQ_payloadBufQ_rWrPtr <= `BSV_ASSIGNMENT_DELAY 10'd0; + rqDmaReadCancelReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + rqDmaWriteCancelReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sqDmaReadCancelReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg <= `BSV_ASSIGNMENT_DELAY + 8'd0; + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg <= `BSV_ASSIGNMENT_DELAY + 1'd0; + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg <= `BSV_ASSIGNMENT_DELAY + 1'd0; + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg <= `BSV_ASSIGNMENT_DELAY + 9'd170; + sq_pendingWorkReqBuf_clearReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_pendingWorkReqBuf_deqPtrReg <= `BSV_ASSIGNMENT_DELAY 2'd0; + sq_pendingWorkReqBuf_emptyReg <= `BSV_ASSIGNMENT_DELAY 1'd1; + sq_pendingWorkReqBuf_enqPtrReg <= `BSV_ASSIGNMENT_DELAY 2'd0; + sq_pendingWorkReqBuf_fullReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_pendingWorkReqBuf_popReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_pendingWorkReqBuf_preScanRestartReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_pendingWorkReqBuf_preScanStartReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_pendingWorkReqBuf_pushReg <= `BSV_ASSIGNMENT_DELAY + 680'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + sq_pendingWorkReqBuf_scanDoneReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_pendingWorkReqBuf_scanStartReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_pendingWorkReqBuf_scanStateReg <= `BSV_ASSIGNMENT_DELAY 2'd0; + sq_pendingWorkReqBuf_scanStopReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_reqGenSQ_isFirstOrOnlyReqPktReg <= `BSV_ASSIGNMENT_DELAY 1'd1; + sq_reqGenSQ_isNormalStateReg <= `BSV_ASSIGNMENT_DELAY 1'd1; + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg <= `BSV_ASSIGNMENT_DELAY + 1'd0; + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg <= `BSV_ASSIGNMENT_DELAY + 2'd0; + sq_respHandleSQ_errOccurredReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_respHandleSQ_hasInternalErrReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_respHandleSQ_hasTimeOutErrReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_respHandleSQ_preRdmaOpCodeReg <= `BSV_ASSIGNMENT_DELAY 5'd17; + sq_respHandleSQ_preStageStateReg <= `BSV_ASSIGNMENT_DELAY 2'd0; + sq_respHandleSQ_recvErrRespReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_respHandleSQ_recvRetryRespReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_respHandleSQ_retryFlushReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_retryHandler_pauseRetryHandleReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + sq_retryHandler_retryCntrlStateReg <= `BSV_ASSIGNMENT_DELAY 2'd0; + sq_retryHandler_retryHandleStateReg <= `BSV_ASSIGNMENT_DELAY 3'd0; + sq_workCompGenSQ_workCompGenStateReg <= `BSV_ASSIGNMENT_DELAY 2'd0; + end + else + begin + if (cntrl_nextStateReg_EN) + cntrl_nextStateReg <= `BSV_ASSIGNMENT_DELAY cntrl_nextStateReg_D_IN; + if (cntrl_pendingRecvReqNumReg_EN) + cntrl_pendingRecvReqNumReg <= `BSV_ASSIGNMENT_DELAY + cntrl_pendingRecvReqNumReg_D_IN; + if (cntrl_pendingWorkReqNumReg_EN) + cntrl_pendingWorkReqNumReg <= `BSV_ASSIGNMENT_DELAY + cntrl_pendingWorkReqNumReg_D_IN; + if (cntrl_preReqOpCodeReg_EN) + cntrl_preReqOpCodeReg <= `BSV_ASSIGNMENT_DELAY + cntrl_preReqOpCodeReg_D_IN; + if (cntrl_preStateReg_EN) + cntrl_preStateReg <= `BSV_ASSIGNMENT_DELAY cntrl_preStateReg_D_IN; + if (cntrl_qpDestroyReg_EN) + cntrl_qpDestroyReg <= `BSV_ASSIGNMENT_DELAY cntrl_qpDestroyReg_D_IN; + if (cntrl_setStateErrReg_EN) + cntrl_setStateErrReg <= `BSV_ASSIGNMENT_DELAY + cntrl_setStateErrReg_D_IN; + if (cntrl_stateReg_EN) + cntrl_stateReg <= `BSV_ASSIGNMENT_DELAY cntrl_stateReg_D_IN; + if (dmaReadCntrl4SQ_addrChunkSrv_busyReg_EN) + dmaReadCntrl4SQ_addrChunkSrv_busyReg <= `BSV_ASSIGNMENT_DELAY + dmaReadCntrl4SQ_addrChunkSrv_busyReg_D_IN; + if (dmaReadCntrl4SQ_addrChunkSrv_isFirstReg_EN) + dmaReadCntrl4SQ_addrChunkSrv_isFirstReg <= `BSV_ASSIGNMENT_DELAY + dmaReadCntrl4SQ_addrChunkSrv_isFirstReg_D_IN; + if (dmaReadCntrl4SQ_cancelReg_EN) + dmaReadCntrl4SQ_cancelReg <= `BSV_ASSIGNMENT_DELAY + dmaReadCntrl4SQ_cancelReg_D_IN; + if (dmaReadCntrl4SQ_gracefulStopReg_EN) + dmaReadCntrl4SQ_gracefulStopReg <= `BSV_ASSIGNMENT_DELAY + dmaReadCntrl4SQ_gracefulStopReg_D_IN; + if (payloadGenerator4SQ_isNormalStateReg_EN) + payloadGenerator4SQ_isNormalStateReg <= `BSV_ASSIGNMENT_DELAY + payloadGenerator4SQ_isNormalStateReg_D_IN; + if (payloadGenerator4SQ_payloadBufQ_rCache_EN) + payloadGenerator4SQ_payloadBufQ_rCache <= `BSV_ASSIGNMENT_DELAY + payloadGenerator4SQ_payloadBufQ_rCache_D_IN; + if (payloadGenerator4SQ_payloadBufQ_rRdPtr_EN) + payloadGenerator4SQ_payloadBufQ_rRdPtr <= `BSV_ASSIGNMENT_DELAY + payloadGenerator4SQ_payloadBufQ_rRdPtr_D_IN; + if (payloadGenerator4SQ_payloadBufQ_rWrPtr_EN) + payloadGenerator4SQ_payloadBufQ_rWrPtr <= `BSV_ASSIGNMENT_DELAY + payloadGenerator4SQ_payloadBufQ_rWrPtr_D_IN; + if (rqDmaReadCancelReg_EN) + rqDmaReadCancelReg <= `BSV_ASSIGNMENT_DELAY rqDmaReadCancelReg_D_IN; + if (rqDmaWriteCancelReg_EN) + rqDmaWriteCancelReg <= `BSV_ASSIGNMENT_DELAY + rqDmaWriteCancelReg_D_IN; + if (sqDmaReadCancelReg_EN) + sqDmaReadCancelReg <= `BSV_ASSIGNMENT_DELAY sqDmaReadCancelReg_D_IN; + if (sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg_EN) + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg <= `BSV_ASSIGNMENT_DELAY + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg_D_IN; + if (sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_EN) + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg <= `BSV_ASSIGNMENT_DELAY + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg_D_IN; + if (sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_EN) + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg <= `BSV_ASSIGNMENT_DELAY + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg_D_IN; + if (sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_EN) + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg <= `BSV_ASSIGNMENT_DELAY + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg_D_IN; + if (sq_pendingWorkReqBuf_clearReg_EN) + sq_pendingWorkReqBuf_clearReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_clearReg_D_IN; + if (sq_pendingWorkReqBuf_deqPtrReg_EN) + sq_pendingWorkReqBuf_deqPtrReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_deqPtrReg_D_IN; + if (sq_pendingWorkReqBuf_emptyReg_EN) + sq_pendingWorkReqBuf_emptyReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_emptyReg_D_IN; + if (sq_pendingWorkReqBuf_enqPtrReg_EN) + sq_pendingWorkReqBuf_enqPtrReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_enqPtrReg_D_IN; + if (sq_pendingWorkReqBuf_fullReg_EN) + sq_pendingWorkReqBuf_fullReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_fullReg_D_IN; + if (sq_pendingWorkReqBuf_popReg_EN) + sq_pendingWorkReqBuf_popReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_popReg_D_IN; + if (sq_pendingWorkReqBuf_preScanRestartReg_EN) + sq_pendingWorkReqBuf_preScanRestartReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_preScanRestartReg_D_IN; + if (sq_pendingWorkReqBuf_preScanStartReg_EN) + sq_pendingWorkReqBuf_preScanStartReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_preScanStartReg_D_IN; + if (sq_pendingWorkReqBuf_pushReg_EN) + sq_pendingWorkReqBuf_pushReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_pushReg_D_IN; + if (sq_pendingWorkReqBuf_scanDoneReg_EN) + sq_pendingWorkReqBuf_scanDoneReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_scanDoneReg_D_IN; + if (sq_pendingWorkReqBuf_scanStartReg_EN) + sq_pendingWorkReqBuf_scanStartReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_scanStartReg_D_IN; + if (sq_pendingWorkReqBuf_scanStateReg_EN) + sq_pendingWorkReqBuf_scanStateReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_scanStateReg_D_IN; + if (sq_pendingWorkReqBuf_scanStopReg_EN) + sq_pendingWorkReqBuf_scanStopReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_scanStopReg_D_IN; + if (sq_reqGenSQ_isFirstOrOnlyReqPktReg_EN) + sq_reqGenSQ_isFirstOrOnlyReqPktReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_isFirstOrOnlyReqPktReg_D_IN; + if (sq_reqGenSQ_isNormalStateReg_EN) + sq_reqGenSQ_isNormalStateReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_isNormalStateReg_D_IN; + if (sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg_EN) + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg_D_IN; + if (sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_EN) + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg_D_IN; + if (sq_respHandleSQ_errOccurredReg_EN) + sq_respHandleSQ_errOccurredReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_errOccurredReg_D_IN; + if (sq_respHandleSQ_hasInternalErrReg_EN) + sq_respHandleSQ_hasInternalErrReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_hasInternalErrReg_D_IN; + if (sq_respHandleSQ_hasTimeOutErrReg_EN) + sq_respHandleSQ_hasTimeOutErrReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_hasTimeOutErrReg_D_IN; + if (sq_respHandleSQ_preRdmaOpCodeReg_EN) + sq_respHandleSQ_preRdmaOpCodeReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_preRdmaOpCodeReg_D_IN; + if (sq_respHandleSQ_preStageStateReg_EN) + sq_respHandleSQ_preStageStateReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_preStageStateReg_D_IN; + if (sq_respHandleSQ_recvErrRespReg_EN) + sq_respHandleSQ_recvErrRespReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_recvErrRespReg_D_IN; + if (sq_respHandleSQ_recvRetryRespReg_EN) + sq_respHandleSQ_recvRetryRespReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_recvRetryRespReg_D_IN; + if (sq_respHandleSQ_retryFlushReg_EN) + sq_respHandleSQ_retryFlushReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_retryFlushReg_D_IN; + if (sq_retryHandler_pauseRetryHandleReg_EN) + sq_retryHandler_pauseRetryHandleReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_pauseRetryHandleReg_D_IN; + if (sq_retryHandler_retryCntrlStateReg_EN) + sq_retryHandler_retryCntrlStateReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_retryCntrlStateReg_D_IN; + if (sq_retryHandler_retryHandleStateReg_EN) + sq_retryHandler_retryHandleStateReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_retryHandleStateReg_D_IN; + if (sq_workCompGenSQ_workCompGenStateReg_EN) + sq_workCompGenSQ_workCompGenStateReg <= `BSV_ASSIGNMENT_DELAY + sq_workCompGenSQ_workCompGenStateReg_D_IN; + end + if (cntrl_dqpnReg_EN) + cntrl_dqpnReg <= `BSV_ASSIGNMENT_DELAY cntrl_dqpnReg_D_IN; + if (cntrl_epsnReg_EN) + cntrl_epsnReg <= `BSV_ASSIGNMENT_DELAY cntrl_epsnReg_D_IN; + if (cntrl_errFlushDoneReg_EN) + cntrl_errFlushDoneReg <= `BSV_ASSIGNMENT_DELAY + cntrl_errFlushDoneReg_D_IN; + if (cntrl_maxRetryCntReg_EN) + cntrl_maxRetryCntReg <= `BSV_ASSIGNMENT_DELAY cntrl_maxRetryCntReg_D_IN; + if (cntrl_maxRnrCntReg_EN) + cntrl_maxRnrCntReg <= `BSV_ASSIGNMENT_DELAY cntrl_maxRnrCntReg_D_IN; + if (cntrl_maxTimeOutReg_EN) + cntrl_maxTimeOutReg <= `BSV_ASSIGNMENT_DELAY cntrl_maxTimeOutReg_D_IN; + if (cntrl_minRnrTimerReg_EN) + cntrl_minRnrTimerReg <= `BSV_ASSIGNMENT_DELAY cntrl_minRnrTimerReg_D_IN; + if (cntrl_npsnReg_EN) + cntrl_npsnReg <= `BSV_ASSIGNMENT_DELAY cntrl_npsnReg_D_IN; + if (cntrl_pendingDestReadAtomicReqNumReg_EN) + cntrl_pendingDestReadAtomicReqNumReg <= `BSV_ASSIGNMENT_DELAY + cntrl_pendingDestReadAtomicReqNumReg_D_IN; + if (cntrl_pendingReadAtomicReqNumReg_EN) + cntrl_pendingReadAtomicReqNumReg <= `BSV_ASSIGNMENT_DELAY + cntrl_pendingReadAtomicReqNumReg_D_IN; + if (cntrl_pkeyReg_EN) + cntrl_pkeyReg <= `BSV_ASSIGNMENT_DELAY cntrl_pkeyReg_D_IN; + if (cntrl_pmtuReg_EN) + cntrl_pmtuReg <= `BSV_ASSIGNMENT_DELAY cntrl_pmtuReg_D_IN; + if (cntrl_qkeyReg_EN) + cntrl_qkeyReg <= `BSV_ASSIGNMENT_DELAY cntrl_qkeyReg_D_IN; + if (cntrl_qpAccessFlagsReg_EN) + cntrl_qpAccessFlagsReg <= `BSV_ASSIGNMENT_DELAY + cntrl_qpAccessFlagsReg_D_IN; + if (cntrl_sqSigAllReg_EN) + cntrl_sqSigAllReg <= `BSV_ASSIGNMENT_DELAY cntrl_sqSigAllReg_D_IN; + if (cntrl_sqTypeReg_EN) + cntrl_sqTypeReg <= `BSV_ASSIGNMENT_DELAY cntrl_sqTypeReg_D_IN; + if (cntrl_sqpnReg_EN) + cntrl_sqpnReg <= `BSV_ASSIGNMENT_DELAY cntrl_sqpnReg_D_IN; + if (dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg_EN) + dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg <= `BSV_ASSIGNMENT_DELAY + dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg_D_IN; + if (dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg_EN) + dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg <= `BSV_ASSIGNMENT_DELAY + dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg_D_IN; + if (dmaReadCntrl4SQ_addrChunkSrv_isZeroResidueReg_EN) + dmaReadCntrl4SQ_addrChunkSrv_isZeroResidueReg <= `BSV_ASSIGNMENT_DELAY + dmaReadCntrl4SQ_addrChunkSrv_isZeroResidueReg_D_IN; + if (dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_EN) + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg <= `BSV_ASSIGNMENT_DELAY + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg_D_IN; + if (dmaReadCntrl4SQ_addrChunkSrv_pmtuReg_EN) + dmaReadCntrl4SQ_addrChunkSrv_pmtuReg <= `BSV_ASSIGNMENT_DELAY + dmaReadCntrl4SQ_addrChunkSrv_pmtuReg_D_IN; + if (dmaReadCntrl4SQ_addrChunkSrv_residueReg_EN) + dmaReadCntrl4SQ_addrChunkSrv_residueReg <= `BSV_ASSIGNMENT_DELAY + dmaReadCntrl4SQ_addrChunkSrv_residueReg_D_IN; + if (sq_pendingWorkReqBuf_dataVec_0_EN) + sq_pendingWorkReqBuf_dataVec_0 <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_dataVec_0_D_IN; + if (sq_pendingWorkReqBuf_dataVec_1_EN) + sq_pendingWorkReqBuf_dataVec_1 <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_dataVec_1_D_IN; + if (sq_pendingWorkReqBuf_dataVec_2_EN) + sq_pendingWorkReqBuf_dataVec_2 <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_dataVec_2_D_IN; + if (sq_pendingWorkReqBuf_dataVec_3_EN) + sq_pendingWorkReqBuf_dataVec_3 <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_dataVec_3_D_IN; + if (sq_pendingWorkReqBuf_headReg_EN) + sq_pendingWorkReqBuf_headReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_headReg_D_IN; + if (sq_pendingWorkReqBuf_scanAlmostDoneReg_EN) + sq_pendingWorkReqBuf_scanAlmostDoneReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_scanAlmostDoneReg_D_IN; + if (sq_pendingWorkReqBuf_scanPtrReg_EN) + sq_pendingWorkReqBuf_scanPtrReg <= `BSV_ASSIGNMENT_DELAY + sq_pendingWorkReqBuf_scanPtrReg_D_IN; + if (sq_reqGenSQ_curPsnReg_EN) + sq_reqGenSQ_curPsnReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_curPsnReg_D_IN; + if (sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg_EN) + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg_D_IN; + if (sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_EN) + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg_D_IN; + if (sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg_EN) + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg_D_IN; + if (sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidBitNumReg_EN) + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidBitNumReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidBitNumReg_D_IN; + if (sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg_EN) + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg_D_IN; + if (sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidBitNumReg_EN) + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidBitNumReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidBitNumReg_D_IN; + if (sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidByteNumReg_EN) + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidByteNumReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidByteNumReg_D_IN; + if (sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_isFirstReg_EN) + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_isFirstReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_isFirstReg_D_IN; + if (sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_EN) + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg_D_IN; + if (sq_reqGenSQ_remainingPktNumReg_EN) + sq_reqGenSQ_remainingPktNumReg <= `BSV_ASSIGNMENT_DELAY + sq_reqGenSQ_remainingPktNumReg_D_IN; + if (sq_respHandleSQ_nextReadRespWriteAddrReg_EN) + sq_respHandleSQ_nextReadRespWriteAddrReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_nextReadRespWriteAddrReg_D_IN; + if (sq_respHandleSQ_preStageDeqPendingWorkReqReg_EN) + sq_respHandleSQ_preStageDeqPendingWorkReqReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_preStageDeqPendingWorkReqReg_D_IN; + if (sq_respHandleSQ_preStageDeqPktMetaDataReg_EN) + sq_respHandleSQ_preStageDeqPktMetaDataReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_preStageDeqPktMetaDataReg_D_IN; + if (sq_respHandleSQ_preStagePktMetaDataReg_EN) + sq_respHandleSQ_preStagePktMetaDataReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_preStagePktMetaDataReg_D_IN; + if (sq_respHandleSQ_preStageReqPktInfoReg_EN) + sq_respHandleSQ_preStageReqPktInfoReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_preStageReqPktInfoReg_D_IN; + if (sq_respHandleSQ_preStageRespAndWorkReqRelationReg_EN) + sq_respHandleSQ_preStageRespAndWorkReqRelationReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_preStageRespAndWorkReqRelationReg_D_IN; + if (sq_respHandleSQ_preStageRespTypeReg_EN) + sq_respHandleSQ_preStageRespTypeReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_preStageRespTypeReg_D_IN; + if (sq_respHandleSQ_preStageWorkCompReqTypeReg_EN) + sq_respHandleSQ_preStageWorkCompReqTypeReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_preStageWorkCompReqTypeReg_D_IN; + if (sq_respHandleSQ_preStageWorkReqAckTypeReg_EN) + sq_respHandleSQ_preStageWorkReqAckTypeReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_preStageWorkReqAckTypeReg_D_IN; + if (sq_respHandleSQ_remainingReadRespLenReg_EN) + sq_respHandleSQ_remainingReadRespLenReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_remainingReadRespLenReg_D_IN; + if (sq_respHandleSQ_retryResetReqReg_EN) + sq_respHandleSQ_retryResetReqReg <= `BSV_ASSIGNMENT_DELAY + sq_respHandleSQ_retryResetReqReg_D_IN; + if (sq_retryHandler_disableRetryCntReg_EN) + sq_retryHandler_disableRetryCntReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_disableRetryCntReg_D_IN; + if (sq_retryHandler_disableTimeOutReg_EN) + sq_retryHandler_disableTimeOutReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_disableTimeOutReg_D_IN; + if (sq_retryHandler_isRnrWaitCntZeroReg_EN) + sq_retryHandler_isRnrWaitCntZeroReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_isRnrWaitCntZeroReg_D_IN; + if (sq_retryHandler_isTimeOutCntHighPartZeroReg_EN) + sq_retryHandler_isTimeOutCntHighPartZeroReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_isTimeOutCntHighPartZeroReg_D_IN; + if (sq_retryHandler_isTimeOutCntLowPartZeroReg_EN) + sq_retryHandler_isTimeOutCntLowPartZeroReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_isTimeOutCntLowPartZeroReg_D_IN; + if (sq_retryHandler_psnDiffReg_EN) + sq_retryHandler_psnDiffReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_psnDiffReg_D_IN; + if (sq_retryHandler_retryCntReg_EN) + sq_retryHandler_retryCntReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_retryCntReg_D_IN; + if (sq_retryHandler_retryReasonReg_EN) + sq_retryHandler_retryReasonReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_retryReasonReg_D_IN; + if (sq_retryHandler_retryRnrTimerReg_EN) + sq_retryHandler_retryRnrTimerReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_retryRnrTimerReg_D_IN; + if (sq_retryHandler_retryStartPsnReg_EN) + sq_retryHandler_retryStartPsnReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_retryStartPsnReg_D_IN; + if (sq_retryHandler_retryWorkReqIdReg_EN) + sq_retryHandler_retryWorkReqIdReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_retryWorkReqIdReg_D_IN; + if (sq_retryHandler_rnrCntReg_EN) + sq_retryHandler_rnrCntReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_rnrCntReg_D_IN; + if (sq_retryHandler_rnrWaitCntReg_EN) + sq_retryHandler_rnrWaitCntReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_rnrWaitCntReg_D_IN; + if (sq_retryHandler_timeOutCntReg_EN) + sq_retryHandler_timeOutCntReg <= `BSV_ASSIGNMENT_DELAY + sq_retryHandler_timeOutCntReg_D_IN; + if (sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg_EN) + sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg <= `BSV_ASSIGNMENT_DELAY + sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg_D_IN; + if (sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_EN) + sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg <= `BSV_ASSIGNMENT_DELAY + sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg_D_IN; + end + + // synopsys translate_off + `ifdef BSV_NO_INITIAL_BLOCKS + `else // not BSV_NO_INITIAL_BLOCKS + initial + begin + cntrl_dqpnReg = 24'hAAAAAA; + cntrl_epsnReg = 24'hAAAAAA; + cntrl_errFlushDoneReg = 1'h0; + cntrl_maxRetryCntReg = 3'h2; + cntrl_maxRnrCntReg = 3'h2; + cntrl_maxTimeOutReg = 5'h0A; + cntrl_minRnrTimerReg = 5'h0A; + cntrl_nextStateReg = 5'h0A; + cntrl_npsnReg = 24'hAAAAAA; + cntrl_pendingDestReadAtomicReqNumReg = 8'hAA; + cntrl_pendingReadAtomicReqNumReg = 8'hAA; + cntrl_pendingRecvReqNumReg = 8'hAA; + cntrl_pendingWorkReqNumReg = 8'hAA; + cntrl_pkeyReg = 16'hAAAA; + cntrl_pmtuReg = 3'h2; + cntrl_preReqOpCodeReg = 5'h0A; + cntrl_preStateReg = 4'hA; + cntrl_qkeyReg = 32'hAAAAAAAA; + cntrl_qpAccessFlagsReg = 8'hAA; + cntrl_qpDestroyReg = 1'h0; + cntrl_setStateErrReg = 1'h0; + cntrl_sqSigAllReg = 1'h0; + cntrl_sqTypeReg = 4'hA; + cntrl_sqpnReg = 24'hAAAAAA; + cntrl_stateReg = 4'hA; + dmaReadCntrl4SQ_addrChunkSrv_busyReg = 1'h0; + dmaReadCntrl4SQ_addrChunkSrv_chunkAddrReg = 64'hAAAAAAAAAAAAAAAA; + dmaReadCntrl4SQ_addrChunkSrv_fullPktLenReg = 13'h0AAA; + dmaReadCntrl4SQ_addrChunkSrv_isFirstReg = 1'h0; + dmaReadCntrl4SQ_addrChunkSrv_isZeroResidueReg = 1'h0; + dmaReadCntrl4SQ_addrChunkSrv_pktNumReg = 25'h0AAAAAA; + dmaReadCntrl4SQ_addrChunkSrv_pmtuReg = 3'h2; + dmaReadCntrl4SQ_addrChunkSrv_residueReg = 12'hAAA; + dmaReadCntrl4SQ_cancelReg = 1'h0; + dmaReadCntrl4SQ_gracefulStopReg = 1'h0; + payloadGenerator4SQ_isNormalStateReg = 1'h0; + payloadGenerator4SQ_payloadBufQ_rCache = + 301'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + payloadGenerator4SQ_payloadBufQ_rRdPtr = 10'h2AA; + payloadGenerator4SQ_payloadBufQ_rWrPtr = 10'h2AA; + rqDmaReadCancelReg = 1'h0; + rqDmaWriteCancelReg = 1'h0; + sqDmaReadCancelReg = 1'h0; + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg = 8'hAA; + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_decrReg = 1'h0; + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_incrReg = 1'h0; + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_writeReg = 9'h0AA; + sq_pendingWorkReqBuf_clearReg = 1'h0; + sq_pendingWorkReqBuf_dataVec_0 = + 679'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + sq_pendingWorkReqBuf_dataVec_1 = + 679'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + sq_pendingWorkReqBuf_dataVec_2 = + 679'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + sq_pendingWorkReqBuf_dataVec_3 = + 679'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + sq_pendingWorkReqBuf_deqPtrReg = 2'h2; + sq_pendingWorkReqBuf_emptyReg = 1'h0; + sq_pendingWorkReqBuf_enqPtrReg = 2'h2; + sq_pendingWorkReqBuf_fullReg = 1'h0; + sq_pendingWorkReqBuf_headReg = + 680'hAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + sq_pendingWorkReqBuf_popReg = 1'h0; + sq_pendingWorkReqBuf_preScanRestartReg = 1'h0; + sq_pendingWorkReqBuf_preScanStartReg = 1'h0; + sq_pendingWorkReqBuf_pushReg = + 680'hAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + sq_pendingWorkReqBuf_scanAlmostDoneReg = 1'h0; + sq_pendingWorkReqBuf_scanDoneReg = 1'h0; + sq_pendingWorkReqBuf_scanPtrReg = 2'h2; + sq_pendingWorkReqBuf_scanStartReg = 1'h0; + sq_pendingWorkReqBuf_scanStateReg = 2'h2; + sq_pendingWorkReqBuf_scanStopReg = 1'h0; + sq_reqGenSQ_curPsnReg = 24'hAAAAAA; + sq_reqGenSQ_isFirstOrOnlyReqPktReg = 1'h0; + sq_reqGenSQ_isNormalStateReg = 1'h0; + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerValidReg = + 1'h0; + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_rdmaHeaderReg = + 593'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg = 2'h2; + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerHasPayloadReg = + 1'h0; + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidBitNumReg = + 9'h0AA; + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragInvalidByteNumReg = + 6'h2A; + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidBitNumReg = + 9'h0AA; + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerLastFragValidByteNumReg = + 6'h2A; + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_isFirstReg = 1'h0; + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_preDataStreamReg = + 290'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_stageReg = 2'h2; + sq_reqGenSQ_remainingPktNumReg = 25'h0AAAAAA; + sq_respHandleSQ_errOccurredReg = 1'h0; + sq_respHandleSQ_hasInternalErrReg = 1'h0; + sq_respHandleSQ_hasTimeOutErrReg = 1'h0; + sq_respHandleSQ_nextReadRespWriteAddrReg = 64'hAAAAAAAAAAAAAAAA; + sq_respHandleSQ_preRdmaOpCodeReg = 5'h0A; + sq_respHandleSQ_preStageDeqPendingWorkReqReg = 1'h0; + sq_respHandleSQ_preStageDeqPktMetaDataReg = 1'h0; + sq_respHandleSQ_preStagePktMetaDataReg = + 649'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + sq_respHandleSQ_preStageReqPktInfoReg = + 135'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + sq_respHandleSQ_preStageRespAndWorkReqRelationReg = 5'h0A; + sq_respHandleSQ_preStageRespTypeReg = 2'h2; + sq_respHandleSQ_preStageStateReg = 2'h2; + sq_respHandleSQ_preStageWorkCompReqTypeReg = 2'h2; + sq_respHandleSQ_preStageWorkReqAckTypeReg = 4'hA; + sq_respHandleSQ_recvErrRespReg = 1'h0; + sq_respHandleSQ_recvRetryRespReg = 1'h0; + sq_respHandleSQ_remainingReadRespLenReg = 32'hAAAAAAAA; + sq_respHandleSQ_retryFlushReg = 1'h0; + sq_respHandleSQ_retryResetReqReg = 1'h0; + sq_retryHandler_disableRetryCntReg = 1'h0; + sq_retryHandler_disableTimeOutReg = 1'h0; + sq_retryHandler_isRnrWaitCntZeroReg = 1'h0; + sq_retryHandler_isTimeOutCntHighPartZeroReg = 1'h0; + sq_retryHandler_isTimeOutCntLowPartZeroReg = 1'h0; + sq_retryHandler_pauseRetryHandleReg = 1'h0; + sq_retryHandler_psnDiffReg = 24'hAAAAAA; + sq_retryHandler_retryCntReg = 3'h2; + sq_retryHandler_retryCntrlStateReg = 2'h2; + sq_retryHandler_retryHandleStateReg = 3'h2; + sq_retryHandler_retryReasonReg = 3'h2; + sq_retryHandler_retryRnrTimerReg = 5'h0A; + sq_retryHandler_retryStartPsnReg = 24'hAAAAAA; + sq_retryHandler_retryWorkReqIdReg = 64'hAAAAAAAAAAAAAAAA; + sq_retryHandler_rnrCntReg = 3'h2; + sq_retryHandler_rnrWaitCntReg = 27'h2AAAAAA; + sq_retryHandler_timeOutCntReg = 42'h2AAAAAAAAAA; + sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg = 64'hAAAAAAAAAAAAAAAA; + sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg = 1'h0; + sq_workCompGenSQ_workCompGenStateReg = 2'h2; + end + `endif // BSV_NO_INITIAL_BLOCKS + // synopsys translate_on + + // handling of system tasks + + // synopsys translate_off + always@(negedge CLK) + begin + #0; + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] == 2'd1) + begin + v__h3884 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h3884, + "\"Controller.bsv\", line 512, column 21\n", + "no QP destroy on init @ mkCntrlQP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("request QPN=%h", cntrl_reqQ_D_OUT[266:243], ", qpReqType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("REQ_QP_DESTROY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + begin + v__h4313 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h4313, + "\"Controller.bsv\", line 540, column 21\n", + "unreachible case @ mkCntrlQP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $display("request QPN=%h", cntrl_reqQ_D_OUT[266:243], ", qpReqType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] == 2'd0) + $display("REQ_QP_CREATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onINIT && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] == 2'd1) + begin + v__h4717 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h4717, + "\"Controller.bsv\", line 576, column 21\n", + "no QP destroy on RTR @ mkCntrlQP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("request QPN=%h", cntrl_reqQ_D_OUT[266:243], ", qpReqType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("REQ_QP_DESTROY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + begin + v__h5169 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h5169, + "\"Controller.bsv\", line 615, column 21\n", + "unreachible case @ mkCntrlQP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $display("request QPN=%h", cntrl_reqQ_D_OUT[266:243], ", qpReqType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] == 2'd0) + $display("REQ_QP_CREATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTR && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTS && cntrl_reqQ_D_OUT[300:299] == 2'd1) + begin + v__h5606 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTS && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h5606, + "\"Controller.bsv\", line 651, column 21\n", + "no QP destroy on RTS @ mkCntrlQP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTS && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("request QPN=%h", cntrl_reqQ_D_OUT[266:243], ", qpReqType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTS && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("REQ_QP_DESTROY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTS && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTS && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + begin + v__h5829 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTS && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h5829, + "\"Controller.bsv\", line 688, column 21\n", + "unreachible case @ mkCntrlQP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTS && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $display("request QPN=%h", cntrl_reqQ_D_OUT[266:243], ", qpReqType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTS && cntrl_reqQ_D_OUT[300:299] == 2'd0) + $display("REQ_QP_CREATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onRTS && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onSQD && cntrl_reqQ_D_OUT[300:299] == 2'd1) + begin + v__h6391 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onSQD && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h6391, + "\"Controller.bsv\", line 721, column 21\n", + "no QP destroy on SQD @ mkCntrlQP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onSQD && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("request QPN=%h", cntrl_reqQ_D_OUT[266:243], ", qpReqType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onSQD && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("REQ_QP_DESTROY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onSQD && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onSQD && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + begin + v__h6614 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onSQD && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h6614, + "\"Controller.bsv\", line 758, column 21\n", + "unreachible case @ mkCntrlQP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onSQD && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $display("request QPN=%h", cntrl_reqQ_D_OUT[266:243], ", qpReqType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onSQD && cntrl_reqQ_D_OUT[300:299] == 2'd0) + $display("REQ_QP_CREATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onSQD && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onERR && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + begin + v__h7317 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onERR && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h7317, + "\"Controller.bsv\", line 807, column 21\n", + "unreachible case @ mkCntrlQP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onERR && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $display("request QPN=%h", cntrl_reqQ_D_OUT[266:243], ", qpReqType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onERR && cntrl_reqQ_D_OUT[300:299] == 2'd0) + $display("REQ_QP_CREATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onERR && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq && + dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[34:3] == 32'd0) + begin + v__h9162 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq && + dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[34:3] == 32'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h9162, + "\"PayloadConAndGen.bsv\", line 76, column 13\n", + "totalLen assertion @ mkAddrChunkSrv"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq && + dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[34:3] == 32'd0) + $display("totalLen=%0d cannot be zero", + dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[34:3]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_dmaReadCntrl4SQ_addrChunkSrv_recvReq && + dmaReadCntrl4SQ_addrChunkSrv_reqQ_D_OUT[34:3] == 32'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq && + payloadGenerator4SQ_payloadGenReqQ_D_OUT[36:5] == 32'd0) + begin + v__h13758 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq && + payloadGenerator4SQ_payloadGenReqQ_D_OUT[36:5] == 32'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h13758, + "\"PayloadConAndGen.bsv\", line 656, column 13\n", + "payloadGenReq.dmaReadMetaData.len assertion @ mkPayloadGenerator"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq && + payloadGenerator4SQ_payloadGenReqQ_D_OUT[36:5] == 32'd0) + $display("payloadGenReq.dmaReadMetaData.len=%0d should not be zero", + payloadGenerator4SQ_payloadGenReqQ_D_OUT[36:5]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq && + payloadGenerator4SQ_payloadGenReqQ_D_OUT[36:5] == 32'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq && + lastFragValidByteNumWithPadding__h13828 == 6'd0) + begin + v__h14296 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq && + lastFragValidByteNumWithPadding__h13828 == 6'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h14296, + "\"PayloadConAndGen.bsv\", line 671, column 13\n", + "lastFragValidByteNumWithPadding assertion @ mkPayloadGenerator"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq && + lastFragValidByteNumWithPadding__h13828 == 6'd0) + $display("lastFragValidByteNumWithPadding=%0d should not be zero", + lastFragValidByteNumWithPadding__h13828, + ", totalDmaLen=%0d, lastFragValidByteNum=%0d, padCnt=%0d", + payloadGenerator4SQ_payloadGenReqQ_D_OUT[36:5], + lastFragValidByteNum__h13827, + padCnt__h13826); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_payloadGenerator4SQ_recvPayloadGenReq && + lastFragValidByteNumWithPadding__h13828 == 6'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (NOT_sq_newPendingWorkReqPiptOut_pendingNewWork_ETC___d1707) + begin + v__h43308 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (NOT_sq_newPendingWorkReqPiptOut_pendingNewWork_ETC___d1707) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h43308, + "\"QueuePair.bsv\", line 135, column 13\n", + "pendingNewWorkReqCnt assertion @ mkNewPendingWorkReqPipeOut"); + if (RST_N != `BSV_RESET_VALUE) + if (NOT_sq_newPendingWorkReqPiptOut_pendingNewWork_ETC___d1707) + $display("pendingNewWorkReqCnt should be less than MAX_QP_WR=%0d", + $signed(32'd4)); + if (RST_N != `BSV_RESET_VALUE) + if (NOT_sq_newPendingWorkReqPiptOut_pendingNewWork_ETC___d1707) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 && + cntrl_sqTypeReg != 4'd4) + begin + v__h50137 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 && + cntrl_sqTypeReg != 4'd4) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h50137, + "\"ReqGenSQ.bsv\", line 630, column 13\n", + "qpType assertion @ mkReqGenSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 && + cntrl_sqTypeReg != 4'd4) + $display("qpType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_sqTypeReg == 4'd8) + $display("IBV_QPT_RAW_PACKET"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 && + cntrl_sqTypeReg != 4'd4 && + cntrl_sqTypeReg != 4'd8) + $display("IBV_QPT_XRC_RECV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 && + cntrl_sqTypeReg != 4'd4) + $display(" unsupported"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd9 && + cntrl_sqTypeReg != 4'd4) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd4 && + cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd9) + begin + v__h50289 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd4 && + cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd9) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h50289, + "\"ReqGenSQ.bsv\", line 641, column 17\n", + "SQD assertion @ mkReqGenSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd4 && + cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd9) + $display("cntrlStatus.comm.isSQD="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd4 && + cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd9) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd4 && + cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd9) + $display(" should be RC or XRC, but qpType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd4 && + cntrl_sqTypeReg == 4'd3) + $display("IBV_QPT_UC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd4 && + cntrl_sqTypeReg == 4'd4) + $display("IBV_QPT_UD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd4 && + cntrl_sqTypeReg == 4'd8) + $display("IBV_QPT_RAW_PACKET"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd4 && + cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd9 && + cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd4 && + cntrl_sqTypeReg != 4'd8) + $display("IBV_QPT_XRC_RECV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd4 && + cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd9) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd3 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[606]) + begin + v__h50515 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd3 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[606]) + $display("time=%0t: wait pendingWorkReqBufNotEmpty=", v__h50515); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd3 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[606] && + sq_pendingWorkReqBuf_emptyReg) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd3 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[606] && + !sq_pendingWorkReqBuf_emptyReg) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && cntrl_stateReg == 4'd3 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[606]) + $display(" to be false, when IBV_QPS_SQD or IBV_SEND_FENCE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + NOT_sq_pendingWorkReqPipeOut_pipeMuxOutQ_first_ETC___d1919) + begin + v__h50628 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + NOT_sq_pendingWorkReqPipeOut_pipeMuxOutQ_first_ETC___d1919) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h50628, + "\"ReqGenSQ.bsv\", line 667, column 13\n", + "curPendingWR.wr.sqpn assertion @ mkWorkReq2RdmaReq"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + NOT_sq_pendingWorkReqPipeOut_pipeMuxOutQ_first_ETC___d1919) + $display("curPendingWR.wr.sqpn=%h should == cntrlStatus.comm.getSQPN=%h", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[381:358], + cntrl_sqpnReg); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + NOT_sq_pendingWorkReqPipeOut_pipeMuxOutQ_first_ETC___d1919) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + begin + v__h50773 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h50773, + "\"ReqGenSQ.bsv\", line 677, column 17\n", + "curPendingWR.wr.len assertion @ mkWorkReq2RdmaReq"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display("curPendingWR.wr.len=%0d should be %0d for atomic WR=", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478], + $signed(32'd8)); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display("PendingWorkReq { wr="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display("WorkReq { ID=%h", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[678:615], + ", opcode="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display("IBV_WR_ATOMIC_CMP_AND_SWP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display("IBV_WR_ATOMIC_FETCH_AND_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(", flags="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display("FlagsType { flags: ", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[610:606], + " = "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[606]) + $display("IBV_SEND_FENCE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[606]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[607]) + $display("IBV_SEND_SIGNALED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[607]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[608]) + $display("IBV_SEND_SOLICITED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[608]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[609]) + $display("IBV_SEND_INLINE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[609]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[610]) + $display("IBV_SEND_IP_CSUM", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[610]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[610:606] == 5'd0) + $display("IBV_SEND_NO_FLAGS", " }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[610:606] != 5'd0) + $display("}"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(", raddr=%h, rkey=%h, len=%0d, laddr=%h, lkey=%h, sqpn=%h", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[605:542], + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[541:510], + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478], + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[477:414], + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[413:382], + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[381:358], + ", solicited="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[357]) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[357]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(", comp="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[356]) + $display("tagged Valid ", + "'h%h", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[355:292]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[356]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(", swap="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[291]) + $display("tagged Valid ", + "'h%h", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[290:227]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[291]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(", immDt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[226]) + $display("tagged Valid ", + "'h%h", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[225:194]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[226]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(", rkey2Inv="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[193]) + $display("tagged Valid ", + "'h%h", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[192:161]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[193]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(", srqn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[160]) + $display("tagged Valid ", + "'h%h", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[159:136]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[160]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(", dqpn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[135]) + $display("tagged Valid ", + "'h%h", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[134:111]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[135]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(", qkey="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[110]) + $display("tagged Valid ", + "'h%h", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[109:78]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[110]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(" }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(", startPSN="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[77]) + $display("tagged Valid ", + "'h%h", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[76:53]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[77]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(", endPSN="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[52]) + $display("tagged Valid ", + "'h%h", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[51:28]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[52]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(", pktNum="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[27]) + $display("tagged Valid %0d", + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[26:2]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[27]) + $display("tagged Invalid PktNum"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(", isOnlyReqPkt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[1]) + $display("tagged Valid "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[1]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[1] && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[0]) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[1] && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[0]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8 && + !sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[1]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $display(" }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_recvWorkReq && + (sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd5 || + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[614:611] == 4'd6) && + sq_pendingWorkReqPipeOut_pipeMuxOutQ_D_OUT[509:478] != 32'd8) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + begin + v__h54300 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h54300, + "\"ReqGenSQ.bsv\", line 789, column 17\n", + "curPendingWR assertion @ mkReqGenSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display("curPendingWR should have valid PSN and PktNum, curPendingWR="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display("PendingWorkReq { wr="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display("WorkReq { ID=%h", + sq_reqGenSQ_workReqPktNumQ_D_OUT[708:645], + ", opcode="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd0) + $display("IBV_WR_RDMA_WRITE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd1) + $display("IBV_WR_RDMA_WRITE_WITH_IMM"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd2) + $display("IBV_WR_SEND"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd3) + $display("IBV_WR_SEND_WITH_IMM"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd4) + $display("IBV_WR_RDMA_READ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd5) + $display("IBV_WR_ATOMIC_CMP_AND_SWP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd6) + $display("IBV_WR_ATOMIC_FETCH_AND_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd7) + $display("IBV_WR_LOCAL_INV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd8) + $display("IBV_WR_BIND_MW"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd9) + $display("IBV_WR_SEND_WITH_INV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd10) + $display("IBV_WR_TSO"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd11) + $display("IBV_WR_DRIVER1"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd12) + $display("IBV_WR_RDMA_READ_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] == 4'd14) + $display("IBV_WR_FLUSH"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd0 && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd1 && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd2 && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd3 && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd4 && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd5 && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd6 && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd7 && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd8 && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd9 && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd10 && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd11 && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd12 && + sq_reqGenSQ_workReqPktNumQ_D_OUT[644:641] != 4'd14) + $display("IBV_WR_ATOMIC_WRITE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(", flags="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display("FlagsType { flags: ", + sq_reqGenSQ_workReqPktNumQ_D_OUT[640:636], + " = "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[636]) + $display("IBV_SEND_FENCE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[636]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[637]) + $display("IBV_SEND_SIGNALED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[637]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[638]) + $display("IBV_SEND_SOLICITED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[638]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[639]) + $display("IBV_SEND_INLINE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[639]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[640]) + $display("IBV_SEND_IP_CSUM", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[640]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[640:636] == 5'd0) + $display("IBV_SEND_NO_FLAGS", " }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[640:636] != 5'd0) + $display("}"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(", raddr=%h, rkey=%h, len=%0d, laddr=%h, lkey=%h, sqpn=%h", + sq_reqGenSQ_workReqPktNumQ_D_OUT[635:572], + sq_reqGenSQ_workReqPktNumQ_D_OUT[571:540], + sq_reqGenSQ_workReqPktNumQ_D_OUT[539:508], + sq_reqGenSQ_workReqPktNumQ_D_OUT[507:444], + sq_reqGenSQ_workReqPktNumQ_D_OUT[443:412], + sq_reqGenSQ_workReqPktNumQ_D_OUT[411:388], + ", solicited="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[387]) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[387]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(", comp="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[386]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_workReqPktNumQ_D_OUT[385:322]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[386]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(", swap="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[321]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_workReqPktNumQ_D_OUT[320:257]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[321]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(", immDt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[256]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_workReqPktNumQ_D_OUT[255:224]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[256]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(", rkey2Inv="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[223]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_workReqPktNumQ_D_OUT[222:191]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[223]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(", srqn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[190]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_workReqPktNumQ_D_OUT[189:166]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[190]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(", dqpn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[165]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_workReqPktNumQ_D_OUT[164:141]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[165]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(", qkey="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[140]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_workReqPktNumQ_D_OUT[139:108]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[140]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(" }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(", startPSN="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[107]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_workReqPktNumQ_D_OUT[106:83]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[107]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(", endPSN="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[82]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_workReqPktNumQ_D_OUT[81:58]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(", pktNum="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[57]) + $display("tagged Valid %0d", sq_reqGenSQ_workReqPktNumQ_D_OUT[56:32]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57]) + $display("tagged Invalid PktNum"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(", isOnlyReqPkt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) + $display("tagged Valid "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[31] && + sq_reqGenSQ_workReqPktNumQ_D_OUT[30]) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57]) && + sq_reqGenSQ_workReqPktNumQ_D_OUT[31] && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[30]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $display(" }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktNum4NewWorkReq && + !sq_reqGenSQ_workReqPktNumQ_D_OUT[4] && + (!sq_reqGenSQ_workReqPktNumQ_D_OUT[107] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[82] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[57] || + !sq_reqGenSQ_workReqPktNumQ_D_OUT[31])) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5]) + begin + v__h58741 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5]) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h58741, + "\"ReqGenSQ.bsv\", line 874, column 17\n", + "existing UD WR assertion @ mkReqGenSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5]) + $display("illegal existing UD WR with length=%0d", + sq_reqGenSQ_workReqCheckQ_D_OUT[514:483], + " larger than PMTU when TypeQP="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] && + cntrl_sqTypeReg == 4'd2) + $display("IBV_QPT_RC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] && + cntrl_sqTypeReg == 4'd3) + $display("IBV_QPT_UC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] && + cntrl_sqTypeReg == 4'd4) + $display("IBV_QPT_UD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] && + cntrl_sqTypeReg == 4'd8) + $display("IBV_QPT_RAW_PACKET"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] && + cntrl_sqTypeReg == 4'd9) + $display("IBV_QPT_XRC_SEND"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] && + cntrl_sqTypeReg != 4'd2 && + cntrl_sqTypeReg != 4'd3 && + cntrl_sqTypeReg != 4'd4 && + cntrl_sqTypeReg != 4'd8 && + cntrl_sqTypeReg != 4'd9) + $display("IBV_QPT_XRC_RECV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5]) + $display(" and isOnlyReqPkt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] && + sq_reqGenSQ_workReqCheckQ_D_OUT[6]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[6]) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_checkPendingWorkReq && + !sq_reqGenSQ_workReqCheckQ_D_OUT[4] && + sq_reqGenSQ_workReqCheckQ_D_OUT[1] && + !sq_reqGenSQ_workReqCheckQ_D_OUT[5]) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_countReqPkt && cntrl_sqTypeReg == 4'd4 && + !sq_reqGenSQ_reqCountQ_D_OUT[5]) + begin + v__h61335 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_countReqPkt && cntrl_sqTypeReg == 4'd4 && + !sq_reqGenSQ_reqCountQ_D_OUT[5]) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h61335, + "\"ReqGenSQ.bsv\", line 933, column 13\n", + "UD assertion @ mkReqGenSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_countReqPkt && cntrl_sqTypeReg == 4'd4 && + !sq_reqGenSQ_reqCountQ_D_OUT[5]) + $display("illegal UD WR with length=%0d", + sq_reqGenSQ_reqCountQ_D_OUT[514:483], + " larger than PMTU when TypeQP="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_countReqPkt && cntrl_sqTypeReg == 4'd4 && + !sq_reqGenSQ_reqCountQ_D_OUT[5]) + $display("IBV_QPT_UD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_countReqPkt && cntrl_sqTypeReg == 4'd4 && + !sq_reqGenSQ_reqCountQ_D_OUT[5]) + $display(" and isOnlyReqPkt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_countReqPkt && cntrl_sqTypeReg == 4'd4 && + !sq_reqGenSQ_reqCountQ_D_OUT[5] && + sq_reqGenSQ_reqCountQ_D_OUT[6]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_countReqPkt && cntrl_sqTypeReg == 4'd4 && + !sq_reqGenSQ_reqCountQ_D_OUT[5] && + !sq_reqGenSQ_reqCountQ_D_OUT[6]) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_countReqPkt && cntrl_sqTypeReg == 4'd4 && + !sq_reqGenSQ_reqCountQ_D_OUT[5]) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + begin + v__h63194 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h63194, + "\"ReqGenSQ.bsv\", line 1006, column 17\n", + "maybeFirstOrOnlyHeaderGenInfo assertion @ mkReqGenSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display("maybeFirstOrOnlyHeaderGenInfo="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(" is not valid, and current WR="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display("WorkReq { ID=%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[685:622], + ", opcode="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2742 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd0) + $display("IBV_WR_RDMA_WRITE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2742 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd1) + $display("IBV_WR_RDMA_WRITE_WITH_IMM"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2742 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd2) + $display("IBV_WR_SEND"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2742 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd3) + $display("IBV_WR_SEND_WITH_IMM"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2742 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4) + $display("IBV_WR_RDMA_READ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2742 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5) + $display("IBV_WR_ATOMIC_CMP_AND_SWP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2742 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6) + $display("IBV_WR_ATOMIC_FETCH_AND_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd7) + $display("IBV_WR_LOCAL_INV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd8) + $display("IBV_WR_BIND_MW"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2742 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd9) + $display("IBV_WR_SEND_WITH_INV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd10) + $display("IBV_WR_TSO"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd11) + $display("IBV_WR_DRIVER1"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd12) + $display("IBV_WR_RDMA_READ_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd14) + $display("IBV_WR_FLUSH"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd0 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd1 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd2 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd3 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd4 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd5 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd6 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd7 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd8 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd9 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd10 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd11 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd12 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd14) + $display("IBV_WR_ATOMIC_WRITE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(", flags="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display("FlagsType { flags: ", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617:613], + " = "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[613]) + $display("IBV_SEND_FENCE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[613]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614]) + $display("IBV_SEND_SIGNALED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[615]) + $display("IBV_SEND_SOLICITED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[615]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[616]) + $display("IBV_SEND_INLINE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[616]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617]) + $display("IBV_SEND_IP_CSUM", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617:613] == 5'd0) + $display("IBV_SEND_NO_FLAGS", " }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617:613] != 5'd0) + $display("}"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(", raddr=%h, rkey=%h, len=%0d, laddr=%h, lkey=%h, sqpn=%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[612:549], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[548:517], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[516:485], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[484:421], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[420:389], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[388:365], + ", solicited="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364]) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(", comp="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[363]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[362:299]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[363]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(", swap="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[298]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[297:234]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[298]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(", immDt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[233]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[232:201]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[233]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(", rkey2Inv="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[200]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[199:168]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[200]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(", srqn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[167]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[166:143]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[167]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(", dqpn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2882 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[142]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[141:118]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2889 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[142]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(", qkey="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[117]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[116:85]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[117]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $display(" }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2712) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + begin + v__h69848 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h69848, + "\"ReqGenSQ.bsv\", line 1021, column 17\n", + "maybeMiddleOrLastHeaderGenInfo assertion @ mkReqGenSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display("maybeMiddleOrLastHeaderGenInfo="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(" is not valid, and current WR="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display("WorkReq { ID=%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[685:622], + ", opcode="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2902 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd0) + $display("IBV_WR_RDMA_WRITE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2902 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd1) + $display("IBV_WR_RDMA_WRITE_WITH_IMM"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2902 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd2) + $display("IBV_WR_SEND"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2902 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd3) + $display("IBV_WR_SEND_WITH_IMM"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4) + $display("IBV_WR_RDMA_READ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5) + $display("IBV_WR_ATOMIC_CMP_AND_SWP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6) + $display("IBV_WR_ATOMIC_FETCH_AND_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd7) + $display("IBV_WR_LOCAL_INV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd8) + $display("IBV_WR_BIND_MW"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2902 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd9) + $display("IBV_WR_SEND_WITH_INV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd10) + $display("IBV_WR_TSO"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd11) + $display("IBV_WR_DRIVER1"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd12) + $display("IBV_WR_RDMA_READ_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd14) + $display("IBV_WR_FLUSH"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd0 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd1 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd2 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd3 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd4 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd5 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd6 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd7 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd8 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd9 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd10 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd11 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd12 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd14) + $display("IBV_WR_ATOMIC_WRITE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(", flags="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display("FlagsType { flags: ", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617:613], + " = "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[613]) + $display("IBV_SEND_FENCE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[613]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614]) + $display("IBV_SEND_SIGNALED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[615]) + $display("IBV_SEND_SOLICITED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[615]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[616]) + $display("IBV_SEND_INLINE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[616]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617]) + $display("IBV_SEND_IP_CSUM", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617:613] == 5'd0) + $display("IBV_SEND_NO_FLAGS", " }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617:613] != 5'd0) + $display("}"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(", raddr=%h, rkey=%h, len=%0d, laddr=%h, lkey=%h, sqpn=%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[612:549], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[548:517], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[516:485], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[484:421], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[420:389], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[388:365], + ", solicited="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364]) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(", comp="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[363]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[362:299]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[363]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(", swap="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[298]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[297:234]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[298]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(", immDt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[233]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[232:201]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[233]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(", rkey2Inv="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[200]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[199:168]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[200]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(", srqn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[167]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[166:143]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[167]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(", dqpn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2973 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[142]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[141:118]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2978 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[142]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(", qkey="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[117]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[116:85]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[117]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $display(" }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + NOT_cntrl_sqTypeReg_887_EQ_2_888_889_AND_NOT_c_ETC___d2723) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + begin + v__h73012 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h73012, + "\"ReqGenSQ.bsv\", line 1034, column 21\n", + "endPSN assertion @ mkReqGenSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display("curPSN=%h should == pendingWR.endPSN=%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[709:686], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[58:35], + ", pendingWR="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display("PendingWorkReq { wr="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display("WorkReq { ID=%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[685:622], + ", opcode="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd0) + $display("IBV_WR_RDMA_WRITE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd1) + $display("IBV_WR_RDMA_WRITE_WITH_IMM"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd2) + $display("IBV_WR_SEND"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd3) + $display("IBV_WR_SEND_WITH_IMM"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd4) + $display("IBV_WR_RDMA_READ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd5) + $display("IBV_WR_ATOMIC_CMP_AND_SWP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd6) + $display("IBV_WR_ATOMIC_FETCH_AND_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd7) + $display("IBV_WR_LOCAL_INV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd8) + $display("IBV_WR_BIND_MW"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd9) + $display("IBV_WR_SEND_WITH_INV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd10) + $display("IBV_WR_TSO"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd11) + $display("IBV_WR_DRIVER1"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd12) + $display("IBV_WR_RDMA_READ_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] == 4'd14) + $display("IBV_WR_FLUSH"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd0 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd1 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd2 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd3 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd4 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd5 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd6 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd7 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd8 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd9 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd10 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd11 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd12 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[621:618] != 4'd14) + $display("IBV_WR_ATOMIC_WRITE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(", flags="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display("FlagsType { flags: ", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617:613], + " = "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[613]) + $display("IBV_SEND_FENCE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[613]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614]) + $display("IBV_SEND_SIGNALED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[614]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[615]) + $display("IBV_SEND_SOLICITED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[615]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[616]) + $display("IBV_SEND_INLINE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[616]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617]) + $display("IBV_SEND_IP_CSUM", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617:613] == 5'd0) + $display("IBV_SEND_NO_FLAGS", " }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[617:613] != 5'd0) + $display("}"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(", raddr=%h, rkey=%h, len=%0d, laddr=%h, lkey=%h, sqpn=%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[612:549], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[548:517], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[516:485], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[484:421], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[420:389], + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[388:365], + ", solicited="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364]) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[364]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(", comp="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[363]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[362:299]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[363]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(", swap="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[298]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[297:234]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[298]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(", immDt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[233]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[232:201]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[233]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(", rkey2Inv="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[200]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[199:168]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[200]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(", srqn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[167]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[166:143]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[167]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(", dqpn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[142]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[141:118]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[142]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(", qkey="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[117]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[116:85]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[117]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(" }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(", startPSN="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[84]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[83:60]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[84]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(", endPSN="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[59]) + $display("tagged Valid ", + "'h%h", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[58:35]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[59]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(", pktNum="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[34]) + $display("tagged Valid %0d", + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[33:9]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[34]) + $display("tagged Invalid PktNum"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(", isOnlyReqPkt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[8]) + $display("tagged Valid "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[8]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[8] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7]) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[8] && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[7]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992 && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[8]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $display(" }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_prepareReqHeaderGen && + !sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[6] && + sq_reqGenSQ_reqHeaderPrepareQ_D_OUT[5] && + NOT_sq_reqGenSQ_reqHeaderPrepareQ_first__665_B_ETC___d2992) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_errFlushWR && + WILL_FIRE_RL_sq_reqGenSQ_outputNewPendingWorkReq && + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[2] && + sq_reqGenSQ_workReqPayloadGenQ_D_OUT[1] && + _enq_RL_sq_reqGenSQ_outputNewPendingWorkReq_EN_sq_reqGenSQ_pendingWorkReqOutQ_wget) + $display("Error: \"ReqGenSQ.bsv\", line 1142, column 10: (R0002)\n Conflict-free rules RL_sq_reqGenSQ_errFlushWR and\n RL_sq_reqGenSQ_outputNewPendingWorkReq called conflicting methods enq and\n enq of module instance sq_reqGenSQ_pendingWorkReqOutQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_errFlushWR && + WILL_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq) + $display("Error: \"ReqGenSQ.bsv\", line 1142, column 10: (R0002)\n Conflict-free rules RL_sq_reqGenSQ_errFlushWR and\n RL_sq_reqGenSQ_issuePayloadGenReq called conflicting methods first and deq\n of module instance sq_reqGenSQ_workReqPayloadGenQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_errFlushWR && + WILL_FIRE_RL_sq_reqGenSQ_issuePayloadGenReq) + $display("Error: \"ReqGenSQ.bsv\", line 1142, column 10: (R0002)\n Conflict-free rules RL_sq_reqGenSQ_errFlushWR and\n RL_sq_reqGenSQ_issuePayloadGenReq called conflicting methods deq and deq of\n module instance sq_reqGenSQ_workReqPayloadGenQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[0] && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[7:2] != + 6'd0) + begin + v__h48088 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[0] && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[7:2] != + 6'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h48088, + "\"ExtractAndPrependPipeOut.bsv\", line 291, column 17\n", + "empty header assertion @ mkPrependHeader2PipeOut"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[0] && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[7:2] != + 6'd0) + $display("headerLastFragValidBitNum=%0d", + headerLastFragValidBitNum__h47980, + " and headerLastFragValidByteNum=%0d", + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[7:2], + " should be zero when isEmptyHeader="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[0] && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[7:2] != + 6'd0) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[0] && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[7:2] != + 6'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData && + !sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[0] && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[16:10] == + 7'd0) + begin + v__h48212 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData && + !sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[0] && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[16:10] == + 7'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h48212, + "\"ExtractAndPrependPipeOut.bsv\", line 303, column 17\n", + "headerMetaData.headerLen non-zero assertion @ mkPrependHeader2PipeOut"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData && + !sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[0] && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[16:10] == + 7'd0) + $display("headerLen=%0d", + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[16:10], + " should not be zero when isEmptyHeader=", + "False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_popHeaderMetaData && + !sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[0] && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerMetaDataOutQ_D_OUT[16:10] == + 7'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0] && + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg != + 2'd0) + begin + v__h48664 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0] && + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg != + 2'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h48664, + "\"ExtractAndPrependPipeOut.bsv\", line 339, column 17\n", + "headerFragCntReg zero assertion @ mkPrependHeader2PipeOut"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0] && + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg != + 2'd0) + $display("headerFragCntReg=%h should be zero when curHeaderDataStreamFrag.isLast=%b", + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg, + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_outputHeader && + sq_reqGenSQ_rdmaReqPipeOut_headerDataStreamAndMetaDataPipeOut_headerDataStreamOutQ_D_OUT[0] && + sq_reqGenSQ_rdmaReqPipeOut_rdmaDataStreamPipeOut_headerFragCntReg != + 2'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd13 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd14 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd15 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd16 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd18) + begin + v__h93157 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd13 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd14 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd15 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd16 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd18) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h93157, + "\"RespHandleSQ.bsv\", line 219, column 13\n", + "isRdmaRespOpCode assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd13 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd14 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd15 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd16 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd18) + $display("bth.opcode="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd0) + $display("SEND_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd1) + $display("SEND_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd2) + $display("SEND_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd3) + $display("SEND_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd4) + $display("SEND_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd5) + $display("SEND_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd6) + $display("RDMA_WRITE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd7) + $display("RDMA_WRITE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd8) + $display("RDMA_WRITE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd9) + $display("RDMA_WRITE_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd10) + $display("RDMA_WRITE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd11) + $display("RDMA_WRITE_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd12) + $display("RDMA_READ_REQUEST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd19) + $display("COMPARE_SWAP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd20) + $display("FETCH_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd21) + $display("RESYNC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] == 5'd22) + $display("SEND_LAST_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd13 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd14 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd15 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd16 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd18 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd0 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd1 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd2 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd3 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd4 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd5 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd6 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd7 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd8 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd9 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd10 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd11 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd12 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd19 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd20 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd21 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd22) + $display("SEND_ONLY_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd13 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd14 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd15 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd16 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd18) + $display(" should be RDMA response"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd13 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd14 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd15 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd16 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd18) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd13 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd14 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd15 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd16 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd18 && + (respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 || + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd0 && + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd1 && + (respPktPipe_metaDataQ_D_OUT[529:528] != 2'd3 || + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd0 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd1 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd2 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd3 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd4))) + begin + v__h93514 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd13 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd14 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd15 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd16 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd18 && + (respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 || + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd0 && + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd1 && + (respPktPipe_metaDataQ_D_OUT[529:528] != 2'd3 || + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd0 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd1 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd2 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd3 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd4))) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h93514, + "\"RespHandleSQ.bsv\", line 233, column 13\n", + "rdmaRespType assertion @ handleRetryResp() in mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd13 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd14 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd15 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd16 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd18 && + (respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 || + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd0 && + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd1 && + (respPktPipe_metaDataQ_D_OUT[529:528] != 2'd3 || + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd0 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd1 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd2 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd3 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd4))) + $display("rdmaRespType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd13 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd14 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd15 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd16 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd18 && + (respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 || + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd0 && + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd1 && + (respPktPipe_metaDataQ_D_OUT[529:528] != 2'd3 || + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd0 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd1 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd2 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd3 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd4)) && + (respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 || + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd0) && + (respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 || + respPktPipe_metaDataQ_D_OUT[529:528] == 2'd0 || + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd1 && + (respPktPipe_metaDataQ_D_OUT[529:528] != 2'd3 || + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd0)) && + (respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 || + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd3 || + respPktPipe_metaDataQ_D_OUT[527:523] == 5'd0 || + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd1 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd2 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd3 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd4)) + $display("RDMA_RESP_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd13 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd14 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd15 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd16 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd18 && + (respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 || + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd0 && + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd1 && + (respPktPipe_metaDataQ_D_OUT[529:528] != 2'd3 || + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd0 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd1 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd2 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd3 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd4))) + $display(" should not be unknown"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd13 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd14 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd15 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd16 && + respPktPipe_metaDataQ_D_OUT[623:619] != 5'd18 && + (respPktPipe_metaDataQ_D_OUT[623:619] != 5'd17 || + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd0 && + respPktPipe_metaDataQ_D_OUT[529:528] != 2'd1 && + (respPktPipe_metaDataQ_D_OUT[529:528] != 2'd3 || + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd0 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd1 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd2 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd3 && + respPktPipe_metaDataQ_D_OUT[527:523] != 5'd4))) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + begin + v__h93774 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h93774, + "\"RespHandleSQ.bsv\", line 262, column 13\n", + "curPendingWR assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display("curPendingWR should have valid PSN and PktNum, curPendingWR="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display("PendingWorkReq { wr="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display("WorkReq { ID=%h", + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463, + ", opcode="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753) + $display("IBV_WR_RDMA_WRITE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761) + $display("IBV_WR_RDMA_WRITE_WITH_IMM"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770) + $display("IBV_WR_SEND"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3783) + $display("IBV_WR_SEND_WITH_IMM"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3794) + $display("IBV_WR_RDMA_READ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3806) + $display("IBV_WR_ATOMIC_CMP_AND_SWP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3822) + $display("IBV_WR_ATOMIC_FETCH_AND_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3836) + $display("IBV_WR_LOCAL_INV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3851) + $display("IBV_WR_BIND_MW"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3870) + $display("IBV_WR_SEND_WITH_INV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3887) + $display("IBV_WR_TSO"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3905) + $display("IBV_WR_DRIVER1"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3927) + $display("IBV_WR_RDMA_READ_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3947) + $display("IBV_WR_FLUSH"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3962) + $display("IBV_WR_ATOMIC_WRITE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(", flags="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display("FlagsType { flags: ", enumBits__h93928, " = "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + enumBits__h93928[0]) + $display("IBV_SEND_FENCE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !enumBits__h93928[0]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + enumBits__h93928[1]) + $display("IBV_SEND_SIGNALED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !enumBits__h93928[1]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + enumBits__h93928[2]) + $display("IBV_SEND_SOLICITED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !enumBits__h93928[2]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + enumBits__h93928[3]) + $display("IBV_SEND_INLINE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !enumBits__h93928[3]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + enumBits__h93928[4]) + $display("IBV_SEND_IP_CSUM", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !enumBits__h93928[4]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + enumBits__h93928 == 5'd0) + $display("IBV_SEND_NO_FLAGS", " }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + enumBits__h93928 != 5'd0) + $display("}"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(", raddr=%h, rkey=%h, len=%0d, laddr=%h, lkey=%h, sqpn=%h", + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1561, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1618, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1619, + ", solicited="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3994) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3994) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(", comp="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4003) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4003) + $display("tagged Valid ", "'h%h", value__h99740); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(", swap="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4012) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4012) + $display("tagged Valid ", "'h%h", value__h99767); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(", immDt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4021) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4021) + $display("tagged Valid ", "'h%h", value__h99797); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(", rkey2Inv="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4030) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4030) + $display("tagged Valid ", "'h%h", value__h99824); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(", srqn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4039) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4039) + $display("tagged Valid ", "'h%h", value__h99854); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(", dqpn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4048) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4048) + $display("tagged Valid ", "'h%h", value__h99881); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(", qkey="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4057) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4057) + $display("tagged Valid ", "'h%h", value__h99908); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(" }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(", startPSN="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1473) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1473) + $display("tagged Valid ", "'h%h", value__h99939); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(", endPSN="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1491) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1491) + $display("tagged Valid ", "'h%h", value__h99966); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(", pktNum="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + (!SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1474 || + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1492 || + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1652) && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649) + $display("tagged Valid %0d", + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d1650); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649) + $display("tagged Invalid PktNum"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(", isOnlyReqPkt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074) + $display("tagged Valid "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4083) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4083) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $display(" }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3746) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq && + sq_reqGenSQ_workReqPsnQ_D_OUT[4] && + NOT_IF_IF_sq_reqGenSQ_workReqPsnQ_first__498_B_ETC___d2536) + begin + v__h57516 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq && + sq_reqGenSQ_workReqPsnQ_D_OUT[4] && + NOT_IF_IF_sq_reqGenSQ_workReqPsnQ_first__498_B_ETC___d2536) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h57516, + "\"ReqGenSQ.bsv\", line 828, column 17\n", + "startPSN, endPSN, nextPSN assertion @ mkReqGenSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq && + sq_reqGenSQ_workReqPsnQ_D_OUT[4] && + NOT_IF_IF_sq_reqGenSQ_workReqPsnQ_first__498_B_ETC___d2536) + $display("endPSN=%h should >= startPSN=%h, and endPSN=%h + 1 should == nextPSN=%h", + endPktSeqNum__h56057, + cntrl_npsnReg, + endPktSeqNum__h56057, + nextPktSeqNum__h56056); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_reqGenSQ_calcPktSeqNum4NewWorkReq && + sq_reqGenSQ_workReqPsnQ_D_OUT[4] && + NOT_IF_IF_sq_reqGenSQ_workReqPsnQ_first__498_B_ETC___d2536) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4187) + begin + v__h97761 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4187) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h97761, + "\"RespHandleSQ.bsv\", line 361, column 33\n", + "unreachible case @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4187) + $display("rdmaRespType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4187) + $display("RDMA_RESP_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4187) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4200) + begin + v__h97941 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4200) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h97941, + "\"RespHandleSQ.bsv\", line 399, column 33\n", + "unreachible case @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4200) + $display("rdmaRespType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4200) + $display("RDMA_RESP_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4200) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4203) + begin + v__h98281 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4203) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h98281, + "\"RespHandleSQ.bsv\", line 414, column 13\n", + "wrAckType and wcReqType assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4203) + $display("wrAckType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4208 && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1000 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1010) && + sq_respHandleSQ_preStageRespTypeReg == 2'd0) + $display("WR_ACK_EXPLICIT_WHOLE_NORMAL"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4214 && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1000 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1010) && + sq_respHandleSQ_preStageRespTypeReg == 2'd1) + $display("WR_ACK_EXPLICIT_WHOLE_RETRY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4220 && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1000 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1010) && + sq_respHandleSQ_preStageRespTypeReg == 2'd2) + $display("WR_ACK_EXPLICIT_WHOLE_ERROR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4208 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b1000 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b1010 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b0100 && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0001) && + sq_respHandleSQ_preStageRespTypeReg == 2'd0) + $display("WR_ACK_EXPLICIT_PARTIAL_NORMAL"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4214 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b1000 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b1010 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b0100 && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0001) && + sq_respHandleSQ_preStageRespTypeReg == 2'd1) + $display("WR_ACK_EXPLICIT_PARTIAL_RETRY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4220 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b1000 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b1010 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b0100 && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0001) && + sq_respHandleSQ_preStageRespTypeReg == 2'd2) + $display("WR_ACK_EXPLICIT_PARTIAL_ERROR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4203 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b1000 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b1010 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b0100 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b0010 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b0001) + $display("WR_ACK_DUPLICATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4267) + $display("WR_ACK_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4203) + $display(", and wcReqType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4203 && + IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4271) + $display("WC_REQ_TYPE_FULL_ACK"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4208 && + IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4275 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b1000 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b1010 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != 4'b0100 && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0001) && + sq_respHandleSQ_preStageRespTypeReg == 2'd0) + $display("WC_REQ_TYPE_PARTIAL_ACK"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4203 && + IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4275 && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1000 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0100 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0010 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0001 || + sq_respHandleSQ_preStageRespTypeReg != 2'd0) && + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q35) + $display("WC_REQ_TYPE_NO_WC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4203 && + IF_sq_respHandleSQ_preStageRespAndWorkReqRelat_ETC___d4275 && + (sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1000 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b1010 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == + 4'b0100 || + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0010 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] != + 4'b0001 || + sq_respHandleSQ_preStageRespTypeReg != 2'd0) && + CASE_sq_respHandleSQ_preStageRespAndWorkReqRel_ETC__q36) + $display("WC_REQ_TYPE_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4203) + $display(" should not be unknown"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg_170_BIT_ETC___d4203) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg[1] && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == 4'b0100 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]) + begin + v__h98457 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg[1] && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == 4'b0100 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h98457, + "\"RespHandleSQ.bsv\", line 423, column 13\n", + "deqPktMetaData and deqPendingWorkReq assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg[1] && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == 4'b0100 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]) + $display("deqPktMetaData="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg[1] && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == 4'b0100 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg[1] && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == 4'b0100 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]) + $display(", and deqPendingWorkReq="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg[1] && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == 4'b0100 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg[1] && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == 4'b0100 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]) + $display(" should have at least one be true"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg[1] && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[3:0] == 4'b0100 && + sq_respHandleSQ_preStageRespAndWorkReqRelationReg[4]) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg[1]) + $display("Error: \"RespHandleSQ.bsv\", line 310, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_preProcRespInfo and\n RL_sq_respHandleSQ_preBuildRespInfo called conflicting methods read and\n write of module instance sq_respHandleSQ_preStageRespAndWorkReqRelationReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo) + $display("Error: \"RespHandleSQ.bsv\", line 310, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_preProcRespInfo and\n RL_sq_respHandleSQ_preBuildRespInfo called conflicting methods read and\n write of module instance sq_respHandleSQ_preStagePktMetaDataReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + sq_respHandleSQ_preStagePktMetaDataReg[1]) + $display("Error: \"RespHandleSQ.bsv\", line 310, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_preProcRespInfo and\n RL_sq_respHandleSQ_preBuildRespInfo called conflicting methods read and\n write of module instance sq_respHandleSQ_preStageRespTypeReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_pendingWorkReqBuf_emptyReg && + !sq_respHandleSQ_recvErrRespReg) + $display("Error: \"RespHandleSQ.bsv\", line 310, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_preProcRespInfo and\n RL_sq_respHandleSQ_preBuildRespInfo called conflicting methods read and\n write of module instance sq_respHandleSQ_preStageStateReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd0 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd3 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd1 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd4 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd2 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd5 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd6 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd7 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd8 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd11 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd9 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd10 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd12 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd13) + begin + v__h101559 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd0 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd3 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd1 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd4 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd2 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd5 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd6 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd7 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd8 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd11 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd9 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd10 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd12 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd13) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h101559, + "\"RespHandleSQ.bsv\", line 616, column 21\n", + "unreachible case @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd0 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd3 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd1 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd4 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd2 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd5 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd6 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd7 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd8 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd11 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd9 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd10 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd12 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd13) + $display("wrAckType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd0 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd3 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd1 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd4 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd2 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd5 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd6 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd7 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd8 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd11 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd9 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd10 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd12 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd13) + $display("WR_ACK_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd0 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd3 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd1 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd4 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd2 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd5 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd6 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd7 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd8 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd11 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd9 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd10 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd12 && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] != 4'd13) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4697) + begin + v__h101795 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4697) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h101795, + "\"RespHandleSQ.bsv\", line 625, column 17\n", + "respAction retry flush assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4697) + $display("respAction="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd6) + $display("SQ_ACT_COALESCE_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + (sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd2 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd5)) + $display("SQ_ACT_ERROR_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4716) + $display("SQ_ACT_EXPLICIT_NORMAL_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd8) + $display("SQ_ACT_DUPLICATE_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd10 && + sq_respHandleSQ_incomingRespQ_D_OUT[142]) + $display("SQ_ACT_ILLEGAL_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd12) + $display("SQ_ACT_FLUSH_WR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd13) + $display("SQ_ACT_TIMEOUT_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + (sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd1 || + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd4)) + $display("SQ_ACT_EXPLICIT_RETRY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + sq_respHandleSQ_incomingRespQ_D_OUT[3:0] == 4'd7) + $display("SQ_ACT_IMPLICIT_RETRY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4746) + $display("SQ_ACT_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4697) + $display(" should be SQ_ACT_DISCARD_RESP when inRetryState="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4697) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_retryFlushReg_616_AND_NOT_sq_r_ETC___d4697) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + NOT_sq_respHandleSQ_retryFlushReg_616_617_OR_s_ETC___d4756) + begin + v__h101959 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + NOT_sq_respHandleSQ_retryFlushReg_616_617_OR_s_ETC___d4756) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h101959, + "\"RespHandleSQ.bsv\", line 647, column 17\n", + "respAction assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + NOT_sq_respHandleSQ_retryFlushReg_616_617_OR_s_ETC___d4756) + $display("respAction="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + NOT_sq_respHandleSQ_retryFlushReg_616_617_OR_s_ETC___d4756) + $display("SQ_ACT_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + NOT_sq_respHandleSQ_retryFlushReg_616_617_OR_s_ETC___d4756) + $display(" should not be unknown"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + NOT_sq_respHandleSQ_retryFlushReg_616_617_OR_s_ETC___d4756) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd3 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd9 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd10 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd2 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd1 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd5 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd4 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd6 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd7 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd8) + begin + v__h103981 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd3 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd9 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd10 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd2 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd1 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd5 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd4 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd6 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd7 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd8) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h103981, + "\"RespHandleSQ.bsv\", line 728, column 21\n", + "unreachible case @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd3 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd9 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd10 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd2 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd1 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd5 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd4 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd6 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd7 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd8) + $display("respAction="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd0) + $display("SQ_ACT_BAD_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd11) + $display("SQ_ACT_LOCAL_ACC_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd12) + $display("SQ_ACT_LOCAL_LEN_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd3 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd9 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd10 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd2 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd1 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd5 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd4 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd6 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd7 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd8 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd0 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd11 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd12) + $display("SQ_ACT_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd3 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd9 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd10 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd2 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd1 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd5 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd4 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd6 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd7 && + sq_respHandleSQ_pendingRespQ_D_OUT[9:6] != 4'd8) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + ((sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3) ? + (IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4844 ? + 4'd0 : + sq_respHandleSQ_pendingRespQ_D_OUT[9:6]) : + sq_respHandleSQ_pendingRespQ_D_OUT[9:6]) == + 4'd13) + begin + v__h104178 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + ((sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3) ? + (IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4844 ? + 4'd0 : + sq_respHandleSQ_pendingRespQ_D_OUT[9:6]) : + sq_respHandleSQ_pendingRespQ_D_OUT[9:6]) == + 4'd13) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h104178, + "\"RespHandleSQ.bsv\", line 736, column 13\n", + "respAction assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + ((sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3) ? + (IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4844 ? + 4'd0 : + sq_respHandleSQ_pendingRespQ_D_OUT[9:6]) : + sq_respHandleSQ_pendingRespQ_D_OUT[9:6]) == + 4'd13) + $display("respAction="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + ((sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3) ? + (IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4844 ? + 4'd0 : + sq_respHandleSQ_pendingRespQ_D_OUT[9:6]) : + sq_respHandleSQ_pendingRespQ_D_OUT[9:6]) == + 4'd13) + $display("SQ_ACT_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + ((sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3) ? + (IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4844 ? + 4'd0 : + sq_respHandleSQ_pendingRespQ_D_OUT[9:6]) : + sq_respHandleSQ_pendingRespQ_D_OUT[9:6]) == + 4'd13) + $display(" should not be unknown"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + ((sq_respHandleSQ_pendingRespQ_D_OUT[9:6] == 4'd3) ? + (IF_sq_respHandleSQ_pendingRespQ_first__787_BIT_ETC___d4844 ? + 4'd0 : + sq_respHandleSQ_pendingRespQ_D_OUT[9:6]) : + sq_respHandleSQ_pendingRespQ_D_OUT[9:6]) == + 4'd13) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd13 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd15 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd16 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd17 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd18) + begin + v__h106970 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd13 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd15 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd16 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd17 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd18) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h106970, + "\"RespHandleSQ.bsv\", line 822, column 21\n", + "rdmaRespHasAETH assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd13 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd15 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd16 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd17 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd18) + $display("rdmaRespHasAETH="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd13 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd15 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd16 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd17 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd18) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd13 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd15 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd16 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd17 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd18) + $display(" should be true"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd13 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd15 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd16 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd17 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[138:134] != 5'd18) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + begin + v__h107194 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h107194, + "\"RespHandleSQ.bsv\", line 831, column 21\n", + "isValid(wcStatus) assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $display("wcStatus="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $display(" should be valid after call genErrWorkCompStatusFromAethSQ(aeth="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $display("AETH { ", "rsvd: "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $display("'h%h", 1'd0); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $display(", ", "code: "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] == 2'd0) + $display("AETH_CODE_ACK"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] == 2'd1) + $display("AETH_CODE_RNR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] == 2'd2) + $display("AETH_CODE_RSVD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4) && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd0 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd2) + $display("AETH_CODE_NAK"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $display(", ", "value: "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $display("'h%h", sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $display(", ", "msn: "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $display("'h%h", + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[37:14], + " }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $display(")"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd2 && + (sq_respHandleSQ_pendingRetryCheckQ_D_OUT[44:43] != 2'd3 || + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[42:38] != 5'd4)) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd0 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd5 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd6 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd4 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd7 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd9 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd10 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd8) + begin + v__h107798 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd0 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd5 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd6 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd4 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd7 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd9 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd10 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd8) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h107798, + "\"RespHandleSQ.bsv\", line 906, column 21\n", + "unreachible case @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd0 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd5 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd6 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd4 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd7 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd9 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd10 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd8) + $display("respAction="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd11) + $display("SQ_ACT_LOCAL_ACC_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] == 4'd12) + $display("SQ_ACT_LOCAL_LEN_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd0 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd5 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd6 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd4 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd7 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd9 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd10 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd8 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd11 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd12) + $display("SQ_ACT_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkRetryErr && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd0 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd2 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd3 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd1 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd5 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd6 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd4 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd7 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd9 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd10 && + sq_respHandleSQ_pendingRetryCheckQ_D_OUT[6:3] != 4'd8) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd0) + begin + v__h109873 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h109873, + "\"RespHandleSQ.bsv\", line 939, column 21\n", + "wcs assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd0) + $display("wcStatus="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd0) + $display("tagged Valid "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd1) + $display("IBV_WC_LOC_LEN_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd2) + $display("IBV_WC_LOC_QP_OP_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd3) + $display("IBV_WC_LOC_EEC_OP_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd4) + $display("IBV_WC_LOC_PROT_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd5) + $display("IBV_WC_WR_FLUSH_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd6) + $display("IBV_WC_MW_BIND_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd7) + $display("IBV_WC_BAD_RESP_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd8) + $display("IBV_WC_LOC_ACCESS_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd9) + $display("IBV_WC_REM_INV_REQ_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd10) + $display("IBV_WC_REM_ACCESS_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd11) + $display("IBV_WC_REM_OP_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd12) + $display("IBV_WC_RETRY_EXC_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd13) + $display("IBV_WC_RNR_RETRY_EXC_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd14) + $display("IBV_WC_LOC_RDD_VIOL_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd15) + $display("IBV_WC_REM_INV_RD_REQ_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd16) + $display("IBV_WC_REM_ABORT_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd17) + $display("IBV_WC_INV_EECN_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd18) + $display("IBV_WC_INV_EEC_STATE_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd19) + $display("IBV_WC_FATAL_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd20) + $display("IBV_WC_RESP_TIMEOUT_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd21) + $display("IBV_WC_GENERAL_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] == 5'd22) + $display("IBV_WC_TM_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd0 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd1 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd2 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd4 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd5 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd6 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd7 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd8 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd9 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd10 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd11 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd12 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd13 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd14 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd15 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd16 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd17 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd18 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd19 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd20 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd21 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd22) + $display("IBV_WC_TM_RNDV_INCOMPLETE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd0) + $display(" should be valid and IBV_WC_SUCCESS"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkPerm4NormalReadAtomicResp && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[12:9] == 4'd3 && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[8] && + sq_respHandleSQ_pendingPermCheckQ_D_OUT[7:3] != 5'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + begin + v__h117067 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h117067, + "\"RespHandleSQ.bsv\", line 1343, column 13\n", + "hasLocalErr -> genWorkComp assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + $display("genWorkComp="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + $display(" should be true when hasLocalErr="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + $display(", respAction="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd0) + $display("SQ_ACT_BAD_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd1) + $display("SQ_ACT_COALESCE_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd2) + $display("SQ_ACT_ERROR_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd3) + $display("SQ_ACT_EXPLICIT_NORMAL_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd4) + $display("SQ_ACT_DISCARD_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd5) + $display("SQ_ACT_DUPLICATE_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd6) + $display("SQ_ACT_ILLEGAL_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd7) + $display("SQ_ACT_FLUSH_WR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd8) + $display("SQ_ACT_TIMEOUT_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd9) + $display("SQ_ACT_EXPLICIT_RETRY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd10) + $display("SQ_ACT_IMPLICIT_RETRY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd11) + $display("SQ_ACT_LOCAL_ACC_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd12) + $display("SQ_ACT_LOCAL_LEN_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd0 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd1 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd2 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd3 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd4 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd5 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd6 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd7 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd8 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd9 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd10 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd11 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd12) + $display("SQ_ACT_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[78] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71]) + begin + v__h117291 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71]) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h117291, + "\"RespHandleSQ.bsv\", line 1352, column 13\n", + "genWorkComp -> isValid(wcStatus) assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71]) + $display("wcStatus="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71]) + $display(" should be valid when genWorkComp="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71]) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71]) + $display(", respAction="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd0) + $display("SQ_ACT_BAD_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd1) + $display("SQ_ACT_COALESCE_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd2) + $display("SQ_ACT_ERROR_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd3) + $display("SQ_ACT_EXPLICIT_NORMAL_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd4) + $display("SQ_ACT_DISCARD_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd5) + $display("SQ_ACT_DUPLICATE_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd6) + $display("SQ_ACT_ILLEGAL_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd7) + $display("SQ_ACT_FLUSH_WR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd8) + $display("SQ_ACT_TIMEOUT_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd9) + $display("SQ_ACT_EXPLICIT_RETRY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd10) + $display("SQ_ACT_IMPLICIT_RETRY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd11) + $display("SQ_ACT_LOCAL_ACC_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] == 4'd12) + $display("SQ_ACT_LOCAL_LEN_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd0 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd1 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd2 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd3 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd4 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd5 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd6 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd7 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd8 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd9 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd10 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd11 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[75:72] != 4'd12) + $display("SQ_ACT_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[71]) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd0 && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + begin + v__h117643 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd0 && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h117643, + "\"RespHandleSQ.bsv\", line 1380, column 17\n", + "genWorkComp assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd0 && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + $display("genWorkComp="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd0 && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd0 && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + $display(" should be true when wcStatus="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd1) + $display("IBV_WC_LOC_LEN_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd2) + $display("IBV_WC_LOC_QP_OP_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd3) + $display("IBV_WC_LOC_EEC_OP_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd4) + $display("IBV_WC_LOC_PROT_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd5) + $display("IBV_WC_WR_FLUSH_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd6) + $display("IBV_WC_MW_BIND_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd7) + $display("IBV_WC_BAD_RESP_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd8) + $display("IBV_WC_LOC_ACCESS_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd9) + $display("IBV_WC_REM_INV_REQ_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd10) + $display("IBV_WC_REM_ACCESS_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd11) + $display("IBV_WC_REM_OP_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd12) + $display("IBV_WC_RETRY_EXC_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd13) + $display("IBV_WC_RNR_RETRY_EXC_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd14) + $display("IBV_WC_LOC_RDD_VIOL_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd15) + $display("IBV_WC_REM_INV_RD_REQ_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd16) + $display("IBV_WC_REM_ABORT_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd17) + $display("IBV_WC_INV_EECN_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd18) + $display("IBV_WC_INV_EEC_STATE_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd19) + $display("IBV_WC_FATAL_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd20) + $display("IBV_WC_RESP_TIMEOUT_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd21) + $display("IBV_WC_GENERAL_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] == 5'd22) + $display("IBV_WC_TM_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd0 && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd1 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd2 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd3 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd4 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd5 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd6 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd7 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd8 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd9 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd10 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd11 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd12 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd13 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd14 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd15 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd16 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd17 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd18 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd19 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd20 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd21 && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd22) + $display("IBV_WC_TM_RNDV_INCOMPLETE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_issueDmaReq && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[71] && + sq_respHandleSQ_pendingDmaReqQ_D_OUT[70:66] != 5'd0 && + !sq_respHandleSQ_pendingDmaReqQ_D_OUT[76]) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp && + WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + respPktPipe_metaDataQ_EMPTY_N && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_pendingWorkReqBuf_emptyReg && + _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1452, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_discardGhostResp and\n RL_sq_respHandleSQ_handleRespByType called conflicting methods read and\n write of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp && + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + respPktPipe_metaDataQ_EMPTY_N && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_pendingWorkReqBuf_emptyReg && + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1452, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_discardGhostResp and\n RL_sq_respHandleSQ_recvRespHeader called conflicting methods read and write\n of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp && + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + respPktPipe_metaDataQ_EMPTY_N && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_pendingWorkReqBuf_emptyReg && + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvRetryRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1452, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_discardGhostResp and\n RL_sq_respHandleSQ_recvRespHeader called conflicting methods read and write\n of module instance sq_respHandleSQ_retryFlushReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkTimeOutErr && + WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_retryFlushReg && + sq_retryHandler_timeOutNotificationQ_EMPTY_N && + !sq_respHandleSQ_errOccurredReg && + _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1498, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_checkTimeOutErr and\n RL_sq_respHandleSQ_handleRespByType called conflicting methods read and\n write of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkTimeOutErr && + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_retryFlushReg && + sq_retryHandler_timeOutNotificationQ_EMPTY_N && + !sq_respHandleSQ_errOccurredReg && + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1498, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_checkTimeOutErr and\n RL_sq_respHandleSQ_recvRespHeader called conflicting methods read and write\n of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_checkTimeOutErr && + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_errOccurredReg && + sq_retryHandler_timeOutNotificationQ_EMPTY_N && + !sq_respHandleSQ_recvErrRespReg && + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvRetryRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1498, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_checkTimeOutErr and\n RL_sq_respHandleSQ_recvRespHeader called conflicting methods read and write\n of module instance sq_respHandleSQ_retryFlushReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp && + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp) + $display("Error: \"RespHandleSQ.bsv\", line 1587, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_errFlushIncomingResp and\n RL_sq_respHandleSQ_discardGhostResp called conflicting methods first and deq\n of module instance respPktPipe_metaDataQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp && + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp) + $display("Error: \"RespHandleSQ.bsv\", line 1587, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_errFlushIncomingResp and\n RL_sq_respHandleSQ_discardGhostResp called conflicting methods deq and deq\n of module instance respPktPipe_metaDataQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp && + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp) + $display("Error: \"RespHandleSQ.bsv\", line 1587, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_errFlushIncomingResp and\n RL_sq_respHandleSQ_discardGhostResp called conflicting methods enq and enq\n of module instance sq_respHandleSQ_incomingRespQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp && + WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_errOccurredReg && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_pendingWorkReqBuf_emptyReg && + respPktPipe_metaDataQ_EMPTY_N && + _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1587, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_errFlushIncomingResp and\n RL_sq_respHandleSQ_handleRespByType called conflicting methods read and\n write of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp && + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_errOccurredReg && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_pendingWorkReqBuf_emptyReg && + respPktPipe_metaDataQ_EMPTY_N && + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1587, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_errFlushIncomingResp and\n RL_sq_respHandleSQ_recvRespHeader called conflicting methods read and write\n of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp && + respPktPipe_metaDataQ_EMPTY_N) + $display("Error: \"RespHandleSQ.bsv\", line 1661, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload and\n RL_sq_respHandleSQ_errFlushIncomingResp called conflicting methods first and\n deq of module instance respPktPipe_metaDataQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp && + respPktPipe_metaDataQ_EMPTY_N) + $display("Error: \"RespHandleSQ.bsv\", line 1661, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload and\n RL_sq_respHandleSQ_errFlushIncomingResp called conflicting methods deq and\n deq of module instance respPktPipe_metaDataQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp) + $display("Error: \"RespHandleSQ.bsv\", line 1661, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload and\n RL_sq_respHandleSQ_errFlushIncomingResp called conflicting methods notEmpty\n and deq of module instance respPktPipe_metaDataQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp && + respPktPipe_metaDataQ_EMPTY_N) + $display("Error: \"RespHandleSQ.bsv\", line 1661, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload and\n RL_sq_respHandleSQ_errFlushIncomingResp called conflicting methods enq and\n enq of module instance sq_respHandleSQ_incomingRespQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp && + respPktPipe_metaDataQ_EMPTY_N) + $display("Error: \"RespHandleSQ.bsv\", line 1661, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload and\n RL_sq_respHandleSQ_discardGhostResp called conflicting methods first and deq\n of module instance respPktPipe_metaDataQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp && + respPktPipe_metaDataQ_EMPTY_N) + $display("Error: \"RespHandleSQ.bsv\", line 1661, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload and\n RL_sq_respHandleSQ_discardGhostResp called conflicting methods deq and deq\n of module instance respPktPipe_metaDataQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp) + $display("Error: \"RespHandleSQ.bsv\", line 1661, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload and\n RL_sq_respHandleSQ_discardGhostResp called conflicting methods notEmpty and\n deq of module instance respPktPipe_metaDataQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp && + respPktPipe_metaDataQ_EMPTY_N) + $display("Error: \"RespHandleSQ.bsv\", line 1661, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload and\n RL_sq_respHandleSQ_discardGhostResp called conflicting methods enq and enq\n of module instance sq_respHandleSQ_incomingRespQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_errOccurredReg && + sq_respHandleSQ_retryFlushReg && + (!respPktPipe_metaDataQ_EMPTY_N || + sq_respHandleSQ_incomingRespQ_FULL_N) && + _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1661, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload and\n RL_sq_respHandleSQ_handleRespByType called conflicting methods read and\n write of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_errOccurredReg && + sq_respHandleSQ_retryFlushReg && + (!respPktPipe_metaDataQ_EMPTY_N || + sq_respHandleSQ_incomingRespQ_FULL_N) && + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1661, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload and\n RL_sq_respHandleSQ_recvRespHeader called conflicting methods read and write\n of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_errOccurredReg && + (!respPktPipe_metaDataQ_EMPTY_N || + sq_respHandleSQ_incomingRespQ_FULL_N) && + !sq_respHandleSQ_recvErrRespReg && + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvRetryRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1661, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload and\n RL_sq_respHandleSQ_recvRespHeader called conflicting methods read and write\n of module instance sq_respHandleSQ_retryFlushReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_pendingWorkReqBuf_emptyReg) + begin + v__h122840 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_pendingWorkReqBuf_emptyReg) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h122840, + "\"RespHandleSQ.bsv\", line 1636, column 13\n", + "pendingWR notEmpty assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_pendingWorkReqBuf_emptyReg) + $display("pendingWorkReqPipeIn.notEmpty="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_pendingWorkReqBuf_emptyReg) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_pendingWorkReqBuf_emptyReg) + $display(" should be true, when cntrlStatus.comm.isRTS="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_pendingWorkReqBuf_emptyReg) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_pendingWorkReqBuf_emptyReg) + $display(", inRetryState="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_pendingWorkReqBuf_emptyReg) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_pendingWorkReqBuf_emptyReg) + $display(", retryFlushReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_pendingWorkReqBuf_emptyReg) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_pendingWorkReqBuf_emptyReg) + $display(", errOccurredReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_pendingWorkReqBuf_emptyReg) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_pendingWorkReqBuf_emptyReg) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1633, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushDone and\n RL_sq_respHandleSQ_handleRespByType called conflicting methods read and\n write of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1633, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushDone and\n RL_sq_respHandleSQ_recvRespHeader called conflicting methods read and write\n of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_recvErrRespReg && + !sq_respHandleSQ_errOccurredReg && + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvRetryRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1633, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_retryFlushDone and\n RL_sq_respHandleSQ_recvRespHeader called conflicting methods read and write\n of module instance sq_respHandleSQ_retryFlushReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_startPreRetry && + sq_retryHandler_retryReasonReg == 3'd0) + begin + v__h36446 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_startPreRetry && + sq_retryHandler_retryReasonReg == 3'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h36446, + "\"RetryHandleSQ.bsv\", line 557, column 13\n", + "retryReasonReg assertion @ mkRetryHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_startPreRetry && + sq_retryHandler_retryReasonReg == 3'd0) + $display("retryReasonReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_startPreRetry && + sq_retryHandler_retryReasonReg == 3'd0) + $display("RETRY_REASON_NOT_RETRY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_startPreRetry && + sq_retryHandler_retryReasonReg == 3'd0) + $display(" should not be RETRY_REASON_NOT_RETRY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_startPreRetry && + sq_retryHandler_retryReasonReg == 3'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_startPreRetry && + sq_pendingWorkReqBuf_scanStateReg != 2'd0 && + sq_pendingWorkReqBuf_emptyReg) + begin + v__h36711 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_startPreRetry && + sq_pendingWorkReqBuf_scanStateReg != 2'd0 && + sq_pendingWorkReqBuf_emptyReg) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h36711, + "\"SpecialFIFOF.bsv\", line 482, column 17\n", + "isEmpty assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_startPreRetry && + sq_pendingWorkReqBuf_scanStateReg != 2'd0 && + sq_pendingWorkReqBuf_emptyReg) + $display("cannot restart scan when isEmpty="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_startPreRetry && + sq_pendingWorkReqBuf_scanStateReg != 2'd0 && + sq_pendingWorkReqBuf_emptyReg) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_startPreRetry && + sq_pendingWorkReqBuf_scanStateReg != 2'd0 && + sq_pendingWorkReqBuf_emptyReg) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_checkPartialRetry && + sq_retryHandler_retryReasonReg != 3'd4 && + sq_retryHandler_retryWorkReqIdReg != + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463) + begin + v__h37491 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_checkPartialRetry && + sq_retryHandler_retryReasonReg != 3'd4 && + sq_retryHandler_retryWorkReqIdReg != + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h37491, + "\"RetryHandleSQ.bsv\", line 646, column 17\n", + "retryWorkReqIdReg assertion @ mkRetryHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_checkPartialRetry && + sq_retryHandler_retryReasonReg != 3'd4 && + sq_retryHandler_retryWorkReqIdReg != + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463) + $display("retryWorkReqIdReg=%h should == firstRetryWR.wr.id=%h", + sq_retryHandler_retryWorkReqIdReg, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_checkPartialRetry && + sq_retryHandler_retryReasonReg != 3'd4 && + sq_retryHandler_retryWorkReqIdReg != + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_checkPartialRetry && + NOT_IF_sq_retryHandler_retryReasonReg_431_EQ_4_ETC___d1516) + begin + v__h38910 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_checkPartialRetry && + NOT_IF_sq_retryHandler_retryReasonReg_431_EQ_4_ETC___d1516) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h38910, + "\"RetryHandleSQ.bsv\", line 659, column 13\n", + "retryStartPSN assertion @ mkRetryHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_checkPartialRetry && + NOT_IF_sq_retryHandler_retryReasonReg_431_EQ_4_ETC___d1516) + $display("retryStartPSN=%h should between startPSN=%h and endPSN=%h inclusively", + v__h37423, + value__h99939, + value__h99966); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_checkPartialRetry && + NOT_IF_sq_retryHandler_retryReasonReg_431_EQ_4_ETC___d1516) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_retryCntrlStateReg_port1__read == 2'd1) + begin + v__h35350 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_retryCntrlStateReg_port1__read == 2'd1) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h35350, + "\"RetryHandleSQ.bsv\", line 425, column 13\n", + "hasRetryErr assertion @ mkRetryHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_retryCntrlStateReg_port1__read == 2'd1) + $display("hasRetryErr="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_retryCntrlStateReg_port1__read == 2'd1) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_retryCntrlStateReg_port1__read == 2'd1) + $display(" should be false and retryCntrlStateReg[1]="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_retryCntrlStateReg_port1__read == 2'd1) + $display("RETRY_CNTRL_ST_RETRY_LIMIT_EXC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_retryCntrlStateReg_port1__read == 2'd1) + $display(" should != RETRY_CNTRL_ST_RETRY_LIMIT_EXC", + " when updateRetryCntQ.notEmpty="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_retryCntrlStateReg_port1__read == 2'd1 && + sq_retryHandler_updateRetryCntQ_EMPTY_N) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_retryCntrlStateReg_port1__read == 2'd1 && + !sq_retryHandler_updateRetryCntQ_EMPTY_N) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_retryCntrlStateReg_port1__read == 2'd1) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd2 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd3 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd4 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd1) + begin + v__h35692 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd2 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd3 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd4 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd1) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h35692, + "\"RetryHandleSQ.bsv\", line 168, column 25\n", + "unreachible case in decRetryCntByReason() @ mkRetryHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd2 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd3 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd4 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd1) + $display("retryReason="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd2 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd3 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd4 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd1 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] == 3'd0) + $display("RETRY_REASON_NOT_RETRY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd2 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd3 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd4 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd1 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd0) + $display("RETRY_REASON_TIMEOUT"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd2 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd3 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd4 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd1) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_pendingWorkReqBuf_emptyReg) + begin + v__h35995 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_pendingWorkReqBuf_emptyReg) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h35995, + "\"RetryHandleSQ.bsv\", line 455, column 17\n", + "pendingWorkReqNotEmpty assertion @ mkRetryHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_pendingWorkReqBuf_emptyReg) + $display("pendingWorkReqNotEmpty="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_pendingWorkReqBuf_emptyReg) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_pendingWorkReqBuf_emptyReg) + $display(" should be true when maybeRetryReason="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_pendingWorkReqBuf_emptyReg) + $display("tagged Valid "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_pendingWorkReqBuf_emptyReg && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] == 3'd0) + $display("RETRY_REASON_NOT_RETRY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_pendingWorkReqBuf_emptyReg && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] == 3'd1) + $display("RETRY_REASON_RNR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_pendingWorkReqBuf_emptyReg && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] == 3'd2) + $display("RETRY_REASON_SEQ_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_pendingWorkReqBuf_emptyReg && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] == 3'd3) + $display("RETRY_REASON_IMPLICIT"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_pendingWorkReqBuf_emptyReg && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd0 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd1 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd2 && + sq_retryHandler_updateRetryCntQ_D_OUT[2:0] != 3'd3) + $display("RETRY_REASON_TIMEOUT"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryCntUpdate && + sq_retryHandler_updateRetryCntQ_D_OUT[3] && + sq_pendingWorkReqBuf_emptyReg) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_pendingWorkReqBuf_emptyReg) + begin + v__h34459 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_pendingWorkReqBuf_emptyReg) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h34459, + "\"RetryHandleSQ.bsv\", line 380, column 17\n", + "pendingWorkReqNotEmpty assertion @ mkRetryHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_pendingWorkReqBuf_emptyReg) + $display("pendingWorkReqNotEmpty="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_pendingWorkReqBuf_emptyReg) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_pendingWorkReqBuf_emptyReg) + $display(" should be true when retryReqOrResetRetryCnt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_pendingWorkReqBuf_emptyReg) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_pendingWorkReqBuf_emptyReg) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd1 && + !sq_retryHandler_retryActionQ_D_OUT[5]) + begin + v__h34954 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd1 && + !sq_retryHandler_retryActionQ_D_OUT[5]) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h34954, + "\"RetryHandleSQ.bsv\", line 396, column 25\n", + "retryRnrTimer assertion @ mkRetryHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd1 && + !sq_retryHandler_retryActionQ_D_OUT[5]) + $display("retryRnrTimer="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd1 && + !sq_retryHandler_retryActionQ_D_OUT[5]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd1 && + !sq_retryHandler_retryActionQ_D_OUT[5]) + $display(" should be valid when retryReason="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd1 && + !sq_retryHandler_retryActionQ_D_OUT[5] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd0) + $display("RETRY_REASON_NOT_RETRY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd1 && + !sq_retryHandler_retryActionQ_D_OUT[5]) + $display("RETRY_REASON_RNR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd1 && + !sq_retryHandler_retryActionQ_D_OUT[5] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd2) + $display("RETRY_REASON_SEQ_ERR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd1 && + !sq_retryHandler_retryActionQ_D_OUT[5] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd3) + $display("RETRY_REASON_IMPLICIT"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_retryHandler_handleRetryAction && + sq_retryHandler_retryActionQ_D_OUT[97] && + sq_retryHandler_retryActionQ_D_OUT[8:6] == 3'd1 && + !sq_retryHandler_retryActionQ_D_OUT[5]) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + sq_respHandleSQ_preStageDeqPendingWorkReqReg && + (sq_pendingWorkReqBuf_scanStartReg_port1__read || + sq_pendingWorkReqBuf_preScanRestartReg_port1__read)) + begin + v__h98868 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + sq_respHandleSQ_preStageDeqPendingWorkReqReg && + (sq_pendingWorkReqBuf_scanStartReg_port1__read || + sq_pendingWorkReqBuf_preScanRestartReg_port1__read)) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h98868, + "\"SpecialFIFOF.bsv\", line 434, column 17\n", + "dequeue assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + sq_respHandleSQ_preStageDeqPendingWorkReqReg && + (sq_pendingWorkReqBuf_scanStartReg_port1__read || + sq_pendingWorkReqBuf_preScanRestartReg_port1__read)) + $display("cannot dequeue when scanStartReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_pendingWorkReqBuf_scanStartReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + !sq_pendingWorkReqBuf_scanStartReg_port1__read) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + sq_respHandleSQ_preStageDeqPendingWorkReqReg && + (sq_pendingWorkReqBuf_scanStartReg_port1__read || + sq_pendingWorkReqBuf_preScanRestartReg_port1__read)) + $display(" or preScanRestartReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_pendingWorkReqBuf_scanStartReg_port1__read && + !sq_pendingWorkReqBuf_preScanRestartReg_port1__read) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + sq_respHandleSQ_preStageDeqPendingWorkReqReg && + (sq_pendingWorkReqBuf_scanStartReg_port1__read || + sq_pendingWorkReqBuf_preScanRestartReg_port1__read)) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + begin + v__h99106 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h99106, + "\"RespHandleSQ.bsv\", line 521, column 17\n", + "deqPendingWorkReq assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("deqPendingWorkReq="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(" should be true when rdmaRespType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + sq_respHandleSQ_preStageWorkReqAckTypeReg != 4'd8 && + !sq_respHandleSQ_recvRetryRespReg && + sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("RDMA_RESP_NORMAL"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + sq_respHandleSQ_preStageWorkReqAckTypeReg != 4'd8 && + !sq_respHandleSQ_recvRetryRespReg && + sq_respHandleSQ_preStageRespTypeReg == 2'd2 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("RDMA_RESP_ERROR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", recvRetryRespReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", recvErrRespReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", and bth.psn=%h", + sq_respHandleSQ_preStageReqPktInfoReg[62:39], + ", bth.opcode="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd0) + $display("SEND_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd1) + $display("SEND_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd2) + $display("SEND_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd3) + $display("SEND_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd4) + $display("SEND_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd5) + $display("SEND_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd6) + $display("RDMA_WRITE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd7) + $display("RDMA_WRITE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd8) + $display("RDMA_WRITE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd9) + $display("RDMA_WRITE_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd10) + $display("RDMA_WRITE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd11) + $display("RDMA_WRITE_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd12) + $display("RDMA_READ_REQUEST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd13) + $display("RDMA_READ_RESPONSE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd14) + $display("RDMA_READ_RESPONSE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd15) + $display("RDMA_READ_RESPONSE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd16) + $display("RDMA_READ_RESPONSE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd17) + $display("ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd18) + $display("ATOMIC_ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd19) + $display("COMPARE_SWAP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd20) + $display("FETCH_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd21) + $display("RESYNC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[131:127] == 5'd22) + $display("SEND_LAST_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4479) + $display("SEND_ONLY_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(" is the last or only response, AETH="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("AETH { ", "rsvd: "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("'h%h", 1'd0); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", ", "code: "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[37:36] == 2'd0) + $display("AETH_CODE_ACK"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[37:36] == 2'd1) + $display("AETH_CODE_RNR"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[37:36] == 2'd2) + $display("AETH_CODE_RSVD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_respHandleSQ_preStageReqPktInfoReg[37:36] != 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[37:36] != 2'd1 && + sq_respHandleSQ_preStageReqPktInfoReg[37:36] != 2'd2) + $display("AETH_CODE_NAK"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", ", "value: "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("'h%h", sq_respHandleSQ_preStageReqPktInfoReg[35:31]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", ", "msn: "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("'h%h", sq_respHandleSQ_preStageReqPktInfoReg[30:7], " }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", pending WR="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("PendingWorkReq { wr="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("WorkReq { ID=%h", + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1463, + ", opcode="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753) + $display("IBV_WR_RDMA_WRITE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761) + $display("IBV_WR_RDMA_WRITE_WITH_IMM"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3770) + $display("IBV_WR_SEND"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3783) + $display("IBV_WR_SEND_WITH_IMM"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3794) + $display("IBV_WR_RDMA_READ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3806) + $display("IBV_WR_ATOMIC_CMP_AND_SWP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3822) + $display("IBV_WR_ATOMIC_FETCH_AND_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3836) + $display("IBV_WR_LOCAL_INV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3851) + $display("IBV_WR_BIND_MW"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3870) + $display("IBV_WR_SEND_WITH_INV"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3887) + $display("IBV_WR_TSO"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3761 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3905) + $display("IBV_WR_DRIVER1"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3927) + $display("IBV_WR_RDMA_READ_RESP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3947) + $display("IBV_WR_FLUSH"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d3753 && + NOT_SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3962) + $display("IBV_WR_ATOMIC_WRITE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", flags="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display("FlagsType { flags: ", enumBits__h93928, " = "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + enumBits__h93928[0]) + $display("IBV_SEND_FENCE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !enumBits__h93928[0]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + enumBits__h93928[1]) + $display("IBV_SEND_SIGNALED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !enumBits__h93928[1]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + enumBits__h93928[2]) + $display("IBV_SEND_SOLICITED", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !enumBits__h93928[2]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + enumBits__h93928[3]) + $display("IBV_SEND_INLINE", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !enumBits__h93928[3]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + enumBits__h93928[4]) + $display("IBV_SEND_IP_CSUM", " | "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !enumBits__h93928[4]) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + enumBits__h93928 == 5'd0) + $display("IBV_SEND_NO_FLAGS", " }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + enumBits__h93928 != 5'd0) + $display("}"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", raddr=%h, rkey=%h, len=%0d, laddr=%h, lkey=%h, sqpn=%h", + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1525, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1561, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1562, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1592, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1618, + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BITS_ETC___d1619, + ", solicited="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3994) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d3994) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", comp="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4003) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4003) + $display("tagged Valid ", "'h%h", value__h99740); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", swap="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4012) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4012) + $display("tagged Valid ", "'h%h", value__h99767); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", immDt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4021) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4021) + $display("tagged Valid ", "'h%h", value__h99797); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", rkey2Inv="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4030) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4030) + $display("tagged Valid ", "'h%h", value__h99824); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", srqn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4039) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4039) + $display("tagged Valid ", "'h%h", value__h99854); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", dqpn="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4048) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4048) + $display("tagged Valid ", "'h%h", value__h99881); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", qkey="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4057) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4057) + $display("tagged Valid ", "'h%h", value__h99908); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(" }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", startPSN="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1473) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1473) + $display("tagged Valid ", "'h%h", value__h99939); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", endPSN="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1491) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d1491) + $display("tagged Valid ", "'h%h", value__h99966); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", pktNum="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649) + $display("tagged Valid %0d", + SEL_ARR_IF_sq_pendingWorkReqBuf_dataVec_0_59_B_ETC___d1650); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_sq_pendingWorkReqBuf_dataVec_0_59_BIT__ETC___d1649) + $display("tagged Invalid PktNum"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(", isOnlyReqPkt="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074) + $display("tagged Valid "); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074 && + SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4083) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4074 && + !SEL_ARR_NOT_sq_pendingWorkReqBuf_dataVec_0_59__ETC___d4083) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $display(" }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + NOT_sq_respHandleSQ_preStageWorkReqAckTypeReg__ETC___d4352 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + sq_respHandleSQ_preStageDeqPktMetaDataReg && + respPktPipe_metaDataQ_EMPTY_N) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload called conflicting\n methods deq and deq of module instance respPktPipe_metaDataQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + respPktPipe_metaDataQ_EMPTY_N) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload called conflicting\n methods enq and enq of module instance sq_respHandleSQ_incomingRespQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload called conflicting\n methods read and write of module instance sq_respHandleSQ_preStageStateReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + sq_respHandleSQ_preStageWorkReqAckTypeReg != 4'd8 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses999) && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + sq_retryHandler_retryHandleStateReg == 3'd7) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_retryFlushDone called conflicting methods read and write\n of module instance sq_respHandleSQ_recvRetryRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_retryFlushDone && + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd2 && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N && + sq_retryHandler_retryHandleStateReg == 3'd7) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_retryFlushDone called conflicting methods read and write\n of module instance sq_respHandleSQ_retryFlushReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp && + sq_respHandleSQ_preStageDeqPktMetaDataReg) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_errFlushIncomingResp called conflicting methods deq and\n deq of module instance respPktPipe_metaDataQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_errFlushIncomingResp called conflicting methods enq and\n enq of module instance sq_respHandleSQ_incomingRespQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp && + sq_respHandleSQ_preStageDeqPktMetaDataReg) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_discardGhostResp called conflicting methods deq and deq\n of module instance respPktPipe_metaDataQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_discardGhostResp called conflicting methods enq and enq\n of module instance sq_respHandleSQ_incomingRespQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd2 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N && + _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_handleRespByType called conflicting methods read and\n write of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd2 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N && + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_recvRespHeader called conflicting methods read and write\n of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + sq_respHandleSQ_preStageWorkReqAckTypeReg != 4'd8 && + (sq_respHandleSQ_preStageRespTypeReg == 2'd0 && + sq_respHandleSQ_preStageReqPktInfoReg[5] || + sq_respHandleSQ_preStageRespTypeReg == 2'd2 || + __duses999) && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvRetryRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_recvRespHeader called conflicting methods read and write\n of module instance sq_respHandleSQ_recvRetryRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + cntrl_stateReg == 4'd3 && + sq_respHandleSQ_preStageStateReg == 2'd2 && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N && + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvRetryRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_recvRespHeader called conflicting methods read and write\n of module instance sq_respHandleSQ_retryFlushReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_preProcRespInfo called conflicting methods read and write\n of module instance sq_respHandleSQ_preStageDeqPktMetaDataReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_preProcRespInfo called conflicting methods read and write\n of module instance sq_respHandleSQ_preStageDeqPendingWorkReqReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_preProcRespInfo called conflicting methods read and write\n of module instance sq_respHandleSQ_preStageWorkReqAckTypeReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_preProcRespInfo called conflicting methods read and write\n of module instance sq_respHandleSQ_preStageWorkCompReqTypeReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_preProcRespInfo called conflicting methods read and write\n of module instance sq_respHandleSQ_retryResetReqReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_preProcRespInfo && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_preProcRespInfo called conflicting methods read and write\n of module instance sq_respHandleSQ_preStageStateReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_preBuildRespInfo called conflicting methods read and\n write of module instance sq_respHandleSQ_preStagePktMetaDataReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_preBuildRespInfo called conflicting methods read and\n write of module instance sq_respHandleSQ_preStageReqPktInfoReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + sq_respHandleSQ_preStageWorkReqAckTypeReg != 4'd8 && + !sq_respHandleSQ_preStageDeqPendingWorkReqReg && + !sq_respHandleSQ_recvRetryRespReg) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_preBuildRespInfo called conflicting methods read and\n write of module instance sq_respHandleSQ_preStageRespTypeReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_preBuildRespInfo && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_retryFlushReg && + !sq_respHandleSQ_errOccurredReg && + !sq_respHandleSQ_recvErrRespReg && + !sq_pendingWorkReqBuf_emptyReg && + (!sq_respHandleSQ_preStageDeqPendingWorkReqReg || + sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + (!sq_respHandleSQ_preStageDeqPktMetaDataReg || + respPktPipe_metaDataQ_EMPTY_N) && + sq_respHandleSQ_incomingRespQ_FULL_N) + $display("Error: \"RespHandleSQ.bsv\", line 484, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq and\n RL_sq_respHandleSQ_preBuildRespInfo called conflicting methods read and\n write of module instance sq_respHandleSQ_preStageStateReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + (sq_pendingWorkReqBuf_scanStartReg_port1__read || + sq_pendingWorkReqBuf_preScanRestartReg_port1__read)) + begin + v__h120515 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + (sq_pendingWorkReqBuf_scanStartReg_port1__read || + sq_pendingWorkReqBuf_preScanRestartReg_port1__read)) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h120515, + "\"SpecialFIFOF.bsv\", line 434, column 17\n", + "dequeue assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + (sq_pendingWorkReqBuf_scanStartReg_port1__read || + sq_pendingWorkReqBuf_preScanRestartReg_port1__read)) + $display("cannot dequeue when scanStartReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + sq_pendingWorkReqBuf_scanStartReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + !sq_pendingWorkReqBuf_scanStartReg_port1__read) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + (sq_pendingWorkReqBuf_scanStartReg_port1__read || + sq_pendingWorkReqBuf_preScanRestartReg_port1__read)) + $display(" or preScanRestartReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + sq_pendingWorkReqBuf_scanStartReg_port1__read && + !sq_pendingWorkReqBuf_preScanRestartReg_port1__read) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + (sq_pendingWorkReqBuf_scanStartReg_port1__read || + sq_pendingWorkReqBuf_preScanRestartReg_port1__read)) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload && + respPktPipe_metaDataQ_EMPTY_N) + $display("Error: \"RespHandleSQ.bsv\", line 1534, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_errFlushWorkReq and\n RL_sq_respHandleSQ_retryFlushPktMetaDataAndPayload called conflicting\n methods enq and enq of module instance sq_respHandleSQ_incomingRespQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_errFlushIncomingResp) + $display("Error: \"RespHandleSQ.bsv\", line 1534, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_errFlushWorkReq and\n RL_sq_respHandleSQ_errFlushIncomingResp called conflicting methods enq and\n enq of module instance sq_respHandleSQ_incomingRespQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_checkTimeOutErr && + sq_retryHandler_timeOutNotificationQ_D_OUT) + $display("Error: \"RespHandleSQ.bsv\", line 1534, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_errFlushWorkReq and\n RL_sq_respHandleSQ_checkTimeOutErr called conflicting methods port0__read\n and port0__write of module instance sq_respHandleSQ_hasTimeOutErrReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_discardGhostResp) + $display("Error: \"RespHandleSQ.bsv\", line 1534, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_errFlushWorkReq and\n RL_sq_respHandleSQ_discardGhostResp called conflicting methods enq and enq\n of module instance sq_respHandleSQ_incomingRespQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_handleRespByType && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_errOccurredReg && + !sq_pendingWorkReqBuf_emptyReg && + (sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + sq_respHandleSQ_incomingRespQ_FULL_N && + _write_RL_sq_respHandleSQ_handleRespByType_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1534, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_errFlushWorkReq and\n RL_sq_respHandleSQ_handleRespByType called conflicting methods read and\n write of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_recvRespHeader && + cntrl_stateReg == 4'd3 && + !sq_respHandleSQ_errOccurredReg && + !sq_pendingWorkReqBuf_emptyReg && + (sq_pendingWorkReqBuf_scanStateReg == 2'd0 || + sq_pendingWorkReqBuf_scanStateReg == 2'd2) && + sq_respHandleSQ_incomingRespQ_FULL_N && + _write_RL_sq_respHandleSQ_recvRespHeader_EN_sq_respHandleSQ_recvErrRespReg_wget) + $display("Error: \"RespHandleSQ.bsv\", line 1534, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_errFlushWorkReq and\n RL_sq_respHandleSQ_recvRespHeader called conflicting methods read and write\n of module instance sq_respHandleSQ_recvErrRespReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_errFlushWorkReq && + WILL_FIRE_RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq) + $display("Error: \"RespHandleSQ.bsv\", line 1534, column 10: (R0002)\n Conflict-free rules RL_sq_respHandleSQ_errFlushWorkReq and\n RL_sq_respHandleSQ_deqPktMetaDataOrWorkReq called conflicting methods enq\n and enq of module instance sq_respHandleSQ_incomingRespQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + begin + v__h29295 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h29295, + "\"SpecialFIFOF.bsv\", line 301, column 13\n", + "scanStartReg and popReg assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("scanStartReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display(", popReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display(" cannot both be true"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + begin + v__h29442 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h29442, + "\"SpecialFIFOF.bsv\", line 310, column 13\n", + "preScanRestartReg and popReg assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("preScanRestartReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display(", popReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display(" cannot both be true"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + (sq_pendingWorkReqBuf_scanStateReg == 2'd2 || + sq_pendingWorkReqBuf_scanStateReg == 2'd1) && + sq_pendingWorkReqBuf_itemCnt_Q_OUT == 3'd0) + begin + v__h29608 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + (sq_pendingWorkReqBuf_scanStateReg == 2'd2 || + sq_pendingWorkReqBuf_scanStateReg == 2'd1) && + sq_pendingWorkReqBuf_itemCnt_Q_OUT == 3'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h29608, + "\"SpecialFIFOF.bsv\", line 321, column 17\n", + "notEmpty assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd1 && + sq_pendingWorkReqBuf_itemCnt_Q_OUT == 3'd0) + $display("itemCnt=%0d", + sq_pendingWorkReqBuf_itemCnt_Q_OUT, + " cannot be zero when scanStateReg=", + "SCAN_Q_PRE_SCAN_MODE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_itemCnt_Q_OUT == 3'd0) + $display("itemCnt=%0d", + sq_pendingWorkReqBuf_itemCnt_Q_OUT, + " cannot be zero when scanStateReg=", + "SCAN_Q_SCAN_MODE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + (sq_pendingWorkReqBuf_scanStateReg == 2'd2 || + sq_pendingWorkReqBuf_scanStateReg == 2'd1) && + sq_pendingWorkReqBuf_itemCnt_Q_OUT == 3'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_emptyReg && + sq_pendingWorkReqBuf_itemCnt_Q_OUT != 3'd0) + begin + v__h29763 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_emptyReg && + sq_pendingWorkReqBuf_itemCnt_Q_OUT != 3'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h29763, + "\"SpecialFIFOF.bsv\", line 333, column 17\n", + "isEmpty assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_emptyReg && + sq_pendingWorkReqBuf_itemCnt_Q_OUT != 3'd0) + $display("itemCnt=%0d should be zero when isEmpty=", + sq_pendingWorkReqBuf_itemCnt_Q_OUT, + "True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_emptyReg && + sq_pendingWorkReqBuf_itemCnt_Q_OUT != 3'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + !sq_pendingWorkReqBuf_emptyReg && + sq_pendingWorkReqBuf_fullReg && + sq_pendingWorkReqBuf_itemCnt_Q_OUT != 3'd4) + begin + v__h29891 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + !sq_pendingWorkReqBuf_emptyReg && + sq_pendingWorkReqBuf_fullReg && + sq_pendingWorkReqBuf_itemCnt_Q_OUT != 3'd4) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h29891, + "\"SpecialFIFOF.bsv\", line 343, column 17\n", + "isFull assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + !sq_pendingWorkReqBuf_emptyReg && + sq_pendingWorkReqBuf_fullReg && + sq_pendingWorkReqBuf_itemCnt_Q_OUT != 3'd4) + $display("itemCnt=%0d should == qSz=%0d when isFull=", + sq_pendingWorkReqBuf_itemCnt_Q_OUT, + $signed(32'd4), + "True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + !sq_pendingWorkReqBuf_emptyReg && + sq_pendingWorkReqBuf_fullReg && + sq_pendingWorkReqBuf_itemCnt_Q_OUT != 3'd4) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_popReg_port1__read && + sq_pendingWorkReqBuf_deqPtrReg_90_EQ_sq_pendin_ETC___d1031) + begin + v__h30076 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_popReg_port1__read && + sq_pendingWorkReqBuf_deqPtrReg_90_EQ_sq_pendin_ETC___d1031) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h30076, + "\"SpecialFIFOF.bsv\", line 357, column 21\n", + "dequeue beyond scan assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_popReg_port1__read && + sq_pendingWorkReqBuf_deqPtrReg_90_EQ_sq_pendin_ETC___d1031 && + sq_pendingWorkReqBuf_pushReg_port1__read[679] && + sq_pendingWorkReqBuf_emptyReg && + sq_pendingWorkReqBuf_fullReg) + $display("deqPtrReg=%0d should != scanPtrReg=%0d + 1", + sq_pendingWorkReqBuf_deqPtrReg, + sq_pendingWorkReqBuf_scanPtrReg, + " when enqPtrReg=%0d", + sq_pendingWorkReqBuf_enqPtrReg, + ", scanStateReg=", + "SCAN_Q_SCAN_MODE", + ", popReg=", + "True", + ", hasPush=", + "True", + ", scanCnt=%0d", + sq_pendingWorkReqBuf_scanCnt_Q_OUT, + ", isEmpty=", + "True", + ", isFull=", + "True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_popReg_port1__read && + sq_pendingWorkReqBuf_deqPtrReg_90_EQ_sq_pendin_ETC___d1031 && + sq_pendingWorkReqBuf_pushReg_port1__read[679] && + sq_pendingWorkReqBuf_emptyReg && + !sq_pendingWorkReqBuf_fullReg) + $display("deqPtrReg=%0d should != scanPtrReg=%0d + 1", + sq_pendingWorkReqBuf_deqPtrReg, + sq_pendingWorkReqBuf_scanPtrReg, + " when enqPtrReg=%0d", + sq_pendingWorkReqBuf_enqPtrReg, + ", scanStateReg=", + "SCAN_Q_SCAN_MODE", + ", popReg=", + "True", + ", hasPush=", + "True", + ", scanCnt=%0d", + sq_pendingWorkReqBuf_scanCnt_Q_OUT, + ", isEmpty=", + "True", + ", isFull=", + "False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_popReg_port1__read && + sq_pendingWorkReqBuf_deqPtrReg_90_EQ_sq_pendin_ETC___d1031 && + sq_pendingWorkReqBuf_pushReg_port1__read[679] && + !sq_pendingWorkReqBuf_emptyReg && + sq_pendingWorkReqBuf_fullReg) + $display("deqPtrReg=%0d should != scanPtrReg=%0d + 1", + sq_pendingWorkReqBuf_deqPtrReg, + sq_pendingWorkReqBuf_scanPtrReg, + " when enqPtrReg=%0d", + sq_pendingWorkReqBuf_enqPtrReg, + ", scanStateReg=", + "SCAN_Q_SCAN_MODE", + ", popReg=", + "True", + ", hasPush=", + "True", + ", scanCnt=%0d", + sq_pendingWorkReqBuf_scanCnt_Q_OUT, + ", isEmpty=", + "False", + ", isFull=", + "True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_popReg_port1__read && + sq_pendingWorkReqBuf_deqPtrReg_90_EQ_sq_pendin_ETC___d1031 && + sq_pendingWorkReqBuf_pushReg_port1__read[679] && + !sq_pendingWorkReqBuf_emptyReg && + !sq_pendingWorkReqBuf_fullReg) + $display("deqPtrReg=%0d should != scanPtrReg=%0d + 1", + sq_pendingWorkReqBuf_deqPtrReg, + sq_pendingWorkReqBuf_scanPtrReg, + " when enqPtrReg=%0d", + sq_pendingWorkReqBuf_enqPtrReg, + ", scanStateReg=", + "SCAN_Q_SCAN_MODE", + ", popReg=", + "True", + ", hasPush=", + "True", + ", scanCnt=%0d", + sq_pendingWorkReqBuf_scanCnt_Q_OUT, + ", isEmpty=", + "False", + ", isFull=", + "False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_popReg_port1__read && + sq_pendingWorkReqBuf_deqPtrReg_90_EQ_sq_pendin_ETC___d1031 && + !sq_pendingWorkReqBuf_pushReg_port1__read[679] && + sq_pendingWorkReqBuf_emptyReg && + sq_pendingWorkReqBuf_fullReg) + $display("deqPtrReg=%0d should != scanPtrReg=%0d + 1", + sq_pendingWorkReqBuf_deqPtrReg, + sq_pendingWorkReqBuf_scanPtrReg, + " when enqPtrReg=%0d", + sq_pendingWorkReqBuf_enqPtrReg, + ", scanStateReg=", + "SCAN_Q_SCAN_MODE", + ", popReg=", + "True", + ", hasPush=", + "False", + ", scanCnt=%0d", + sq_pendingWorkReqBuf_scanCnt_Q_OUT, + ", isEmpty=", + "True", + ", isFull=", + "True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_popReg_port1__read && + sq_pendingWorkReqBuf_deqPtrReg_90_EQ_sq_pendin_ETC___d1031 && + !sq_pendingWorkReqBuf_pushReg_port1__read[679] && + sq_pendingWorkReqBuf_emptyReg && + !sq_pendingWorkReqBuf_fullReg) + $display("deqPtrReg=%0d should != scanPtrReg=%0d + 1", + sq_pendingWorkReqBuf_deqPtrReg, + sq_pendingWorkReqBuf_scanPtrReg, + " when enqPtrReg=%0d", + sq_pendingWorkReqBuf_enqPtrReg, + ", scanStateReg=", + "SCAN_Q_SCAN_MODE", + ", popReg=", + "True", + ", hasPush=", + "False", + ", scanCnt=%0d", + sq_pendingWorkReqBuf_scanCnt_Q_OUT, + ", isEmpty=", + "True", + ", isFull=", + "False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_popReg_port1__read && + sq_pendingWorkReqBuf_deqPtrReg_90_EQ_sq_pendin_ETC___d1031 && + !sq_pendingWorkReqBuf_pushReg_port1__read[679] && + !sq_pendingWorkReqBuf_emptyReg && + sq_pendingWorkReqBuf_fullReg) + $display("deqPtrReg=%0d should != scanPtrReg=%0d + 1", + sq_pendingWorkReqBuf_deqPtrReg, + sq_pendingWorkReqBuf_scanPtrReg, + " when enqPtrReg=%0d", + sq_pendingWorkReqBuf_enqPtrReg, + ", scanStateReg=", + "SCAN_Q_SCAN_MODE", + ", popReg=", + "True", + ", hasPush=", + "False", + ", scanCnt=%0d", + sq_pendingWorkReqBuf_scanCnt_Q_OUT, + ", isEmpty=", + "False", + ", isFull=", + "True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_popReg_port1__read && + sq_pendingWorkReqBuf_deqPtrReg_90_EQ_sq_pendin_ETC___d1031 && + !sq_pendingWorkReqBuf_pushReg_port1__read[679] && + !sq_pendingWorkReqBuf_emptyReg && + !sq_pendingWorkReqBuf_fullReg) + $display("deqPtrReg=%0d should != scanPtrReg=%0d + 1", + sq_pendingWorkReqBuf_deqPtrReg, + sq_pendingWorkReqBuf_scanPtrReg, + " when enqPtrReg=%0d", + sq_pendingWorkReqBuf_enqPtrReg, + ", scanStateReg=", + "SCAN_Q_SCAN_MODE", + ", popReg=", + "True", + ", hasPush=", + "False", + ", scanCnt=%0d", + sq_pendingWorkReqBuf_scanCnt_Q_OUT, + ", isEmpty=", + "False", + ", isFull=", + "False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_popReg_port1__read && + sq_pendingWorkReqBuf_deqPtrReg_90_EQ_sq_pendin_ETC___d1031) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read) + begin + v__h30289 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h30289, + "\"SpecialFIFOF.bsv\", line 375, column 17\n", + "scanStopReg and preScanRestartReg assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read) + $display("scanStopReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read) + $display(", preScanRestartReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read) + $display(" cannot both be true"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd2 && + sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd1 && + sq_pendingWorkReqBuf_popReg_port1__read) + begin + v__h30463 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd1 && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h30463, + "\"SpecialFIFOF.bsv\", line 396, column 17\n", + "no pop when inPreScanMode assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd1 && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("popReg[1]="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd1 && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd1 && + sq_pendingWorkReqBuf_popReg_port1__read) + $display(" should be false when inPreScanMode="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd1 && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + sq_pendingWorkReqBuf_scanStateReg == 2'd1 && + sq_pendingWorkReqBuf_popReg_port1__read) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + (sq_pendingWorkReqBuf_itemCnt_Q_OUT > 3'd4 || + sq_pendingWorkReqBuf_itemCnt_Q_OUT < + sq_pendingWorkReqBuf_scanCnt_Q_OUT)) + begin + v__h30613 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + (sq_pendingWorkReqBuf_itemCnt_Q_OUT > 3'd4 || + sq_pendingWorkReqBuf_itemCnt_Q_OUT < + sq_pendingWorkReqBuf_scanCnt_Q_OUT)) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h30613, + "\"SpecialFIFOF.bsv\", line 406, column 13\n", + "itemCnt >= scanCnt assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + (sq_pendingWorkReqBuf_itemCnt_Q_OUT > 3'd4 || + sq_pendingWorkReqBuf_itemCnt_Q_OUT < + sq_pendingWorkReqBuf_scanCnt_Q_OUT)) + $display("valueOf(qSz)=%0d should >= itemCnt=%0d", + $signed(32'd4), + sq_pendingWorkReqBuf_itemCnt_Q_OUT, + " and itemCnt=%0d should >= scanCnt=%0d", + sq_pendingWorkReqBuf_itemCnt_Q_OUT, + sq_pendingWorkReqBuf_scanCnt_Q_OUT); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_check && + (sq_pendingWorkReqBuf_itemCnt_Q_OUT > 3'd4 || + sq_pendingWorkReqBuf_itemCnt_Q_OUT < + sq_pendingWorkReqBuf_scanCnt_Q_OUT)) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read && + sq_pendingWorkReqBuf_emptyReg) + begin + v__h24390 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read && + sq_pendingWorkReqBuf_emptyReg) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h24390, + "\"SpecialFIFOF.bsv\", line 175, column 17\n", + "isEmpty assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read && + sq_pendingWorkReqBuf_emptyReg) + $display("cannot start preScan when isEmpty="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read && + sq_pendingWorkReqBuf_emptyReg) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read && + sq_pendingWorkReqBuf_emptyReg) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + begin + v__h24503 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h24503, + "\"SpecialFIFOF.bsv\", line 180, column 17\n", + "no pop when startPreScan assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("popReg[1]="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display(" should be false when preScanStartReg[1]="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_fifoMode && + sq_pendingWorkReqBuf_preScanStartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_emptyReg) + begin + v__h24769 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_emptyReg) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h24769, + "\"SpecialFIFOF.bsv\", line 202, column 13\n", + "isEmpty assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_emptyReg) + $display("isEmpty="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_emptyReg) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_emptyReg) + $display(" should be false when inPreScanMode"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_emptyReg) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_popReg_port1__read) + begin + v__h24880 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h24880, + "\"SpecialFIFOF.bsv\", line 210, column 13\n", + "no pop when inPreScanMode assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("popReg[1]="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_popReg_port1__read) + $display(" should be false when preScanStartReg[1]="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_popReg_port1__read && + sq_pendingWorkReqBuf_preScanStartReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_popReg_port1__read && + !sq_pendingWorkReqBuf_preScanStartReg_port1__read) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_preScanMode && + sq_pendingWorkReqBuf_popReg_port1__read) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + sq_pendingWorkReqBuf_emptyReg) + begin + v__h25249 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + sq_pendingWorkReqBuf_emptyReg) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h25249, + "\"SpecialFIFOF.bsv\", line 234, column 13\n", + "isEmpty assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + sq_pendingWorkReqBuf_emptyReg) + $display("cannot scan next when isEmpty="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + sq_pendingWorkReqBuf_emptyReg) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + sq_pendingWorkReqBuf_emptyReg) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + !sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + begin + v__h25475 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + !sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h25475, + "\"SpecialFIFOF.bsv\", line 249, column 17\n", + "no pop when preScanRestart assertion @ mkScanFIFOF"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + !sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("popReg[1]="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + !sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + !sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display(" should be false when preScanRestartReg[1]="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + !sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_pendingWorkReqBuf_scanModeStateChange && + !sq_pendingWorkReqBuf_scanStopReg_port1__read && + sq_pendingWorkReqBuf_preScanRestartReg_port1__read && + sq_pendingWorkReqBuf_popReg_port1__read) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_decrPendingNewWorkReqCnt && + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg == 8'd0) + begin + v__h43204 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_decrPendingNewWorkReqCnt && + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg == 8'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h43204, + "\"QueuePair.bsv\", line 123, column 13\n", + "decrPendingNewWorkReqCnt assertion @ mkNewPendingWorkReqPipeOut"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_decrPendingNewWorkReqCnt && + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg == 8'd0) + $display("pendingNewWorkReqCnt should larger than zero when decrOne"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_newPendingWorkReqPiptOut_decrPendingNewWorkReqCnt && + sq_newPendingWorkReqPiptOut_pendingNewWorkReqCnt_cntReg == 8'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_genWorkCompSQ && + sq_respHandleSQ_pendingWorkCompQ_D_OUT[635] && + !sq_respHandleSQ_pendingWorkCompQ_D_OUT[633]) + begin + v__h118758 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_genWorkCompSQ && + sq_respHandleSQ_pendingWorkCompQ_D_OUT[635] && + !sq_respHandleSQ_pendingWorkCompQ_D_OUT[633]) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h118758, + "\"RespHandleSQ.bsv\", line 1421, column 13\n", + "hasLocalErr -> genWorkComp assertion @ mkRespHandleSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_genWorkCompSQ && + sq_respHandleSQ_pendingWorkCompQ_D_OUT[635] && + !sq_respHandleSQ_pendingWorkCompQ_D_OUT[633]) + $display("genWorkComp="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_genWorkCompSQ && + sq_respHandleSQ_pendingWorkCompQ_D_OUT[635] && + !sq_respHandleSQ_pendingWorkCompQ_D_OUT[633]) + $display("False"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_genWorkCompSQ && + sq_respHandleSQ_pendingWorkCompQ_D_OUT[635] && + !sq_respHandleSQ_pendingWorkCompQ_D_OUT[633]) + $display(" should be true when hasLocalErr="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_genWorkCompSQ && + sq_respHandleSQ_pendingWorkCompQ_D_OUT[635] && + !sq_respHandleSQ_pendingWorkCompQ_D_OUT[633]) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_respHandleSQ_genWorkCompSQ && + sq_respHandleSQ_pendingWorkCompQ_D_OUT[635] && + !sq_respHandleSQ_pendingWorkCompQ_D_OUT[633]) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + begin + v__h126312 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h126312, + "\"WorkCompGen.bsv\", line 180, column 13\n", + "maybeWorkComp assertion @ mkWorkCompGenSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display("maybeWorkComp="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $display(" should be valid"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_genPendingWorkCompSQ && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd0 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd1 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd2 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd3 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd4 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd5 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd6 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd7 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd8 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd9 && + sq_workCompGenSQ_pendingWorkCompQ4SQ_D_OUT[568:565] != 4'd10) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onCreate && cntrl_reqQ_D_OUT[300:299] == 2'd1) + begin + v__h3196 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onCreate && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h3196, + "\"Controller.bsv\", line 452, column 21\n", + "no QP destroy on create @ mkCntrlQP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onCreate && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("request QPN=%h", cntrl_reqQ_D_OUT[266:243], ", qpReqType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onCreate && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $display("REQ_QP_DESTROY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onCreate && cntrl_reqQ_D_OUT[300:299] == 2'd1) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onCreate && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + begin + v__h3517 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onCreate && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h3517, + "\"Controller.bsv\", line 476, column 21\n", + "unreachible case @ mkCntrlQP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onCreate && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $display("request QPN=%h", cntrl_reqQ_D_OUT[266:243], ", qpReqType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onCreate && cntrl_reqQ_D_OUT[300:299] == 2'd0) + $display("REQ_QP_CREATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_cntrl_onCreate && cntrl_reqQ_D_OUT[300:299] != 2'd1 && + cntrl_reqQ_D_OUT[300:299] != 2'd2 && + cntrl_reqQ_D_OUT[300:299] != 2'd3) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ && + WILL_FIRE_RL_sq_workCompGenSQ_genWorkCompSQ && + cntrl_stateReg != 4'd6 && + sq_workCompGenSQ_dmaWaitingQ_EMPTY_N && + sq_workCompGenSQ_genWorkCompQ_FULL_N && + !sq_workCompGenSQ_genWorkCompQ_D_OUT[1]) + $display("Error: \"WorkCompGen.bsv\", line 288, column 10: (R0002)\n Conflict-free rules RL_sq_workCompGenSQ_noDmaWaitSQ and\n RL_sq_workCompGenSQ_genWorkCompSQ called conflicting methods read and write\n of module instance sq_workCompGenSQ_workCompGenStateReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ && + WILL_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ) + $display("Error: \"WorkCompGen.bsv\", line 288, column 10: (R0002)\n Conflict-free rules RL_sq_workCompGenSQ_noDmaWaitSQ and\n RL_sq_workCompGenSQ_waitDmaDoneSQ called conflicting methods first and deq\n of module instance sq_workCompGenSQ_dmaWaitingQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ && + WILL_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ) + $display("Error: \"WorkCompGen.bsv\", line 288, column 10: (R0002)\n Conflict-free rules RL_sq_workCompGenSQ_noDmaWaitSQ and\n RL_sq_workCompGenSQ_waitDmaDoneSQ called conflicting methods deq and deq of\n module instance sq_workCompGenSQ_dmaWaitingQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_noDmaWaitSQ && + WILL_FIRE_RL_sq_workCompGenSQ_waitDmaDoneSQ) + $display("Error: \"WorkCompGen.bsv\", line 288, column 10: (R0002)\n Conflict-free rules RL_sq_workCompGenSQ_noDmaWaitSQ and\n RL_sq_workCompGenSQ_waitDmaDoneSQ called conflicting methods enq and enq of\n module instance sq_workCompGenSQ_genWorkCompQ.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_genWorkCompQ_D_OUT[254:253] != 2'd0) + begin + v__h129863 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_genWorkCompQ_D_OUT[254:253] != 2'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h129863, + "\"WorkCompGen.bsv\", line 307, column 13\n", + "wcGenReqSQ.wcReqType assertion @ mkWorkCompGenSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_genWorkCompQ_D_OUT[254:253] != 2'd0) + $display("wcGenReqSQ.wcReqType="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_genWorkCompQ_D_OUT[254:253] == 2'd1) + $display("WC_REQ_TYPE_PARTIAL_ACK"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_genWorkCompQ_D_OUT[254:253] == 2'd2) + $display("WC_REQ_TYPE_NO_WC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_genWorkCompQ_D_OUT[254:253] != 2'd0 && + sq_workCompGenSQ_genWorkCompQ_D_OUT[254:253] != 2'd1 && + sq_workCompGenSQ_genWorkCompQ_D_OUT[254:253] != 2'd2) + $display("WC_REQ_TYPE_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_genWorkCompQ_D_OUT[254:253] != 2'd0) + $display(" should == WC_REQ_TYPE_FULL_ACK, when error flush"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_genWorkCompQ_D_OUT[254:253] != 2'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg && + sq_workCompGenSQ_genWorkCompQ_D_OUT[856:793] != + sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg) + begin + v__h130013 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg && + sq_workCompGenSQ_genWorkCompQ_D_OUT[856:793] != + sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h130013, + "\"WorkCompGen.bsv\", line 322, column 17\n", + "wcGenReqSQ.wr.id assertion @ mkWorkCompGenSQ"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg && + sq_workCompGenSQ_genWorkCompQ_D_OUT[856:793] != + sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg) + $display("wcGenReqSQ.wr.id=%h should == firstErrPartialAckWorkReqIdReg=%h", + sq_workCompGenSQ_genWorkCompQ_D_OUT[856:793], + sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg, + ", when error flush and isFirstErrPartialAckWorkReqReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg && + sq_workCompGenSQ_genWorkCompQ_D_OUT[856:793] != + sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_sq_workCompGenSQ_errFlushSQ && + sq_workCompGenSQ_isFirstErrPartialAckWorkReqReg && + sq_workCompGenSQ_genWorkCompQ_D_OUT[856:793] != + sq_workCompGenSQ_firstErrPartialAckWorkReqIdReg) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (cntrl_setStateErrReg_port1__read && + (cntrl_stateReg == 4'd7 || cntrl_stateReg == 4'd0)) + begin + v__h7672 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (cntrl_setStateErrReg_port1__read && + (cntrl_stateReg == 4'd7 || cntrl_stateReg == 4'd0)) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h7672, + "\"Controller.bsv\", line 872, column 17\n", + "set state error assertion @ mkCntrlQP"); + if (RST_N != `BSV_RESET_VALUE) + if (cntrl_setStateErrReg_port1__read && + (cntrl_stateReg == 4'd7 || cntrl_stateReg == 4'd0)) + $display("stateReg="); + if (RST_N != `BSV_RESET_VALUE) + if (cntrl_setStateErrReg_port1__read && cntrl_stateReg == 4'd0) + $display("IBV_QPS_RESET"); + if (RST_N != `BSV_RESET_VALUE) + if (cntrl_setStateErrReg_port1__read && cntrl_stateReg == 4'd7) + $display("IBV_QPS_UNKNOWN"); + if (RST_N != `BSV_RESET_VALUE) + if (cntrl_setStateErrReg_port1__read && + (cntrl_stateReg == 4'd7 || cntrl_stateReg == 4'd0)) + $display(" should not be IBV_QPS_UNKNOWN or IBV_QPS_RESET"); + if (RST_N != `BSV_RESET_VALUE) + if (cntrl_setStateErrReg_port1__read && + (cntrl_stateReg == 4'd7 || cntrl_stateReg == 4'd0)) + $finish(32'd1); + end + // synopsys translate_on +endmodule // mkQP + diff --git a/ethernet/RoCEv2/blue-rdma/mkTransportLayer.v b/ethernet/RoCEv2/blue-rdma/mkTransportLayer.v new file mode 100644 index 0000000000..6807e7c125 --- /dev/null +++ b/ethernet/RoCEv2/blue-rdma/mkTransportLayer.v @@ -0,0 +1,15640 @@ +/* + * ------------------------------------------------------------------- + * This Verilog file has been automatically generated from a core originally written + * in Bluespec SystemVerilog (BSV). The original source code can be found at: + * + * Repository: https://github.com/datenlord/blue-rdma + * Author: DatenLord (https://datenlord.github.io/) + * + * Modifications have been made to the original core before compiling the Verilog. + * For any questions or further information regarding the modifications, please + * feel free to contact me. + * + * Modifications by: Filippo Marini + * Email: filippo.marini@pd.infn.it + * ------------------------------------------------------------------- + */ +// +// Generated by Bluespec Compiler, version 2023.01 (build 52adafa) +// +// On Wed Sep 11 15:19:55 CEST 2024 +// +// Ports: +// Name I/O size props +// RDY_workReqInput_put O 1 reg +// RDY_rdmaDataStreamInput_put O 1 reg +// rdmaDataStreamPipeOut_first O 290 reg +// RDY_rdmaDataStreamPipeOut_first O 1 reg +// RDY_rdmaDataStreamPipeOut_deq O 1 reg +// rdmaDataStreamPipeOut_notEmpty O 1 reg +// RDY_rdmaDataStreamPipeOut_notEmpty O 1 const +// workCompPipeOutSQ_first O 222 reg +// RDY_workCompPipeOutSQ_first O 1 reg +// RDY_workCompPipeOutSQ_deq O 1 reg +// workCompPipeOutSQ_notEmpty O 1 reg +// RDY_workCompPipeOutSQ_notEmpty O 1 const +// RDY_srvPortMetaData_request_put O 1 reg +// srvPortMetaData_response_get O 276 reg +// RDY_srvPortMetaData_response_get O 1 reg +// dmaReadClt_request_get O 170 reg +// RDY_dmaReadClt_request_get O 1 reg +// RDY_dmaReadClt_response_put O 1 reg +// CLK I 1 clock +// RST_N I 1 reset +// workReqInput_put I 601 reg +// rdmaDataStreamInput_put I 290 reg +// srvPortMetaData_request_put I 303 reg +// dmaReadClt_response_put I 383 reg +// EN_workReqInput_put I 1 +// EN_rdmaDataStreamInput_put I 1 +// EN_rdmaDataStreamPipeOut_deq I 1 +// EN_workCompPipeOutSQ_deq I 1 +// EN_srvPortMetaData_request_put I 1 +// EN_dmaReadClt_response_put I 1 +// EN_srvPortMetaData_response_get I 1 +// EN_dmaReadClt_request_get I 1 +// +// No combinational paths from inputs to outputs +// +// + +`ifdef BSV_ASSIGNMENT_DELAY +`else + `define BSV_ASSIGNMENT_DELAY +`endif + +`ifdef BSV_POSITIVE_RESET + `define BSV_RESET_VALUE 1'b1 + `define BSV_RESET_EDGE posedge +`else + `define BSV_RESET_VALUE 1'b0 + `define BSV_RESET_EDGE negedge +`endif + +module mkTransportLayer(CLK, + RST_N, + + workReqInput_put, + EN_workReqInput_put, + RDY_workReqInput_put, + + rdmaDataStreamInput_put, + EN_rdmaDataStreamInput_put, + RDY_rdmaDataStreamInput_put, + + rdmaDataStreamPipeOut_first, + RDY_rdmaDataStreamPipeOut_first, + + EN_rdmaDataStreamPipeOut_deq, + RDY_rdmaDataStreamPipeOut_deq, + + rdmaDataStreamPipeOut_notEmpty, + RDY_rdmaDataStreamPipeOut_notEmpty, + + workCompPipeOutSQ_first, + RDY_workCompPipeOutSQ_first, + + EN_workCompPipeOutSQ_deq, + RDY_workCompPipeOutSQ_deq, + + workCompPipeOutSQ_notEmpty, + RDY_workCompPipeOutSQ_notEmpty, + + srvPortMetaData_request_put, + EN_srvPortMetaData_request_put, + RDY_srvPortMetaData_request_put, + + EN_srvPortMetaData_response_get, + srvPortMetaData_response_get, + RDY_srvPortMetaData_response_get, + + EN_dmaReadClt_request_get, + dmaReadClt_request_get, + RDY_dmaReadClt_request_get, + + dmaReadClt_response_put, + EN_dmaReadClt_response_put, + RDY_dmaReadClt_response_put); + input CLK; + input RST_N; + + // action method workReqInput_put + input [600 : 0] workReqInput_put; + input EN_workReqInput_put; + output RDY_workReqInput_put; + + // action method rdmaDataStreamInput_put + input [289 : 0] rdmaDataStreamInput_put; + input EN_rdmaDataStreamInput_put; + output RDY_rdmaDataStreamInput_put; + + // value method rdmaDataStreamPipeOut_first + output [289 : 0] rdmaDataStreamPipeOut_first; + output RDY_rdmaDataStreamPipeOut_first; + + // action method rdmaDataStreamPipeOut_deq + input EN_rdmaDataStreamPipeOut_deq; + output RDY_rdmaDataStreamPipeOut_deq; + + // value method rdmaDataStreamPipeOut_notEmpty + output rdmaDataStreamPipeOut_notEmpty; + output RDY_rdmaDataStreamPipeOut_notEmpty; + + // value method workCompPipeOutSQ_first + output [221 : 0] workCompPipeOutSQ_first; + output RDY_workCompPipeOutSQ_first; + + // action method workCompPipeOutSQ_deq + input EN_workCompPipeOutSQ_deq; + output RDY_workCompPipeOutSQ_deq; + + // value method workCompPipeOutSQ_notEmpty + output workCompPipeOutSQ_notEmpty; + output RDY_workCompPipeOutSQ_notEmpty; + + // action method srvPortMetaData_request_put + input [302 : 0] srvPortMetaData_request_put; + input EN_srvPortMetaData_request_put; + output RDY_srvPortMetaData_request_put; + + // actionvalue method srvPortMetaData_response_get + input EN_srvPortMetaData_response_get; + output [275 : 0] srvPortMetaData_response_get; + output RDY_srvPortMetaData_response_get; + + // actionvalue method dmaReadClt_request_get + input EN_dmaReadClt_request_get; + output [169 : 0] dmaReadClt_request_get; + output RDY_dmaReadClt_request_get; + + // action method dmaReadClt_response_put + input [382 : 0] dmaReadClt_response_put; + input EN_dmaReadClt_response_put; + output RDY_dmaReadClt_response_put; + + // signals for module outputs + wire [289 : 0] rdmaDataStreamPipeOut_first; + wire [275 : 0] srvPortMetaData_response_get; + wire [221 : 0] workCompPipeOutSQ_first; + wire [169 : 0] dmaReadClt_request_get; + wire RDY_dmaReadClt_request_get, + RDY_dmaReadClt_response_put, + RDY_rdmaDataStreamInput_put, + RDY_rdmaDataStreamPipeOut_deq, + RDY_rdmaDataStreamPipeOut_first, + RDY_rdmaDataStreamPipeOut_notEmpty, + RDY_srvPortMetaData_request_put, + RDY_srvPortMetaData_response_get, + RDY_workCompPipeOutSQ_deq, + RDY_workCompPipeOutSQ_first, + RDY_workCompPipeOutSQ_notEmpty, + RDY_workReqInput_put, + rdmaDataStreamPipeOut_notEmpty, + workCompPipeOutSQ_notEmpty; + + // inlined wires + wire [290 : 0] pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port0__read, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port0__write_1, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port1__read, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port1__write_1, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port2__read, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port2__write_1, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port3__read, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port3__write_1, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port4__read, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port4__write_1; + wire [17 : 0] headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port0__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port0__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port1__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port1__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port2__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port2__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port3__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port3__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port4__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port4__write_1; + wire _RDY_statusSQ_comm_getPMTU_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget, + _RDY_statusSQ_comm_getPMTU_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas, + _RDY_statusSQ_comm_getQKEY_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget, + _RDY_statusSQ_comm_getQKEY_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_whas, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_wget, + _deq_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_whas, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_wget, + _enq_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_whas, + _first_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_wget, + _first_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_whas, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_wget, + _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_whas, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_wget, + _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_whas, + _port0__read_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_wget, + _port0__read_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_whas, + _port0__read_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_wget, + _port0__read_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_whas, + _port0__write_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_wget, + _port0__write_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_whas, + _port0__write_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_wget, + _port0__write_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_whas, + _read_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_wget, + _read_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_whas, + _read_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_wget, + _read_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_whas, + _read_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktLenReg_wget, + _read_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktLenReg_whas, + _read_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktValidReg_wget, + _read_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktValidReg_whas, + _read_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_wget, + _read_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_whas, + _read_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_isValidPktReg_wget, + _read_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_isValidPktReg_whas, + _read_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_wget, + _read_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_whas, + _read_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_qpMetaData_qpTagVec_dataVec_0_wget, + _read_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_qpMetaData_qpTagVec_dataVec_0_whas, + _read_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_qpMetaData_qpTagVec_tagVec_0_wget, + _read_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_qpMetaData_qpTagVec_tagVec_0_whas, + _statusSQ_comm_getPMTU_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget, + _statusSQ_comm_getPMTU_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas, + _statusSQ_comm_getQKEY_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget, + _statusSQ_comm_getQKEY_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas, + _statusSQ_comm_isERR_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget, + _statusSQ_comm_isERR_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas, + _statusSQ_comm_isNonErr_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget, + _statusSQ_comm_isNonErr_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas, + _statusSQ_comm_isRTS_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget, + _statusSQ_comm_isRTS_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas, + _statusSQ_getTypeQP_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget, + _statusSQ_getTypeQP_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas, + _write_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_wget, + _write_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_whas, + _write_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_wget, + _write_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_whas, + _write_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktLenReg_wget, + _write_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktLenReg_whas, + _write_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktValidReg_wget, + _write_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktValidReg_whas, + _write_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_wget, + _write_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_whas, + _write_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_isValidPktReg_wget, + _write_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_isValidPktReg_whas, + _write_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_wget, + _write_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_whas, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_EN_port0__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_EN_port1__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_EN_port2__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_EN_port3__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_EN_port4__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port0__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port0__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port1__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port1__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port2__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port2__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port3__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port3__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port4__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port4__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_EN_port0__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_EN_port1__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_EN_port2__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_EN_port3__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_EN_port4__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port0__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port0__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port1__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port1__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port2__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port2__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port3__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port3__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port4__read, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port4__write_1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_EN_port0__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_EN_port1__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_EN_port2__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_EN_port3__write, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_EN_port4__write, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_EN_port0__write, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_EN_port1__write, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_EN_port2__write, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_EN_port3__write, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_EN_port4__write, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_port0__read, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_port0__write_1, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_port1__read, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_port1__write_1, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_port2__read, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_port2__write_1, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_port3__read, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_port3__write_1, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_port4__read, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_port4__write_1, + pdMetaData_pdTagVec_clearReg_EN_port0__write, + pdMetaData_pdTagVec_clearReg_EN_port1__write, + pdMetaData_pdTagVec_clearReg_EN_port2__write, + pdMetaData_pdTagVec_clearReg_EN_port3__write, + pdMetaData_pdTagVec_clearReg_EN_port4__write, + pdMetaData_pdTagVec_clearReg_port0__read, + pdMetaData_pdTagVec_clearReg_port0__write_1, + pdMetaData_pdTagVec_clearReg_port1__read, + pdMetaData_pdTagVec_clearReg_port1__write_1, + pdMetaData_pdTagVec_clearReg_port2__read, + pdMetaData_pdTagVec_clearReg_port2__write_1, + pdMetaData_pdTagVec_clearReg_port3__read, + pdMetaData_pdTagVec_clearReg_port3__write_1, + pdMetaData_pdTagVec_clearReg_port4__read, + pdMetaData_pdTagVec_clearReg_port4__write_1, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN_port0__write, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN_port1__write, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN_port2__write, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN_port3__write, + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN_port4__write, + qpMetaData_qpTagVec_clearReg_EN_port0__write, + qpMetaData_qpTagVec_clearReg_EN_port1__write, + qpMetaData_qpTagVec_clearReg_EN_port2__write, + qpMetaData_qpTagVec_clearReg_EN_port3__write, + qpMetaData_qpTagVec_clearReg_EN_port4__write, + qpMetaData_qpTagVec_clearReg_port0__read, + qpMetaData_qpTagVec_clearReg_port0__write_1, + qpMetaData_qpTagVec_clearReg_port1__read, + qpMetaData_qpTagVec_clearReg_port1__write_1, + qpMetaData_qpTagVec_clearReg_port2__read, + qpMetaData_qpTagVec_clearReg_port2__write_1, + qpMetaData_qpTagVec_clearReg_port3__read, + qpMetaData_qpTagVec_clearReg_port3__write_1, + qpMetaData_qpTagVec_clearReg_port4__read, + qpMetaData_qpTagVec_clearReg_port4__write_1; + + // register arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg + reg arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg; + wire arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg_D_IN, + arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg + reg [289 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg; + wire [289 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg_D_IN; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragByteEnReg + reg [31 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragByteEnReg; + wire [31 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragByteEnReg_D_IN; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragByteEnReg_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidBitNumReg + reg [8 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidBitNumReg; + wire [8 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidBitNumReg_D_IN; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidBitNumReg_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidByteNumReg + reg [5 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidByteNumReg; + wire [5 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidByteNumReg_D_IN; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidByteNumReg_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidBitNumReg + reg [8 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidBitNumReg; + wire [8 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidBitNumReg_D_IN; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidBitNumReg_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidByteNumReg + reg [5 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidByteNumReg; + wire [5 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidByteNumReg_D_IN; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidByteNumReg_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg + reg [16 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg; + wire [16 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_D_IN; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg + reg headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_D_IN, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg + reg headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg_D_IN, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg + reg [289 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg; + wire [289 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg_D_IN; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg + reg [31 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg; + wire [31 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_D_IN; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg + reg [1 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg; + reg [1 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_D_IN; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv + reg headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv; + wire headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_D_IN, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv + reg headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv; + wire headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_D_IN, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_EN; + + // register headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv + reg [17 : 0] headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv; + wire [17 : 0] headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_D_IN; + wire headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_EN; + + // register metaDataSrv_mrReqReg + reg [263 : 0] metaDataSrv_mrReqReg; + wire [263 : 0] metaDataSrv_mrReqReg_D_IN; + wire metaDataSrv_mrReqReg_EN; + + // register metaDataSrv_pdReqReg + reg [64 : 0] metaDataSrv_pdReqReg; + wire [64 : 0] metaDataSrv_pdReqReg_D_IN; + wire metaDataSrv_pdReqReg_EN; + + // register metaDataSrv_qpReqReg + reg [300 : 0] metaDataSrv_qpReqReg; + wire [300 : 0] metaDataSrv_qpReqReg_D_IN; + wire metaDataSrv_qpReqReg_EN; + + // register metaDataSrv_stateReg + reg [2 : 0] metaDataSrv_stateReg; + reg [2 : 0] metaDataSrv_stateReg_D_IN; + wire metaDataSrv_stateReg_EN; + + // register pdMetaData_pdMrVec_0_mrTagVec_clearReg + reg pdMetaData_pdMrVec_0_mrTagVec_clearReg; + wire pdMetaData_pdMrVec_0_mrTagVec_clearReg_D_IN, + pdMetaData_pdMrVec_0_mrTagVec_clearReg_EN; + + // register pdMetaData_pdMrVec_0_mrTagVec_dataVec_0 + reg [197 : 0] pdMetaData_pdMrVec_0_mrTagVec_dataVec_0; + wire [197 : 0] pdMetaData_pdMrVec_0_mrTagVec_dataVec_0_D_IN; + wire pdMetaData_pdMrVec_0_mrTagVec_dataVec_0_EN; + + // register pdMetaData_pdMrVec_0_mrTagVec_dataVec_1 + reg [197 : 0] pdMetaData_pdMrVec_0_mrTagVec_dataVec_1; + wire [197 : 0] pdMetaData_pdMrVec_0_mrTagVec_dataVec_1_D_IN; + wire pdMetaData_pdMrVec_0_mrTagVec_dataVec_1_EN; + + // register pdMetaData_pdMrVec_0_mrTagVec_fullReg + reg pdMetaData_pdMrVec_0_mrTagVec_fullReg; + wire pdMetaData_pdMrVec_0_mrTagVec_fullReg_D_IN, + pdMetaData_pdMrVec_0_mrTagVec_fullReg_EN; + + // register pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg + reg [1 : 0] pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg; + wire [1 : 0] pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg_D_IN; + wire pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg_EN; + + // register pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg + reg pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg; + wire pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg_D_IN, + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg_EN; + + // register pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg + reg [1 : 0] pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg; + wire [1 : 0] pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg_D_IN; + wire pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg_EN; + + // register pdMetaData_pdMrVec_0_mrTagVec_tagVec_0 + reg pdMetaData_pdMrVec_0_mrTagVec_tagVec_0; + wire pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_D_IN, + pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_EN; + + // register pdMetaData_pdMrVec_0_mrTagVec_tagVec_1 + reg pdMetaData_pdMrVec_0_mrTagVec_tagVec_1; + wire pdMetaData_pdMrVec_0_mrTagVec_tagVec_1_D_IN, + pdMetaData_pdMrVec_0_mrTagVec_tagVec_1_EN; + + // register pdMetaData_pdTagVec_clearReg + reg pdMetaData_pdTagVec_clearReg; + wire pdMetaData_pdTagVec_clearReg_D_IN, pdMetaData_pdTagVec_clearReg_EN; + + // register pdMetaData_pdTagVec_dataVec_0 + reg [31 : 0] pdMetaData_pdTagVec_dataVec_0; + wire [31 : 0] pdMetaData_pdTagVec_dataVec_0_D_IN; + wire pdMetaData_pdTagVec_dataVec_0_EN; + + // register pdMetaData_pdTagVec_fullReg + reg pdMetaData_pdTagVec_fullReg; + wire pdMetaData_pdTagVec_fullReg_D_IN, pdMetaData_pdTagVec_fullReg_EN; + + // register pdMetaData_pdTagVec_maybeInsertIdxReg + reg pdMetaData_pdTagVec_maybeInsertIdxReg; + wire pdMetaData_pdTagVec_maybeInsertIdxReg_D_IN, + pdMetaData_pdTagVec_maybeInsertIdxReg_EN; + + // register pdMetaData_pdTagVec_respSuccessReg + reg pdMetaData_pdTagVec_respSuccessReg; + wire pdMetaData_pdTagVec_respSuccessReg_D_IN, + pdMetaData_pdTagVec_respSuccessReg_EN; + + // register pdMetaData_pdTagVec_tagVecStateReg + reg [1 : 0] pdMetaData_pdTagVec_tagVecStateReg; + wire [1 : 0] pdMetaData_pdTagVec_tagVecStateReg_D_IN; + wire pdMetaData_pdTagVec_tagVecStateReg_EN; + + // register pdMetaData_pdTagVec_tagVec_0 + reg pdMetaData_pdTagVec_tagVec_0; + wire pdMetaData_pdTagVec_tagVec_0_D_IN, pdMetaData_pdTagVec_tagVec_0_EN; + + // register pktMetaDataAndPayloadPipeOutVec_bthPadCntReg + reg [1 : 0] pktMetaDataAndPayloadPipeOutVec_bthPadCntReg; + wire [1 : 0] pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_D_IN; + wire pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_EN; + + // register pktMetaDataAndPayloadPipeOutVec_isValidPktReg + reg pktMetaDataAndPayloadPipeOutVec_isValidPktReg; + wire pktMetaDataAndPayloadPipeOutVec_isValidPktReg_D_IN, + pktMetaDataAndPayloadPipeOutVec_isValidPktReg_EN; + + // register pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv + reg [290 : 0] pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv; + wire [290 : 0] pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_D_IN; + wire pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN; + + // register pktMetaDataAndPayloadPipeOutVec_pktBufStateReg + reg pktMetaDataAndPayloadPipeOutVec_pktBufStateReg; + wire pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_D_IN, + pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_EN; + + // register pktMetaDataAndPayloadPipeOutVec_pktFragNumReg + reg [7 : 0] pktMetaDataAndPayloadPipeOutVec_pktFragNumReg; + wire [7 : 0] pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_D_IN; + wire pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_EN; + + // register pktMetaDataAndPayloadPipeOutVec_pktLenReg + reg [12 : 0] pktMetaDataAndPayloadPipeOutVec_pktLenReg; + wire [12 : 0] pktMetaDataAndPayloadPipeOutVec_pktLenReg_D_IN; + wire pktMetaDataAndPayloadPipeOutVec_pktLenReg_EN; + + // register pktMetaDataAndPayloadPipeOutVec_pktValidReg + reg pktMetaDataAndPayloadPipeOutVec_pktValidReg; + wire pktMetaDataAndPayloadPipeOutVec_pktValidReg_D_IN, + pktMetaDataAndPayloadPipeOutVec_pktValidReg_EN; + + // register pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg + reg pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg_D_IN, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg_EN; + + // register pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragBitNumReg + reg [512 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragBitNumReg; + wire [512 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragBitNumReg_D_IN; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragBitNumReg_EN; + + // register pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragByteNumReg + reg [6 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragByteNumReg; + wire [6 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragByteNumReg_D_IN; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragByteNumReg_EN; + + // register pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg + reg [16 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg; + wire [16 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg_D_IN; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg_EN; + + // register pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg + reg [592 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg; + wire [592 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg_D_IN; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg_EN; + + // register qpMetaData_qpTagVec_clearReg + reg qpMetaData_qpTagVec_clearReg; + wire qpMetaData_qpTagVec_clearReg_D_IN, qpMetaData_qpTagVec_clearReg_EN; + + // register qpMetaData_qpTagVec_dataVec_0 + reg [31 : 0] qpMetaData_qpTagVec_dataVec_0; + wire [31 : 0] qpMetaData_qpTagVec_dataVec_0_D_IN; + wire qpMetaData_qpTagVec_dataVec_0_EN; + + // register qpMetaData_qpTagVec_fullReg + reg qpMetaData_qpTagVec_fullReg; + wire qpMetaData_qpTagVec_fullReg_D_IN, qpMetaData_qpTagVec_fullReg_EN; + + // register qpMetaData_qpTagVec_maybeInsertIdxReg + reg qpMetaData_qpTagVec_maybeInsertIdxReg; + wire qpMetaData_qpTagVec_maybeInsertIdxReg_D_IN, + qpMetaData_qpTagVec_maybeInsertIdxReg_EN; + + // register qpMetaData_qpTagVec_respSuccessReg + reg qpMetaData_qpTagVec_respSuccessReg; + wire qpMetaData_qpTagVec_respSuccessReg_D_IN, + qpMetaData_qpTagVec_respSuccessReg_EN; + + // register qpMetaData_qpTagVec_tagVecStateReg + reg [1 : 0] qpMetaData_qpTagVec_tagVecStateReg; + wire [1 : 0] qpMetaData_qpTagVec_tagVecStateReg_D_IN; + wire qpMetaData_qpTagVec_tagVecStateReg_EN; + + // register qpMetaData_qpTagVec_tagVec_0 + reg qpMetaData_qpTagVec_tagVec_0; + wire qpMetaData_qpTagVec_tagVec_0_D_IN, qpMetaData_qpTagVec_tagVec_0_EN; + + // ports of submodule arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0 + wire [169 : 0] arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_D_IN, + arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_D_OUT; + wire arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_CLR, + arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_DEQ, + arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_EMPTY_N, + arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_ENQ, + arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_FULL_N; + + // ports of submodule arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ + wire [169 : 0] arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_IN, + arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_OUT; + wire arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_CLR, + arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_DEQ, + arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N, + arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_ENQ, + arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_FULL_N; + + // ports of submodule arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ + wire arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_CLR, + arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_DEQ, + arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_EMPTY_N, + arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_ENQ, + arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_FULL_N; + + // ports of submodule arbitratedDmaReadClt_arbitratedClient_reqQ + wire [169 : 0] arbitratedDmaReadClt_arbitratedClient_reqQ_D_IN, + arbitratedDmaReadClt_arbitratedClient_reqQ_D_OUT; + wire arbitratedDmaReadClt_arbitratedClient_reqQ_CLR, + arbitratedDmaReadClt_arbitratedClient_reqQ_DEQ, + arbitratedDmaReadClt_arbitratedClient_reqQ_EMPTY_N, + arbitratedDmaReadClt_arbitratedClient_reqQ_ENQ, + arbitratedDmaReadClt_arbitratedClient_reqQ_FULL_N; + + // ports of submodule arbitratedDmaReadClt_arbitratedClient_respQ + wire [382 : 0] arbitratedDmaReadClt_arbitratedClient_respQ_D_IN, + arbitratedDmaReadClt_arbitratedClient_respQ_D_OUT; + wire arbitratedDmaReadClt_arbitratedClient_respQ_CLR, + arbitratedDmaReadClt_arbitratedClient_respQ_DEQ, + arbitratedDmaReadClt_arbitratedClient_respQ_EMPTY_N, + arbitratedDmaReadClt_arbitratedClient_respQ_ENQ, + arbitratedDmaReadClt_arbitratedClient_respQ_FULL_N; + + // ports of submodule dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ + wire [289 : 0] dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_IN, + dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_OUT; + wire dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_CLR, + dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_DEQ, + dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N, + dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_ENQ, + dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_FULL_N; + + // ports of submodule headerAndMetaDataAndPayloadPipeOut_dataInQ + wire [289 : 0] headerAndMetaDataAndPayloadPipeOut_dataInQ_D_IN, + headerAndMetaDataAndPayloadPipeOut_dataInQ_D_OUT; + wire headerAndMetaDataAndPayloadPipeOut_dataInQ_CLR, + headerAndMetaDataAndPayloadPipeOut_dataInQ_DEQ, + headerAndMetaDataAndPayloadPipeOut_dataInQ_EMPTY_N, + headerAndMetaDataAndPayloadPipeOut_dataInQ_ENQ, + headerAndMetaDataAndPayloadPipeOut_dataInQ_FULL_N; + + // ports of submodule headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ + wire [289 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_IN, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_CLR, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_DEQ, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_EMPTY_N, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_ENQ, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_FULL_N; + + // ports of submodule headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ + reg [289 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_D_IN; + wire [289 : 0] headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_D_OUT; + wire headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_CLR, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_DEQ, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_EMPTY_N, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_ENQ, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_FULL_N; + + // ports of submodule headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ + wire [16 : 0] headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_IN, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT; + wire headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_CLR, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_DEQ, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_EMPTY_N, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_ENQ, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_FULL_N; + + // ports of submodule inputDataStreamQ + wire [289 : 0] inputDataStreamQ_D_IN, inputDataStreamQ_D_OUT; + wire inputDataStreamQ_CLR, + inputDataStreamQ_DEQ, + inputDataStreamQ_EMPTY_N, + inputDataStreamQ_ENQ, + inputDataStreamQ_FULL_N; + + // ports of submodule inputWorkReqQ + wire [600 : 0] inputWorkReqQ_D_IN, inputWorkReqQ_D_OUT; + wire inputWorkReqQ_CLR, + inputWorkReqQ_DEQ, + inputWorkReqQ_EMPTY_N, + inputWorkReqQ_ENQ, + inputWorkReqQ_FULL_N; + + // ports of submodule metaDataSrv_metaDataReqQ + wire [302 : 0] metaDataSrv_metaDataReqQ_D_IN, + metaDataSrv_metaDataReqQ_D_OUT; + wire metaDataSrv_metaDataReqQ_CLR, + metaDataSrv_metaDataReqQ_DEQ, + metaDataSrv_metaDataReqQ_EMPTY_N, + metaDataSrv_metaDataReqQ_ENQ, + metaDataSrv_metaDataReqQ_FULL_N; + + // ports of submodule metaDataSrv_metaDataRespQ + reg [275 : 0] metaDataSrv_metaDataRespQ_D_IN; + wire [275 : 0] metaDataSrv_metaDataRespQ_D_OUT; + wire metaDataSrv_metaDataRespQ_CLR, + metaDataSrv_metaDataRespQ_DEQ, + metaDataSrv_metaDataRespQ_EMPTY_N, + metaDataSrv_metaDataRespQ_ENQ, + metaDataSrv_metaDataRespQ_FULL_N; + + // ports of submodule pdMetaData_pdMrVec_0_mrTagVec_itemCnt + wire [1 : 0] pdMetaData_pdMrVec_0_mrTagVec_itemCnt_DATA_A, + pdMetaData_pdMrVec_0_mrTagVec_itemCnt_DATA_B, + pdMetaData_pdMrVec_0_mrTagVec_itemCnt_DATA_C, + pdMetaData_pdMrVec_0_mrTagVec_itemCnt_DATA_F, + pdMetaData_pdMrVec_0_mrTagVec_itemCnt_Q_OUT; + wire pdMetaData_pdMrVec_0_mrTagVec_itemCnt_ADDA, + pdMetaData_pdMrVec_0_mrTagVec_itemCnt_ADDB, + pdMetaData_pdMrVec_0_mrTagVec_itemCnt_SETC, + pdMetaData_pdMrVec_0_mrTagVec_itemCnt_SETF; + + // ports of submodule pdMetaData_pdMrVec_0_mrTagVec_reqQ + wire [199 : 0] pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_IN, + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT; + wire pdMetaData_pdMrVec_0_mrTagVec_reqQ_CLR, + pdMetaData_pdMrVec_0_mrTagVec_reqQ_DEQ, + pdMetaData_pdMrVec_0_mrTagVec_reqQ_EMPTY_N, + pdMetaData_pdMrVec_0_mrTagVec_reqQ_ENQ, + pdMetaData_pdMrVec_0_mrTagVec_reqQ_FULL_N; + + // ports of submodule pdMetaData_pdMrVec_0_mrTagVec_respQ + wire [199 : 0] pdMetaData_pdMrVec_0_mrTagVec_respQ_D_IN, + pdMetaData_pdMrVec_0_mrTagVec_respQ_D_OUT; + wire pdMetaData_pdMrVec_0_mrTagVec_respQ_CLR, + pdMetaData_pdMrVec_0_mrTagVec_respQ_DEQ, + pdMetaData_pdMrVec_0_mrTagVec_respQ_EMPTY_N, + pdMetaData_pdMrVec_0_mrTagVec_respQ_ENQ, + pdMetaData_pdMrVec_0_mrTagVec_respQ_FULL_N; + + // ports of submodule pdMetaData_pdTagVec_reqQ + wire [32 : 0] pdMetaData_pdTagVec_reqQ_D_IN, pdMetaData_pdTagVec_reqQ_D_OUT; + wire pdMetaData_pdTagVec_reqQ_CLR, + pdMetaData_pdTagVec_reqQ_DEQ, + pdMetaData_pdTagVec_reqQ_EMPTY_N, + pdMetaData_pdTagVec_reqQ_ENQ, + pdMetaData_pdTagVec_reqQ_FULL_N; + + // ports of submodule pdMetaData_pdTagVec_respQ + wire [32 : 0] pdMetaData_pdTagVec_respQ_D_IN, + pdMetaData_pdTagVec_respQ_D_OUT; + wire pdMetaData_pdTagVec_respQ_CLR, + pdMetaData_pdTagVec_respQ_DEQ, + pdMetaData_pdTagVec_respQ_EMPTY_N, + pdMetaData_pdTagVec_respQ_ENQ, + pdMetaData_pdTagVec_respQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0 + wire [95 : 0] pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_D_IN; + wire pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_CLR, + pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_DEQ, + pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_ENQ, + pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_payloadFilterQ + wire [289 : 0] pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_CLR, + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ + wire [289 : 0] pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_CLR, + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_payloadOutputQ + wire [290 : 0] pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_CLR, + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ + wire [303 : 0] pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_CLR, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ + wire [290 : 0] pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_CLR, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ + wire [290 : 0] pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_CLR, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ + wire [289 : 0] pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_CLR, + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_payloadRecvQ + wire [289 : 0] pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_CLR, + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_payloadValidationQ + wire [289 : 0] pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_CLR, + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ + wire [753 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_CLR, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ + wire [753 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_CLR, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ + wire [649 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_CLR, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ + wire [592 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_CLR, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ + wire [753 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_CLR, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ + wire [712 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_CLR, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ + wire [709 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_CLR, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ + wire [688 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_CLR, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ + wire [691 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_CLR, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ + wire [782 : 0] pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_IN, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_CLR, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_DEQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_ENQ, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0 + wire [289 : 0] pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_D_IN, + pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_CLR, + pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_DEQ, + pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_ENQ, + pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_FULL_N; + + // ports of submodule pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0 + wire [648 : 0] pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_D_IN, + pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_D_OUT; + wire pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_CLR, + pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_DEQ, + pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_EMPTY_N, + pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_ENQ, + pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_FULL_N; + + // ports of submodule qpMetaData_qpReqQ4Cntrl + wire [300 : 0] qpMetaData_qpReqQ4Cntrl_D_IN, qpMetaData_qpReqQ4Cntrl_D_OUT; + wire qpMetaData_qpReqQ4Cntrl_CLR, + qpMetaData_qpReqQ4Cntrl_DEQ, + qpMetaData_qpReqQ4Cntrl_EMPTY_N, + qpMetaData_qpReqQ4Cntrl_ENQ, + qpMetaData_qpReqQ4Cntrl_FULL_N; + + // ports of submodule qpMetaData_qpReqQ4Resp + wire [301 : 0] qpMetaData_qpReqQ4Resp_D_IN, qpMetaData_qpReqQ4Resp_D_OUT; + wire qpMetaData_qpReqQ4Resp_CLR, + qpMetaData_qpReqQ4Resp_DEQ, + qpMetaData_qpReqQ4Resp_EMPTY_N, + qpMetaData_qpReqQ4Resp_ENQ, + qpMetaData_qpReqQ4Resp_FULL_N; + + // ports of submodule qpMetaData_qpTagVec_reqQ + wire [32 : 0] qpMetaData_qpTagVec_reqQ_D_IN, qpMetaData_qpTagVec_reqQ_D_OUT; + wire qpMetaData_qpTagVec_reqQ_CLR, + qpMetaData_qpTagVec_reqQ_DEQ, + qpMetaData_qpTagVec_reqQ_EMPTY_N, + qpMetaData_qpTagVec_reqQ_ENQ, + qpMetaData_qpTagVec_reqQ_FULL_N; + + // ports of submodule qpMetaData_qpTagVec_respQ + wire [32 : 0] qpMetaData_qpTagVec_respQ_D_IN, + qpMetaData_qpTagVec_respQ_D_OUT; + wire qpMetaData_qpTagVec_respQ_CLR, + qpMetaData_qpTagVec_respQ_DEQ, + qpMetaData_qpTagVec_respQ_EMPTY_N, + qpMetaData_qpTagVec_respQ_ENQ, + qpMetaData_qpTagVec_respQ_FULL_N; + + // ports of submodule qpMetaData_qpVec_0 + reg [300 : 0] qpMetaData_qpVec_0_srvPortQP_request_put; + wire [648 : 0] qpMetaData_qpVec_0_respPktPipeIn_pktMetaData_put; + wire [600 : 0] qpMetaData_qpVec_0_workReqIn_put; + wire [382 : 0] qpMetaData_qpVec_0_dmaReadClt4SQ_response_put; + wire [289 : 0] qpMetaData_qpVec_0_rdmaReqPipeOut_first, + qpMetaData_qpVec_0_respPktPipeIn_payload_put; + wire [273 : 0] qpMetaData_qpVec_0_srvPortQP_response_get; + wire [221 : 0] qpMetaData_qpVec_0_workCompPipeOutSQ_first; + wire [169 : 0] qpMetaData_qpVec_0_dmaReadClt4SQ_request_get; + wire [31 : 0] qpMetaData_qpVec_0_statusSQ_comm_getQKEY; + wire [3 : 0] qpMetaData_qpVec_0_statusSQ_getTypeQP; + wire [2 : 0] qpMetaData_qpVec_0_statusSQ_comm_getPMTU; + wire qpMetaData_qpVec_0_EN_dmaReadClt4SQ_request_get, + qpMetaData_qpVec_0_EN_dmaReadClt4SQ_response_put, + qpMetaData_qpVec_0_EN_rdmaReqPipeOut_deq, + qpMetaData_qpVec_0_EN_respPktPipeIn_payload_put, + qpMetaData_qpVec_0_EN_respPktPipeIn_pktMetaData_put, + qpMetaData_qpVec_0_EN_srvPortQP_request_put, + qpMetaData_qpVec_0_EN_srvPortQP_response_get, + qpMetaData_qpVec_0_EN_workCompPipeOutSQ_deq, + qpMetaData_qpVec_0_EN_workReqIn_put, + qpMetaData_qpVec_0_RDY_dmaReadClt4SQ_request_get, + qpMetaData_qpVec_0_RDY_dmaReadClt4SQ_response_put, + qpMetaData_qpVec_0_RDY_rdmaReqPipeOut_deq, + qpMetaData_qpVec_0_RDY_rdmaReqPipeOut_first, + qpMetaData_qpVec_0_RDY_respPktPipeIn_payload_put, + qpMetaData_qpVec_0_RDY_respPktPipeIn_pktMetaData_put, + qpMetaData_qpVec_0_RDY_srvPortQP_request_put, + qpMetaData_qpVec_0_RDY_srvPortQP_response_get, + qpMetaData_qpVec_0_RDY_statusSQ_comm_getPMTU, + qpMetaData_qpVec_0_RDY_statusSQ_comm_getQKEY, + qpMetaData_qpVec_0_RDY_workCompPipeOutSQ_deq, + qpMetaData_qpVec_0_RDY_workCompPipeOutSQ_first, + qpMetaData_qpVec_0_RDY_workReqIn_put, + qpMetaData_qpVec_0_statusSQ_comm_isERR, + qpMetaData_qpVec_0_statusSQ_comm_isNonErr, + qpMetaData_qpVec_0_statusSQ_comm_isRTS; + + // ports of submodule sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ + wire [221 : 0] sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_IN, + sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_OUT; + wire sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_CLR, + sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_DEQ, + sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N, + sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_ENQ, + sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_FULL_N; + + // ports of submodule workReqPipeOutVec_workReqOutVec_0 + wire [600 : 0] workReqPipeOutVec_workReqOutVec_0_D_IN, + workReqPipeOutVec_workReqOutVec_0_D_OUT; + wire workReqPipeOutVec_workReqOutVec_0_CLR, + workReqPipeOutVec_workReqOutVec_0_DEQ, + workReqPipeOutVec_workReqOutVec_0_EMPTY_N, + workReqPipeOutVec_workReqOutVec_0_ENQ, + workReqPipeOutVec_workReqOutVec_0_FULL_N; + + // rule scheduling signals + wire CAN_FIRE_RL_addNoErrWorkCompOutRule_0_checkEmptyPipeIn, + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_dispatchResponse, + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_extractReq, + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_issueArbitratedReq, + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_binaryArbitrate, + CAN_FIRE_RL_dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate, + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader, + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_extraLastFrag, + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData, + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader, + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData, + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_rl_deq, + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_rl_into_buffer, + CAN_FIRE_RL_metaDataSrv_genResp4MR, + CAN_FIRE_RL_metaDataSrv_genResp4PD, + CAN_FIRE_RL_metaDataSrv_genResp4QP, + CAN_FIRE_RL_metaDataSrv_issueReq4MR, + CAN_FIRE_RL_metaDataSrv_issueReq4PD, + CAN_FIRE_RL_metaDataSrv_issueReq4QP, + CAN_FIRE_RL_metaDataSrv_recvMetaDataReq, + CAN_FIRE_RL_mkConnectionGetPut, + CAN_FIRE_RL_mkConnectionGetPut_1, + CAN_FIRE_RL_mkConnectionGetPut_2, + CAN_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_clearAll, + CAN_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp, + CAN_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genRemoveResp, + CAN_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_recvReq, + CAN_FIRE_RL_pdMetaData_pdTagVec_clearAll, + CAN_FIRE_RL_pdMetaData_pdTagVec_genInsertResp, + CAN_FIRE_RL_pdMetaData_pdTagVec_genRemoveResp, + CAN_FIRE_RL_pdMetaData_pdTagVec_recvReq, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_rl_into_buffer, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData, + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag, + CAN_FIRE_RL_qpMetaData_handleReqQP, + CAN_FIRE_RL_qpMetaData_qpTagVec_clearAll, + CAN_FIRE_RL_qpMetaData_qpTagVec_genInsertResp, + CAN_FIRE_RL_qpMetaData_qpTagVec_genRemoveResp, + CAN_FIRE_RL_qpMetaData_qpTagVec_recvReq, + CAN_FIRE_RL_sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate, + CAN_FIRE_RL_workReqPipeOutVec_dispatchWorkReq, + CAN_FIRE_dmaReadClt_request_get, + CAN_FIRE_dmaReadClt_response_put, + CAN_FIRE_rdmaDataStreamInput_put, + CAN_FIRE_rdmaDataStreamPipeOut_deq, + CAN_FIRE_srvPortMetaData_request_put, + CAN_FIRE_srvPortMetaData_response_get, + CAN_FIRE_workCompPipeOutSQ_deq, + CAN_FIRE_workReqInput_put, + WILL_FIRE_RL_addNoErrWorkCompOutRule_0_checkEmptyPipeIn, + WILL_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_dispatchResponse, + WILL_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_extractReq, + WILL_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_issueArbitratedReq, + WILL_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_binaryArbitrate, + WILL_FIRE_RL_dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate, + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader, + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_extraLastFrag, + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData, + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader, + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData, + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_rl_deq, + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_rl_into_buffer, + WILL_FIRE_RL_metaDataSrv_genResp4MR, + WILL_FIRE_RL_metaDataSrv_genResp4PD, + WILL_FIRE_RL_metaDataSrv_genResp4QP, + WILL_FIRE_RL_metaDataSrv_issueReq4MR, + WILL_FIRE_RL_metaDataSrv_issueReq4PD, + WILL_FIRE_RL_metaDataSrv_issueReq4QP, + WILL_FIRE_RL_metaDataSrv_recvMetaDataReq, + WILL_FIRE_RL_mkConnectionGetPut, + WILL_FIRE_RL_mkConnectionGetPut_1, + WILL_FIRE_RL_mkConnectionGetPut_2, + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_clearAll, + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp, + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genRemoveResp, + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_recvReq, + WILL_FIRE_RL_pdMetaData_pdTagVec_clearAll, + WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp, + WILL_FIRE_RL_pdMetaData_pdTagVec_genRemoveResp, + WILL_FIRE_RL_pdMetaData_pdTagVec_recvReq, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_rl_into_buffer, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData, + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag, + WILL_FIRE_RL_qpMetaData_handleReqQP, + WILL_FIRE_RL_qpMetaData_qpTagVec_clearAll, + WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp, + WILL_FIRE_RL_qpMetaData_qpTagVec_genRemoveResp, + WILL_FIRE_RL_qpMetaData_qpTagVec_recvReq, + WILL_FIRE_RL_sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate, + WILL_FIRE_RL_workReqPipeOutVec_dispatchWorkReq, + WILL_FIRE_dmaReadClt_request_get, + WILL_FIRE_dmaReadClt_response_put, + WILL_FIRE_rdmaDataStreamInput_put, + WILL_FIRE_rdmaDataStreamPipeOut_deq, + WILL_FIRE_srvPortMetaData_request_put, + WILL_FIRE_srvPortMetaData_response_get, + WILL_FIRE_workCompPipeOutSQ_deq, + WILL_FIRE_workReqInput_put; + + // inputs to muxes for submodule ports + reg [2 : 0] MUX_metaDataSrv_stateReg_write_1__VAL_1; + wire [289 : 0] MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_enq_1__VAL_2, + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_enq_1__VAL_3; + wire [275 : 0] MUX_metaDataSrv_metaDataRespQ_enq_1__VAL_1, + MUX_metaDataSrv_metaDataRespQ_enq_1__VAL_2, + MUX_metaDataSrv_metaDataRespQ_enq_1__VAL_3; + wire [199 : 0] MUX_pdMetaData_pdMrVec_0_mrTagVec_respQ_enq_1__VAL_1, + MUX_pdMetaData_pdMrVec_0_mrTagVec_respQ_enq_1__VAL_2; + wire [32 : 0] MUX_pdMetaData_pdTagVec_respQ_enq_1__VAL_1, + MUX_pdMetaData_pdTagVec_respQ_enq_1__VAL_2, + MUX_qpMetaData_qpTagVec_respQ_enq_1__VAL_1, + MUX_qpMetaData_qpTagVec_respQ_enq_1__VAL_2; + wire [31 : 0] MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_write_1__VAL_1, + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_write_1__VAL_2; + wire [16 : 0] MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_write_1__VAL_1, + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_write_1__VAL_2; + wire [1 : 0] MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_write_1__VAL_1, + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_write_1__VAL_2, + MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg_write_1__VAL_1, + MUX_pdMetaData_pdTagVec_tagVecStateReg_write_1__VAL_1, + MUX_qpMetaData_qpTagVec_tagVecStateReg_write_1__VAL_1; + wire MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg_write_1__PSEL_1, + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_write_1__SEL_1, + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_write_1__SEL_1, + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_write_1__VAL_1, + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg_write_1__VAL_1, + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg_write_1__VAL_2, + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_enq_1__SEL_1, + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_write_1__SEL_1, + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_write_1__SEL_1, + MUX_metaDataSrv_stateReg_write_1__SEL_1, + MUX_metaDataSrv_stateReg_write_1__SEL_2, + MUX_pdMetaData_pdMrVec_0_mrTagVec_emptyReg_write_1__SEL_1, + MUX_pdMetaData_pdMrVec_0_mrTagVec_fullReg_write_1__VAL_1, + MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_write_1__SEL_1, + MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_write_1__SEL_2, + MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVec_1_write_1__SEL_1, + MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVec_1_write_1__SEL_2, + MUX_pdMetaData_pdTagVec_emptyReg_write_1__SEL_1, + MUX_pdMetaData_pdTagVec_tagVec_0_write_1__SEL_1, + MUX_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_write_1__SEL_1, + MUX_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg_write_1__SEL_1, + MUX_qpMetaData_qpTagVec_emptyReg_write_1__SEL_1, + MUX_qpMetaData_qpTagVec_tagVec_0_write_1__SEL_1; + + // declarations used by system tasks + // synopsys translate_off + reg [63 : 0] v__h5492; + reg [63 : 0] v__h2324; + reg [63 : 0] v__h22546; + reg [63 : 0] v__h17340; + reg [63 : 0] v__h31186; + reg [63 : 0] v__h31150; + reg [63 : 0] v__h8367; + reg [63 : 0] v__h36179; + reg [63 : 0] v__h38749; + reg [63 : 0] v__h26277; + reg [63 : 0] v__h29124; + reg [63 : 0] v__h29221; + reg [63 : 0] v__h29617; + reg [63 : 0] v__h40540; + // synopsys translate_on + + // remaining internal signals + reg [272 : 0] CASE_qpMetaData_qpReqQ4RespD_OUT_BITS_300_TO__ETC__q4; + reg [63 : 0] CASE_pdMetaData_pdMrVec_0_mrTagVec_reqQD_OUT__ETC__q3; + reg [31 : 0] CASE_qpMetaData_qpReqQ4CntrlD_OUT_BITS_300_TO_ETC__q5, + x__h38115, + x__h6207, + x__h6223; + reg [30 : 0] x__h6228, x__h6233; + reg [23 : 0] CASE_qpMetaData_qpReqQ4CntrlD_OUT_BITS_300_TO_ETC__q6; + reg [12 : 0] pktLen__h36984; + reg [7 : 0] CASE_pdMetaData_pdMrVec_0_mrTagVec_reqQD_OUT__ETC__q2, + pktFragNum__h36981; + reg [6 : 0] headerLen__h22004; + reg [5 : 0] fragLen__h36262; + reg CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q1, + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q10, + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q11, + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q7, + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q8, + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q9, + IF_pktMetaDataAndPayloadPipeOutVec_payloadPktL_ETC___d2151, + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1842, + IF_qpMetaData_qpReqQ4Cntrl_first__66_BITS_300__ETC___d184, + IF_qpMetaData_qpReqQ4Resp_first__91_BITS_300_T_ETC___d314, + SEL_ARR_pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_ETC___d56; + wire [511 : 0] _theResult___headerData__h26503, + outData__h21288, + rdmaHeader_headerData__h26487, + x1_avValue_headerData__h29692; + wire [298 : 0] IF_qpMetaData_qpReqQ4Cntrl_first__66_BITS_300__ETC___d207; + wire [272 : 0] IF_pdMetaData_pdTagVec_tagVec_0_4_THEN_IF_qpMe_ETC___d338, + IF_qpMetaData_qpReqQ4Resp_first__91_BIT_301_04_ETC___d333; + wire [261 : 0] IF_pdMetaData_pdTagVec_tagVec_0_4_THEN_pdMetaD_ETC___d279; + wire [255 : 0] leftShiftData__h21796; + wire [197 : 0] SEL_ARR_pdMetaData_pdMrVec_0_mrTagVec_dataVec__ETC___d124; + wire [101 : 0] SEL_ARR_pdMetaData_pdMrVec_0_mrTagVec_dataVec__ETC___d123; + wire [63 : 0] outByteEn__h21289, + rdmaHeader_headerByteEn__h26488, + rdmaHeader_headerByteEn__h26491, + x1_avValue_headerByteEn__h29693; + wire [31 : 0] _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428, + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379, + headerLastFragByteEn__h26468, + leftShiftByteEn__h21797, + lkey__h13067, + mrReqKey__h12289, + rightAlignedByteEn__h33653, + rkey__h13068, + x1_avValue_pdHandler__h10043, + x1_avValue_snd_byteEn__h21189, + x1_avValue_snd_byteEn__h21193, + y_avValue_byteEn__h20788; + wire [23 : 0] dqpn__h31384, x1_avValue_qpn__h10044; + wire [16 : 0] IF_headerAndMetaDataAndPayloadPipeOut_headerAn_ETC___d1422; + wire [12 : 0] bits__h38056, + fragLenExtWithOutPad__h36979, + pktLen__h37124, + pktLen__h37192, + x__h38061, + y__h38112; + wire [7 : 0] pktFragNum__h37125, pktMetaData_pktFragNum__h38817; + wire [6 : 0] _theResult___headerMetaData_headerLen__h26602; + wire [5 : 0] fragValidByteNum__h26706, + headerLastFragInvalidByteNum__h17427, + lastFragValidByteNum__h22722, + lastFragValidByteNum__h22801, + value__h36219, + x__h36323; + wire [1 : 0] _theResult___headerMetaData_headerFragNum__h26603, + bits__h21377, + bits__h30478, + bits__h36334, + headerFragNum__h22721, + headerInvalidFragNum__h26376, + headerMetaData_headerFragNum__h21034, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipe_ETC___d1419, + v__h33300, + x_headerFragNum__h20036; + wire IF_headerAndMetaDataAndPayloadPipeOut_headerAn_ETC___d451, + IF_pktMetaDataAndPayloadPipeOutVec_payloadPktL_ETC___d2265, + IF_pktMetaDataAndPayloadPipeOutVec_payloadRecv_ETC___d1675, + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1849, + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1855, + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1884, + NOT_headerAndMetaDataAndPayloadPipeOut_headerA_ETC___d1518, + NOT_headerAndMetaDataAndPayloadPipeOut_headerA_ETC___d1549, + NOT_pdMetaData_pdTagVec_tagVec_0_4_1_OR_qpMeta_ETC___d253, + metaDataSrv_metaDataRespQ_i_notFull__60_AND_NO_ETC___d318, + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ETC___d1861, + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ETC___d1918, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenC_ETC___d1950, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenC_ETC___d2185, + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_i_ETC___d1565, + pktMetaDataAndPayloadPipeOutVec_payloadValidat_ETC___d1712, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilt_ETC___d1910, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecv_ETC___d1674, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderVali_ETC___d1858, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderVali_ETC___d1886, + x__h22733; + + // action method workReqInput_put + assign RDY_workReqInput_put = inputWorkReqQ_FULL_N ; + assign CAN_FIRE_workReqInput_put = inputWorkReqQ_FULL_N ; + assign WILL_FIRE_workReqInput_put = EN_workReqInput_put ; + + // action method rdmaDataStreamInput_put + assign RDY_rdmaDataStreamInput_put = inputDataStreamQ_FULL_N ; + assign CAN_FIRE_rdmaDataStreamInput_put = inputDataStreamQ_FULL_N ; + assign WILL_FIRE_rdmaDataStreamInput_put = EN_rdmaDataStreamInput_put ; + + // value method rdmaDataStreamPipeOut_first + assign rdmaDataStreamPipeOut_first = + dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_OUT ; + assign RDY_rdmaDataStreamPipeOut_first = + dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N ; + + // action method rdmaDataStreamPipeOut_deq + assign RDY_rdmaDataStreamPipeOut_deq = + dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N ; + assign CAN_FIRE_rdmaDataStreamPipeOut_deq = + dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N ; + assign WILL_FIRE_rdmaDataStreamPipeOut_deq = EN_rdmaDataStreamPipeOut_deq ; + + // value method rdmaDataStreamPipeOut_notEmpty + assign rdmaDataStreamPipeOut_notEmpty = + dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N ; + assign RDY_rdmaDataStreamPipeOut_notEmpty = 1'd1 ; + + // value method workCompPipeOutSQ_first + assign workCompPipeOutSQ_first = + sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_OUT ; + assign RDY_workCompPipeOutSQ_first = + sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N ; + + // action method workCompPipeOutSQ_deq + assign RDY_workCompPipeOutSQ_deq = + sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N ; + assign CAN_FIRE_workCompPipeOutSQ_deq = + sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N ; + assign WILL_FIRE_workCompPipeOutSQ_deq = EN_workCompPipeOutSQ_deq ; + + // value method workCompPipeOutSQ_notEmpty + assign workCompPipeOutSQ_notEmpty = + sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N ; + assign RDY_workCompPipeOutSQ_notEmpty = 1'd1 ; + + // action method srvPortMetaData_request_put + assign RDY_srvPortMetaData_request_put = metaDataSrv_metaDataReqQ_FULL_N ; + assign CAN_FIRE_srvPortMetaData_request_put = + metaDataSrv_metaDataReqQ_FULL_N ; + assign WILL_FIRE_srvPortMetaData_request_put = + EN_srvPortMetaData_request_put ; + + // actionvalue method srvPortMetaData_response_get + assign srvPortMetaData_response_get = metaDataSrv_metaDataRespQ_D_OUT ; + assign RDY_srvPortMetaData_response_get = + metaDataSrv_metaDataRespQ_EMPTY_N ; + assign CAN_FIRE_srvPortMetaData_response_get = + metaDataSrv_metaDataRespQ_EMPTY_N ; + assign WILL_FIRE_srvPortMetaData_response_get = + EN_srvPortMetaData_response_get ; + + // actionvalue method dmaReadClt_request_get + assign dmaReadClt_request_get = + arbitratedDmaReadClt_arbitratedClient_reqQ_D_OUT ; + assign RDY_dmaReadClt_request_get = + arbitratedDmaReadClt_arbitratedClient_reqQ_EMPTY_N ; + assign CAN_FIRE_dmaReadClt_request_get = + arbitratedDmaReadClt_arbitratedClient_reqQ_EMPTY_N ; + assign WILL_FIRE_dmaReadClt_request_get = EN_dmaReadClt_request_get ; + + // action method dmaReadClt_response_put + assign RDY_dmaReadClt_response_put = + arbitratedDmaReadClt_arbitratedClient_respQ_FULL_N ; + assign CAN_FIRE_dmaReadClt_response_put = + arbitratedDmaReadClt_arbitratedClient_respQ_FULL_N ; + assign WILL_FIRE_dmaReadClt_response_put = EN_dmaReadClt_response_put ; + + // submodule arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0 + FIFO2 #(.width(32'd170), + .guarded(1'd1)) arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0(.RST(RST_N), + .CLK(CLK), + .D_IN(arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_D_IN), + .ENQ(arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_ENQ), + .DEQ(arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_DEQ), + .CLR(arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_CLR), + .D_OUT(arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_D_OUT), + .FULL_N(arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_FULL_N), + .EMPTY_N(arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_EMPTY_N)); + + // submodule arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ + FIFO2 #(.width(32'd170), + .guarded(1'd1)) arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_IN), + .ENQ(arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_ENQ), + .DEQ(arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_DEQ), + .CLR(arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_CLR), + .D_OUT(arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_OUT), + .FULL_N(arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_FULL_N), + .EMPTY_N(arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N)); + + // submodule arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ + FIFO20 #(.guarded(1'd1)) arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ(.RST(RST_N), + .CLK(CLK), + .ENQ(arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_ENQ), + .DEQ(arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_DEQ), + .CLR(arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_CLR), + .FULL_N(arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_FULL_N), + .EMPTY_N(arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_EMPTY_N)); + + // submodule arbitratedDmaReadClt_arbitratedClient_reqQ + FIFO2 #(.width(32'd170), + .guarded(1'd1)) arbitratedDmaReadClt_arbitratedClient_reqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(arbitratedDmaReadClt_arbitratedClient_reqQ_D_IN), + .ENQ(arbitratedDmaReadClt_arbitratedClient_reqQ_ENQ), + .DEQ(arbitratedDmaReadClt_arbitratedClient_reqQ_DEQ), + .CLR(arbitratedDmaReadClt_arbitratedClient_reqQ_CLR), + .D_OUT(arbitratedDmaReadClt_arbitratedClient_reqQ_D_OUT), + .FULL_N(arbitratedDmaReadClt_arbitratedClient_reqQ_FULL_N), + .EMPTY_N(arbitratedDmaReadClt_arbitratedClient_reqQ_EMPTY_N)); + + // submodule arbitratedDmaReadClt_arbitratedClient_respQ + FIFO2 #(.width(32'd383), + .guarded(1'd1)) arbitratedDmaReadClt_arbitratedClient_respQ(.RST(RST_N), + .CLK(CLK), + .D_IN(arbitratedDmaReadClt_arbitratedClient_respQ_D_IN), + .ENQ(arbitratedDmaReadClt_arbitratedClient_respQ_ENQ), + .DEQ(arbitratedDmaReadClt_arbitratedClient_respQ_DEQ), + .CLR(arbitratedDmaReadClt_arbitratedClient_respQ_CLR), + .D_OUT(arbitratedDmaReadClt_arbitratedClient_respQ_D_OUT), + .FULL_N(arbitratedDmaReadClt_arbitratedClient_respQ_FULL_N), + .EMPTY_N(arbitratedDmaReadClt_arbitratedClient_respQ_EMPTY_N)); + + // submodule dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ + FIFO2 #(.width(32'd290), + .guarded(1'd1)) dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_IN), + .ENQ(dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_ENQ), + .DEQ(dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_DEQ), + .CLR(dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_CLR), + .D_OUT(dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_OUT), + .FULL_N(dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_FULL_N), + .EMPTY_N(dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N)); + + // submodule headerAndMetaDataAndPayloadPipeOut_dataInQ + FIFO2 #(.width(32'd290), + .guarded(1'd1)) headerAndMetaDataAndPayloadPipeOut_dataInQ(.RST(RST_N), + .CLK(CLK), + .D_IN(headerAndMetaDataAndPayloadPipeOut_dataInQ_D_IN), + .ENQ(headerAndMetaDataAndPayloadPipeOut_dataInQ_ENQ), + .DEQ(headerAndMetaDataAndPayloadPipeOut_dataInQ_DEQ), + .CLR(headerAndMetaDataAndPayloadPipeOut_dataInQ_CLR), + .D_OUT(headerAndMetaDataAndPayloadPipeOut_dataInQ_D_OUT), + .FULL_N(headerAndMetaDataAndPayloadPipeOut_dataInQ_FULL_N), + .EMPTY_N(headerAndMetaDataAndPayloadPipeOut_dataInQ_EMPTY_N)); + + // submodule headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ + FIFO2 #(.width(32'd290), + .guarded(1'd1)) headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_IN), + .ENQ(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_ENQ), + .DEQ(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_DEQ), + .CLR(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_CLR), + .D_OUT(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT), + .FULL_N(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_FULL_N), + .EMPTY_N(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_EMPTY_N)); + + // submodule headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ + FIFO2 #(.width(32'd290), + .guarded(1'd1)) headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_D_IN), + .ENQ(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_ENQ), + .DEQ(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_DEQ), + .CLR(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_CLR), + .D_OUT(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_D_OUT), + .FULL_N(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_FULL_N), + .EMPTY_N(headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_EMPTY_N)); + + // submodule headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ + FIFO2 #(.width(32'd17), + .guarded(1'd1)) headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ(.RST(RST_N), + .CLK(CLK), + .D_IN(headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_IN), + .ENQ(headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_ENQ), + .DEQ(headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_DEQ), + .CLR(headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_CLR), + .D_OUT(headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT), + .FULL_N(headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_FULL_N), + .EMPTY_N(headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_EMPTY_N)); + + // submodule inputDataStreamQ + FIFO2 #(.width(32'd290), .guarded(1'd1)) inputDataStreamQ(.RST(RST_N), + .CLK(CLK), + .D_IN(inputDataStreamQ_D_IN), + .ENQ(inputDataStreamQ_ENQ), + .DEQ(inputDataStreamQ_DEQ), + .CLR(inputDataStreamQ_CLR), + .D_OUT(inputDataStreamQ_D_OUT), + .FULL_N(inputDataStreamQ_FULL_N), + .EMPTY_N(inputDataStreamQ_EMPTY_N)); + + // submodule inputWorkReqQ + FIFO2 #(.width(32'd601), .guarded(1'd1)) inputWorkReqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(inputWorkReqQ_D_IN), + .ENQ(inputWorkReqQ_ENQ), + .DEQ(inputWorkReqQ_DEQ), + .CLR(inputWorkReqQ_CLR), + .D_OUT(inputWorkReqQ_D_OUT), + .FULL_N(inputWorkReqQ_FULL_N), + .EMPTY_N(inputWorkReqQ_EMPTY_N)); + + // submodule metaDataSrv_metaDataReqQ + FIFO2 #(.width(32'd303), + .guarded(1'd1)) metaDataSrv_metaDataReqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(metaDataSrv_metaDataReqQ_D_IN), + .ENQ(metaDataSrv_metaDataReqQ_ENQ), + .DEQ(metaDataSrv_metaDataReqQ_DEQ), + .CLR(metaDataSrv_metaDataReqQ_CLR), + .D_OUT(metaDataSrv_metaDataReqQ_D_OUT), + .FULL_N(metaDataSrv_metaDataReqQ_FULL_N), + .EMPTY_N(metaDataSrv_metaDataReqQ_EMPTY_N)); + + // submodule metaDataSrv_metaDataRespQ + FIFO2 #(.width(32'd276), + .guarded(1'd1)) metaDataSrv_metaDataRespQ(.RST(RST_N), + .CLK(CLK), + .D_IN(metaDataSrv_metaDataRespQ_D_IN), + .ENQ(metaDataSrv_metaDataRespQ_ENQ), + .DEQ(metaDataSrv_metaDataRespQ_DEQ), + .CLR(metaDataSrv_metaDataRespQ_CLR), + .D_OUT(metaDataSrv_metaDataRespQ_D_OUT), + .FULL_N(metaDataSrv_metaDataRespQ_FULL_N), + .EMPTY_N(metaDataSrv_metaDataRespQ_EMPTY_N)); + + // submodule pdMetaData_pdMrVec_0_mrTagVec_itemCnt + Counter #(.width(32'd2), + .init(2'd0)) pdMetaData_pdMrVec_0_mrTagVec_itemCnt(.CLK(CLK), + .RST(RST_N), + .DATA_A(pdMetaData_pdMrVec_0_mrTagVec_itemCnt_DATA_A), + .DATA_B(pdMetaData_pdMrVec_0_mrTagVec_itemCnt_DATA_B), + .DATA_C(pdMetaData_pdMrVec_0_mrTagVec_itemCnt_DATA_C), + .DATA_F(pdMetaData_pdMrVec_0_mrTagVec_itemCnt_DATA_F), + .ADDA(pdMetaData_pdMrVec_0_mrTagVec_itemCnt_ADDA), + .ADDB(pdMetaData_pdMrVec_0_mrTagVec_itemCnt_ADDB), + .SETC(pdMetaData_pdMrVec_0_mrTagVec_itemCnt_SETC), + .SETF(pdMetaData_pdMrVec_0_mrTagVec_itemCnt_SETF), + .Q_OUT(pdMetaData_pdMrVec_0_mrTagVec_itemCnt_Q_OUT)); + + // submodule pdMetaData_pdMrVec_0_mrTagVec_reqQ + FIFO2 #(.width(32'd200), + .guarded(1'd1)) pdMetaData_pdMrVec_0_mrTagVec_reqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_IN), + .ENQ(pdMetaData_pdMrVec_0_mrTagVec_reqQ_ENQ), + .DEQ(pdMetaData_pdMrVec_0_mrTagVec_reqQ_DEQ), + .CLR(pdMetaData_pdMrVec_0_mrTagVec_reqQ_CLR), + .D_OUT(pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT), + .FULL_N(pdMetaData_pdMrVec_0_mrTagVec_reqQ_FULL_N), + .EMPTY_N(pdMetaData_pdMrVec_0_mrTagVec_reqQ_EMPTY_N)); + + // submodule pdMetaData_pdMrVec_0_mrTagVec_respQ + FIFO2 #(.width(32'd200), + .guarded(1'd1)) pdMetaData_pdMrVec_0_mrTagVec_respQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pdMetaData_pdMrVec_0_mrTagVec_respQ_D_IN), + .ENQ(pdMetaData_pdMrVec_0_mrTagVec_respQ_ENQ), + .DEQ(pdMetaData_pdMrVec_0_mrTagVec_respQ_DEQ), + .CLR(pdMetaData_pdMrVec_0_mrTagVec_respQ_CLR), + .D_OUT(pdMetaData_pdMrVec_0_mrTagVec_respQ_D_OUT), + .FULL_N(pdMetaData_pdMrVec_0_mrTagVec_respQ_FULL_N), + .EMPTY_N(pdMetaData_pdMrVec_0_mrTagVec_respQ_EMPTY_N)); + + // submodule pdMetaData_pdTagVec_reqQ + FIFO2 #(.width(32'd33), + .guarded(1'd1)) pdMetaData_pdTagVec_reqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pdMetaData_pdTagVec_reqQ_D_IN), + .ENQ(pdMetaData_pdTagVec_reqQ_ENQ), + .DEQ(pdMetaData_pdTagVec_reqQ_DEQ), + .CLR(pdMetaData_pdTagVec_reqQ_CLR), + .D_OUT(pdMetaData_pdTagVec_reqQ_D_OUT), + .FULL_N(pdMetaData_pdTagVec_reqQ_FULL_N), + .EMPTY_N(pdMetaData_pdTagVec_reqQ_EMPTY_N)); + + // submodule pdMetaData_pdTagVec_respQ + FIFO2 #(.width(32'd33), + .guarded(1'd1)) pdMetaData_pdTagVec_respQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pdMetaData_pdTagVec_respQ_D_IN), + .ENQ(pdMetaData_pdTagVec_respQ_ENQ), + .DEQ(pdMetaData_pdTagVec_respQ_DEQ), + .CLR(pdMetaData_pdTagVec_respQ_CLR), + .D_OUT(pdMetaData_pdTagVec_respQ_D_OUT), + .FULL_N(pdMetaData_pdTagVec_respQ_FULL_N), + .EMPTY_N(pdMetaData_pdTagVec_respQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0 + FIFO2 #(.width(32'd96), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_CLR), + .D_OUT(), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadFilterQ + FIFO2 #(.width(32'd290), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_payloadFilterQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ + FIFO2 #(.width(32'd290), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadOutputQ + FIFO2 #(.width(32'd291), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_payloadOutputQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ + FIFO2 #(.width(32'd304), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ + FIFO2 #(.width(32'd291), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ + FIFO2 #(.width(32'd291), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ + FIFO2 #(.width(32'd290), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadRecvQ + FIFO2 #(.width(32'd290), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_payloadRecvQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadValidationQ + FIFO2 #(.width(32'd290), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_payloadValidationQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ + FIFO2 #(.width(32'd754), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ + FIFO2 #(.width(32'd754), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ + FIFO2 #(.width(32'd650), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ + FIFO2 #(.width(32'd593), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ + FIFO2 #(.width(32'd754), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ + FIFO2 #(.width(32'd713), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ + FIFO2 #(.width(32'd710), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ + FIFO2 #(.width(32'd689), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ + FIFO2 #(.width(32'd692), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ + FIFO2 #(.width(32'd783), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0 + FIFO2 #(.width(32'd290), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_EMPTY_N)); + + // submodule pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0 + FIFO2 #(.width(32'd649), + .guarded(1'd1)) pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0(.RST(RST_N), + .CLK(CLK), + .D_IN(pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_D_IN), + .ENQ(pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_ENQ), + .DEQ(pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_DEQ), + .CLR(pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_CLR), + .D_OUT(pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_D_OUT), + .FULL_N(pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_FULL_N), + .EMPTY_N(pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_EMPTY_N)); + + // submodule qpMetaData_qpReqQ4Cntrl + FIFO2 #(.width(32'd301), + .guarded(1'd1)) qpMetaData_qpReqQ4Cntrl(.RST(RST_N), + .CLK(CLK), + .D_IN(qpMetaData_qpReqQ4Cntrl_D_IN), + .ENQ(qpMetaData_qpReqQ4Cntrl_ENQ), + .DEQ(qpMetaData_qpReqQ4Cntrl_DEQ), + .CLR(qpMetaData_qpReqQ4Cntrl_CLR), + .D_OUT(qpMetaData_qpReqQ4Cntrl_D_OUT), + .FULL_N(qpMetaData_qpReqQ4Cntrl_FULL_N), + .EMPTY_N(qpMetaData_qpReqQ4Cntrl_EMPTY_N)); + + // submodule qpMetaData_qpReqQ4Resp + FIFO2 #(.width(32'd302), .guarded(1'd1)) qpMetaData_qpReqQ4Resp(.RST(RST_N), + .CLK(CLK), + .D_IN(qpMetaData_qpReqQ4Resp_D_IN), + .ENQ(qpMetaData_qpReqQ4Resp_ENQ), + .DEQ(qpMetaData_qpReqQ4Resp_DEQ), + .CLR(qpMetaData_qpReqQ4Resp_CLR), + .D_OUT(qpMetaData_qpReqQ4Resp_D_OUT), + .FULL_N(qpMetaData_qpReqQ4Resp_FULL_N), + .EMPTY_N(qpMetaData_qpReqQ4Resp_EMPTY_N)); + + // submodule qpMetaData_qpTagVec_reqQ + FIFO2 #(.width(32'd33), + .guarded(1'd1)) qpMetaData_qpTagVec_reqQ(.RST(RST_N), + .CLK(CLK), + .D_IN(qpMetaData_qpTagVec_reqQ_D_IN), + .ENQ(qpMetaData_qpTagVec_reqQ_ENQ), + .DEQ(qpMetaData_qpTagVec_reqQ_DEQ), + .CLR(qpMetaData_qpTagVec_reqQ_CLR), + .D_OUT(qpMetaData_qpTagVec_reqQ_D_OUT), + .FULL_N(qpMetaData_qpTagVec_reqQ_FULL_N), + .EMPTY_N(qpMetaData_qpTagVec_reqQ_EMPTY_N)); + + // submodule qpMetaData_qpTagVec_respQ + FIFO2 #(.width(32'd33), + .guarded(1'd1)) qpMetaData_qpTagVec_respQ(.RST(RST_N), + .CLK(CLK), + .D_IN(qpMetaData_qpTagVec_respQ_D_IN), + .ENQ(qpMetaData_qpTagVec_respQ_ENQ), + .DEQ(qpMetaData_qpTagVec_respQ_DEQ), + .CLR(qpMetaData_qpTagVec_respQ_CLR), + .D_OUT(qpMetaData_qpTagVec_respQ_D_OUT), + .FULL_N(qpMetaData_qpTagVec_respQ_FULL_N), + .EMPTY_N(qpMetaData_qpTagVec_respQ_EMPTY_N)); + + // submodule qpMetaData_qpVec_0 + mkQP qpMetaData_qpVec_0(.CLK(CLK), + .RST_N(RST_N), + .dmaReadClt4SQ_response_put(qpMetaData_qpVec_0_dmaReadClt4SQ_response_put), + .respPktPipeIn_payload_put(qpMetaData_qpVec_0_respPktPipeIn_payload_put), + .respPktPipeIn_pktMetaData_put(qpMetaData_qpVec_0_respPktPipeIn_pktMetaData_put), + .srvPortQP_request_put(qpMetaData_qpVec_0_srvPortQP_request_put), + .workReqIn_put(qpMetaData_qpVec_0_workReqIn_put), + .EN_srvPortQP_request_put(qpMetaData_qpVec_0_EN_srvPortQP_request_put), + .EN_srvPortQP_response_get(qpMetaData_qpVec_0_EN_srvPortQP_response_get), + .EN_workReqIn_put(qpMetaData_qpVec_0_EN_workReqIn_put), + .EN_dmaReadClt4SQ_request_get(qpMetaData_qpVec_0_EN_dmaReadClt4SQ_request_get), + .EN_dmaReadClt4SQ_response_put(qpMetaData_qpVec_0_EN_dmaReadClt4SQ_response_put), + .EN_respPktPipeIn_pktMetaData_put(qpMetaData_qpVec_0_EN_respPktPipeIn_pktMetaData_put), + .EN_respPktPipeIn_payload_put(qpMetaData_qpVec_0_EN_respPktPipeIn_payload_put), + .EN_rdmaReqPipeOut_deq(qpMetaData_qpVec_0_EN_rdmaReqPipeOut_deq), + .EN_workCompPipeOutSQ_deq(qpMetaData_qpVec_0_EN_workCompPipeOutSQ_deq), + .RDY_srvPortQP_request_put(qpMetaData_qpVec_0_RDY_srvPortQP_request_put), + .srvPortQP_response_get(qpMetaData_qpVec_0_srvPortQP_response_get), + .RDY_srvPortQP_response_get(qpMetaData_qpVec_0_RDY_srvPortQP_response_get), + .RDY_workReqIn_put(qpMetaData_qpVec_0_RDY_workReqIn_put), + .dmaReadClt4SQ_request_get(qpMetaData_qpVec_0_dmaReadClt4SQ_request_get), + .RDY_dmaReadClt4SQ_request_get(qpMetaData_qpVec_0_RDY_dmaReadClt4SQ_request_get), + .RDY_dmaReadClt4SQ_response_put(qpMetaData_qpVec_0_RDY_dmaReadClt4SQ_response_put), + .RDY_respPktPipeIn_pktMetaData_put(qpMetaData_qpVec_0_RDY_respPktPipeIn_pktMetaData_put), + .RDY_respPktPipeIn_payload_put(qpMetaData_qpVec_0_RDY_respPktPipeIn_payload_put), + .statusSQ_comm_isCreate(), + .RDY_statusSQ_comm_isCreate(), + .statusSQ_comm_isERR(qpMetaData_qpVec_0_statusSQ_comm_isERR), + .RDY_statusSQ_comm_isERR(), + .statusSQ_comm_isInit(), + .RDY_statusSQ_comm_isInit(), + .statusSQ_comm_isReset(), + .RDY_statusSQ_comm_isReset(), + .statusSQ_comm_isRTR(), + .RDY_statusSQ_comm_isRTR(), + .statusSQ_comm_isRTS(qpMetaData_qpVec_0_statusSQ_comm_isRTS), + .RDY_statusSQ_comm_isRTS(), + .statusSQ_comm_isSQD(), + .RDY_statusSQ_comm_isSQD(), + .statusSQ_comm_isNonErr(qpMetaData_qpVec_0_statusSQ_comm_isNonErr), + .RDY_statusSQ_comm_isNonErr(), + .statusSQ_comm_isUnknown(), + .RDY_statusSQ_comm_isUnknown(), + .statusSQ_comm_isRTR2RTS(), + .RDY_statusSQ_comm_isRTR2RTS(), + .statusSQ_comm_isStableRTS(), + .RDY_statusSQ_comm_isStableRTS(), + .statusSQ_comm_getAccessFlags(), + .RDY_statusSQ_comm_getAccessFlags(), + .statusSQ_comm_getMaxRnrCnt(), + .RDY_statusSQ_comm_getMaxRnrCnt(), + .statusSQ_comm_getMaxRetryCnt(), + .RDY_statusSQ_comm_getMaxRetryCnt(), + .statusSQ_comm_getMinRnrTimer(), + .RDY_statusSQ_comm_getMinRnrTimer(), + .statusSQ_comm_getMaxTimeOut(), + .RDY_statusSQ_comm_getMaxTimeOut(), + .statusSQ_comm_getPendingWorkReqNum(), + .RDY_statusSQ_comm_getPendingWorkReqNum(), + .statusSQ_comm_getPendingRecvReqNum(), + .RDY_statusSQ_comm_getPendingRecvReqNum(), + .statusSQ_comm_getPendingReadAtomicReqNum(), + .RDY_statusSQ_comm_getPendingReadAtomicReqNum(), + .statusSQ_comm_getPendingDestReadAtomicReqNum(), + .RDY_statusSQ_comm_getPendingDestReadAtomicReqNum(), + .statusSQ_comm_getSigAll(), + .RDY_statusSQ_comm_getSigAll(), + .statusSQ_comm_getSQPN(), + .RDY_statusSQ_comm_getSQPN(), + .statusSQ_comm_getDQPN(), + .RDY_statusSQ_comm_getDQPN(), + .statusSQ_comm_getPKEY(), + .RDY_statusSQ_comm_getPKEY(), + .statusSQ_comm_getQKEY(qpMetaData_qpVec_0_statusSQ_comm_getQKEY), + .RDY_statusSQ_comm_getQKEY(qpMetaData_qpVec_0_RDY_statusSQ_comm_getQKEY), + .statusSQ_comm_getPMTU(qpMetaData_qpVec_0_statusSQ_comm_getPMTU), + .RDY_statusSQ_comm_getPMTU(qpMetaData_qpVec_0_RDY_statusSQ_comm_getPMTU), + .statusSQ_getTypeQP(qpMetaData_qpVec_0_statusSQ_getTypeQP), + .RDY_statusSQ_getTypeQP(), + .statusSQ_isSQ(), + .RDY_statusSQ_isSQ(), + .rdmaReqPipeOut_first(qpMetaData_qpVec_0_rdmaReqPipeOut_first), + .RDY_rdmaReqPipeOut_first(qpMetaData_qpVec_0_RDY_rdmaReqPipeOut_first), + .RDY_rdmaReqPipeOut_deq(qpMetaData_qpVec_0_RDY_rdmaReqPipeOut_deq), + .rdmaReqPipeOut_notEmpty(), + .RDY_rdmaReqPipeOut_notEmpty(), + .workCompPipeOutSQ_first(qpMetaData_qpVec_0_workCompPipeOutSQ_first), + .RDY_workCompPipeOutSQ_first(qpMetaData_qpVec_0_RDY_workCompPipeOutSQ_first), + .RDY_workCompPipeOutSQ_deq(qpMetaData_qpVec_0_RDY_workCompPipeOutSQ_deq), + .workCompPipeOutSQ_notEmpty(), + .RDY_workCompPipeOutSQ_notEmpty()); + + // submodule sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ + FIFO2 #(.width(32'd222), + .guarded(1'd1)) sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ(.RST(RST_N), + .CLK(CLK), + .D_IN(sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_IN), + .ENQ(sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_ENQ), + .DEQ(sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_DEQ), + .CLR(sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_CLR), + .D_OUT(sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_OUT), + .FULL_N(sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_FULL_N), + .EMPTY_N(sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N)); + + // submodule workReqPipeOutVec_workReqOutVec_0 + FIFO2 #(.width(32'd601), + .guarded(1'd1)) workReqPipeOutVec_workReqOutVec_0(.RST(RST_N), + .CLK(CLK), + .D_IN(workReqPipeOutVec_workReqOutVec_0_D_IN), + .ENQ(workReqPipeOutVec_workReqOutVec_0_ENQ), + .DEQ(workReqPipeOutVec_workReqOutVec_0_DEQ), + .CLR(workReqPipeOutVec_workReqOutVec_0_CLR), + .D_OUT(workReqPipeOutVec_workReqOutVec_0_D_OUT), + .FULL_N(workReqPipeOutVec_workReqOutVec_0_FULL_N), + .EMPTY_N(workReqPipeOutVec_workReqOutVec_0_EMPTY_N)); + + // rule RL_mkConnectionGetPut + assign CAN_FIRE_RL_mkConnectionGetPut = + qpMetaData_qpVec_0_RDY_workReqIn_put && + workReqPipeOutVec_workReqOutVec_0_EMPTY_N ; + assign WILL_FIRE_RL_mkConnectionGetPut = CAN_FIRE_RL_mkConnectionGetPut ; + + // rule RL_mkConnectionGetPut_1 + assign CAN_FIRE_RL_mkConnectionGetPut_1 = + qpMetaData_qpVec_0_RDY_respPktPipeIn_pktMetaData_put && + pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_EMPTY_N ; + assign WILL_FIRE_RL_mkConnectionGetPut_1 = + CAN_FIRE_RL_mkConnectionGetPut_1 ; + + // rule RL_mkConnectionGetPut_2 + assign CAN_FIRE_RL_mkConnectionGetPut_2 = + qpMetaData_qpVec_0_RDY_respPktPipeIn_payload_put && + pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_EMPTY_N ; + assign WILL_FIRE_RL_mkConnectionGetPut_2 = + CAN_FIRE_RL_mkConnectionGetPut_2 ; + + // rule RL_pdMetaData_pdTagVec_recvReq + assign CAN_FIRE_RL_pdMetaData_pdTagVec_recvReq = + pdMetaData_pdTagVec_reqQ_EMPTY_N && + !pdMetaData_pdTagVec_clearReg && + pdMetaData_pdTagVec_tagVecStateReg == 2'd0 ; + assign WILL_FIRE_RL_pdMetaData_pdTagVec_recvReq = + CAN_FIRE_RL_pdMetaData_pdTagVec_recvReq ; + + // rule RL_pdMetaData_pdMrVec_0_mrTagVec_recvReq + assign CAN_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_recvReq = + pdMetaData_pdMrVec_0_mrTagVec_reqQ_EMPTY_N && + !pdMetaData_pdMrVec_0_mrTagVec_clearReg && + pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg == 2'd0 ; + assign WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_recvReq = + CAN_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_recvReq ; + + // rule RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp + assign CAN_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp = + pdMetaData_pdMrVec_0_mrTagVec_reqQ_EMPTY_N && + pdMetaData_pdMrVec_0_mrTagVec_respQ_FULL_N && + !pdMetaData_pdMrVec_0_mrTagVec_clearReg && + pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg == 2'd1 ; + assign WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp = + CAN_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp ; + + // rule RL_pdMetaData_pdMrVec_0_mrTagVec_genRemoveResp + assign CAN_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genRemoveResp = + pdMetaData_pdMrVec_0_mrTagVec_reqQ_EMPTY_N && + pdMetaData_pdMrVec_0_mrTagVec_respQ_FULL_N && + !pdMetaData_pdMrVec_0_mrTagVec_clearReg && + pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg == 2'd2 ; + assign WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genRemoveResp = + CAN_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genRemoveResp ; + + // rule RL_qpMetaData_handleReqQP + assign CAN_FIRE_RL_qpMetaData_handleReqQP = + qpMetaData_qpReqQ4Cntrl_EMPTY_N && + qpMetaData_qpReqQ4Resp_FULL_N && + IF_qpMetaData_qpReqQ4Cntrl_first__66_BITS_300__ETC___d184 ; + assign WILL_FIRE_RL_qpMetaData_handleReqQP = + CAN_FIRE_RL_qpMetaData_handleReqQP ; + + // rule RL_qpMetaData_qpTagVec_recvReq + assign CAN_FIRE_RL_qpMetaData_qpTagVec_recvReq = + qpMetaData_qpTagVec_reqQ_EMPTY_N && + !qpMetaData_qpTagVec_clearReg && + qpMetaData_qpTagVec_tagVecStateReg == 2'd0 ; + assign WILL_FIRE_RL_qpMetaData_qpTagVec_recvReq = + CAN_FIRE_RL_qpMetaData_qpTagVec_recvReq ; + + // rule RL_metaDataSrv_recvMetaDataReq + assign CAN_FIRE_RL_metaDataSrv_recvMetaDataReq = + metaDataSrv_metaDataReqQ_EMPTY_N && + metaDataSrv_stateReg == 3'd0 ; + assign WILL_FIRE_RL_metaDataSrv_recvMetaDataReq = + CAN_FIRE_RL_metaDataSrv_recvMetaDataReq ; + + // rule RL_metaDataSrv_issueReq4MR + assign CAN_FIRE_RL_metaDataSrv_issueReq4MR = + (!pdMetaData_pdTagVec_tagVec_0 || + pdMetaData_pdMrVec_0_mrTagVec_reqQ_FULL_N) && + metaDataSrv_stateReg == 3'd1 ; + assign WILL_FIRE_RL_metaDataSrv_issueReq4MR = + CAN_FIRE_RL_metaDataSrv_issueReq4MR ; + + // rule RL_metaDataSrv_issueReq4PD + assign CAN_FIRE_RL_metaDataSrv_issueReq4PD = + pdMetaData_pdTagVec_reqQ_FULL_N && metaDataSrv_stateReg == 3'd2 ; + assign WILL_FIRE_RL_metaDataSrv_issueReq4PD = + CAN_FIRE_RL_metaDataSrv_issueReq4PD ; + + // rule RL_metaDataSrv_issueReq4QP + assign CAN_FIRE_RL_metaDataSrv_issueReq4QP = + NOT_pdMetaData_pdTagVec_tagVec_0_4_1_OR_qpMeta_ETC___d253 && + metaDataSrv_stateReg == 3'd3 ; + assign WILL_FIRE_RL_metaDataSrv_issueReq4QP = + CAN_FIRE_RL_metaDataSrv_issueReq4QP ; + + // rule RL_metaDataSrv_genResp4MR + assign CAN_FIRE_RL_metaDataSrv_genResp4MR = + metaDataSrv_metaDataRespQ_FULL_N && + (!pdMetaData_pdTagVec_tagVec_0 || + pdMetaData_pdMrVec_0_mrTagVec_respQ_EMPTY_N) && + metaDataSrv_stateReg == 3'd4 ; + assign WILL_FIRE_RL_metaDataSrv_genResp4MR = + CAN_FIRE_RL_metaDataSrv_genResp4MR ; + + // rule RL_pdMetaData_pdMrVec_0_mrTagVec_clearAll + assign CAN_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_clearAll = + pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + assign WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_clearAll = + pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + + // rule RL_metaDataSrv_genResp4PD + assign CAN_FIRE_RL_metaDataSrv_genResp4PD = + metaDataSrv_metaDataRespQ_FULL_N && + pdMetaData_pdTagVec_respQ_EMPTY_N && + metaDataSrv_stateReg == 3'd5 ; + assign WILL_FIRE_RL_metaDataSrv_genResp4PD = + CAN_FIRE_RL_metaDataSrv_genResp4PD ; + + // rule RL_metaDataSrv_genResp4QP + assign CAN_FIRE_RL_metaDataSrv_genResp4QP = + metaDataSrv_metaDataRespQ_i_notFull__60_AND_NO_ETC___d318 && + metaDataSrv_stateReg == 3'd6 ; + assign WILL_FIRE_RL_metaDataSrv_genResp4QP = + CAN_FIRE_RL_metaDataSrv_genResp4QP ; + + // rule RL_pdMetaData_pdTagVec_clearAll + assign CAN_FIRE_RL_pdMetaData_pdTagVec_clearAll = + pdMetaData_pdTagVec_clearReg ; + assign WILL_FIRE_RL_pdMetaData_pdTagVec_clearAll = + pdMetaData_pdTagVec_clearReg ; + + // rule RL_pdMetaData_pdTagVec_genInsertResp + assign CAN_FIRE_RL_pdMetaData_pdTagVec_genInsertResp = + pdMetaData_pdTagVec_reqQ_EMPTY_N && + pdMetaData_pdTagVec_respQ_FULL_N && + !pdMetaData_pdTagVec_clearReg && + pdMetaData_pdTagVec_tagVecStateReg == 2'd1 ; + assign WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp = + CAN_FIRE_RL_pdMetaData_pdTagVec_genInsertResp ; + + // rule RL_pdMetaData_pdTagVec_genRemoveResp + assign CAN_FIRE_RL_pdMetaData_pdTagVec_genRemoveResp = + pdMetaData_pdTagVec_reqQ_EMPTY_N && + pdMetaData_pdTagVec_respQ_FULL_N && + !pdMetaData_pdTagVec_clearReg && + pdMetaData_pdTagVec_tagVecStateReg == 2'd2 ; + assign WILL_FIRE_RL_pdMetaData_pdTagVec_genRemoveResp = + CAN_FIRE_RL_pdMetaData_pdTagVec_genRemoveResp ; + + // rule RL_workReqPipeOutVec_dispatchWorkReq + assign CAN_FIRE_RL_workReqPipeOutVec_dispatchWorkReq = + inputWorkReqQ_EMPTY_N && + workReqPipeOutVec_workReqOutVec_0_FULL_N ; + assign WILL_FIRE_RL_workReqPipeOutVec_dispatchWorkReq = + CAN_FIRE_RL_workReqPipeOutVec_dispatchWorkReq ; + + // rule RL_headerAndMetaDataAndPayloadPipeOut_extractHeader + assign CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader = + inputDataStreamQ_EMPTY_N && + headerAndMetaDataAndPayloadPipeOut_dataInQ_FULL_N && + (!inputDataStreamQ_D_OUT[1] || + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_FULL_N) ; + assign WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader ; + + // rule RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData + assign CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_EMPTY_N && + !headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv && + headerAndMetaDataAndPayloadPipeOut_dataInQ_EMPTY_N && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg == + 2'd0 ; + assign WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData ; + + // rule RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader + assign CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_FULL_N && + IF_headerAndMetaDataAndPayloadPipeOut_headerAn_ETC___d451 && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg == + 2'd1 ; + assign WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader ; + + // rule RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData + assign CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_FULL_N && + (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] || + headerAndMetaDataAndPayloadPipeOut_dataInQ_EMPTY_N) && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg == + 2'd2 ; + assign WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData ; + + // rule RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_extraLastFrag + assign CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_extraLastFrag = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_FULL_N && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg == + 2'd3 ; + assign WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_extraLastFrag = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_extraLastFrag ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[290] && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_i_ETC___d1565 ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader = + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_EMPTY_N && + IF_pktMetaDataAndPayloadPipeOutVec_payloadRecv_ETC___d1675 && + !pktMetaDataAndPayloadPipeOutVec_pktBufStateReg ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[290] && + pktMetaDataAndPayloadPipeOutVec_pktBufStateReg ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation = + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadValidat_ETC___d1712 ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + + // rule RL_qpMetaData_qpTagVec_clearAll + assign CAN_FIRE_RL_qpMetaData_qpTagVec_clearAll = + qpMetaData_qpTagVec_clearReg ; + assign WILL_FIRE_RL_qpMetaData_qpTagVec_clearAll = + qpMetaData_qpTagVec_clearReg ; + + // rule RL_qpMetaData_qpTagVec_genInsertResp + assign CAN_FIRE_RL_qpMetaData_qpTagVec_genInsertResp = + qpMetaData_qpTagVec_reqQ_EMPTY_N && + qpMetaData_qpTagVec_respQ_FULL_N && + !qpMetaData_qpTagVec_clearReg && + qpMetaData_qpTagVec_tagVecStateReg == 2'd1 ; + assign WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp = + CAN_FIRE_RL_qpMetaData_qpTagVec_genInsertResp ; + + // rule RL_qpMetaData_qpTagVec_genRemoveResp + assign CAN_FIRE_RL_qpMetaData_qpTagVec_genRemoveResp = + qpMetaData_qpTagVec_reqQ_EMPTY_N && + qpMetaData_qpTagVec_respQ_FULL_N && + !qpMetaData_qpTagVec_clearReg && + qpMetaData_qpTagVec_tagVecStateReg == 2'd2 ; + assign WILL_FIRE_RL_qpMetaData_qpTagVec_genRemoveResp = + CAN_FIRE_RL_qpMetaData_qpTagVec_genRemoveResp ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP = + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ETC___d1861 ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen = + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenC_ETC___d1950 ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_FULL_N && + (!pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[14] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_FULL_N) ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenC_ETC___d2185 ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_EMPTY_N && + IF_pktMetaDataAndPayloadPipeOutVec_payloadPktL_ETC___d2265 ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_outputPayload + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload = + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_EMPTY_N && + (!pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_D_OUT[0] || + pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_FULL_N) ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_EMPTY_N && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_D_OUT[0] || + pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_FULL_N) ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_rl_into_buffer + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_rl_into_buffer = + !pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port1__read[290] && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_EMPTY_N ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_rl_into_buffer = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_rl_into_buffer ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv[17] && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData ; + + // rule RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_rl_into_buffer + assign CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_rl_into_buffer = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_EMPTY_N && + !headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port1__read[17] && + !headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv ; + assign WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_rl_into_buffer = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_rl_into_buffer ; + + // rule RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_rl_deq + assign CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_rl_deq = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_EMPTY_N && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port1__read && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port1__read ; + assign WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_rl_deq = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_rl_deq ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_EMPTY_N && + (!headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_FULL_N) && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate ; + + // rule RL_addNoErrWorkCompOutRule_0_checkEmptyPipeIn + assign CAN_FIRE_RL_addNoErrWorkCompOutRule_0_checkEmptyPipeIn = 1'd1 ; + assign WILL_FIRE_RL_addNoErrWorkCompOutRule_0_checkEmptyPipeIn = 1'd1 ; + + // rule RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt + assign CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_EMPTY_N && + (!pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilt_ETC___d1910) && + (pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ETC___d1918 || + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_FULL_N) ; + assign WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + + // rule RL_arbitratedDmaReadClt_arbitratedClient_issueArbitratedReq + assign CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_issueArbitratedReq = + arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_EMPTY_N && + arbitratedDmaReadClt_arbitratedClient_reqQ_FULL_N && + (!arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg || + arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_FULL_N) ; + assign WILL_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_issueArbitratedReq = + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_issueArbitratedReq ; + + // rule RL_arbitratedDmaReadClt_arbitratedClient_dispatchResponse + assign CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_dispatchResponse = + qpMetaData_qpVec_0_RDY_dmaReadClt4SQ_response_put && + arbitratedDmaReadClt_arbitratedClient_respQ_EMPTY_N && + (!arbitratedDmaReadClt_arbitratedClient_respQ_D_OUT[0] || + arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_EMPTY_N) ; + assign WILL_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_dispatchResponse = + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_dispatchResponse ; + + // rule RL_arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_binaryArbitrate + assign CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_binaryArbitrate = + arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_EMPTY_N && + arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_FULL_N ; + assign WILL_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_binaryArbitrate = + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_binaryArbitrate ; + + // rule RL_arbitratedDmaReadClt_arbitratedClient_extractReq + assign CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_extractReq = + qpMetaData_qpVec_0_RDY_dmaReadClt4SQ_request_get && + arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_FULL_N ; + assign WILL_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_extractReq = + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_extractReq ; + + // rule RL_dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate + assign CAN_FIRE_RL_dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate = + qpMetaData_qpVec_0_RDY_rdmaReqPipeOut_deq && + qpMetaData_qpVec_0_RDY_rdmaReqPipeOut_first && + dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_FULL_N ; + assign WILL_FIRE_RL_dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate = + CAN_FIRE_RL_dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate ; + + // rule RL_sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate + assign CAN_FIRE_RL_sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate = + qpMetaData_qpVec_0_RDY_workCompPipeOutSQ_deq && + qpMetaData_qpVec_0_RDY_workCompPipeOutSQ_first && + sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_FULL_N ; + assign WILL_FIRE_RL_sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate = + CAN_FIRE_RL_sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate ; + + // inputs to muxes for submodule ports + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg_write_1__PSEL_1 = + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_write_1__SEL_1 = + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_write_1__SEL_1 = + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader && + (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg) ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_enq_1__SEL_1 = + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] && + (bits__h21377 == 2'd0 || + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg) ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_write_1__SEL_1 = + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg_write_1__PSEL_1 && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_write_1__SEL_1 = + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] ; + assign MUX_metaDataSrv_stateReg_write_1__SEL_1 = + WILL_FIRE_RL_metaDataSrv_recvMetaDataReq && + (metaDataSrv_metaDataReqQ_D_OUT[302:301] == 2'd1 || + metaDataSrv_metaDataReqQ_D_OUT[302:301] == 2'd0 || + metaDataSrv_metaDataReqQ_D_OUT[302:301] == 2'd2) ; + assign MUX_metaDataSrv_stateReg_write_1__SEL_2 = + WILL_FIRE_RL_metaDataSrv_genResp4QP || + WILL_FIRE_RL_metaDataSrv_genResp4PD || + WILL_FIRE_RL_metaDataSrv_genResp4MR ; + assign MUX_pdMetaData_pdMrVec_0_mrTagVec_emptyReg_write_1__SEL_1 = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_recvReq && + (pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[199] && + !pdMetaData_pdMrVec_0_mrTagVec_fullReg || + !pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[199] && + SEL_ARR_pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_ETC___d56) ; + assign MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_write_1__SEL_1 = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp && + pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg[0] == 1'd0 && + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg ; + assign MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_write_1__SEL_2 = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genRemoveResp && + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[0] == 1'd0 ; + assign MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVec_1_write_1__SEL_1 = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp && + pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg[0] == 1'd1 && + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg ; + assign MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVec_1_write_1__SEL_2 = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genRemoveResp && + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[0] == 1'd1 ; + assign MUX_pdMetaData_pdTagVec_emptyReg_write_1__SEL_1 = + WILL_FIRE_RL_pdMetaData_pdTagVec_recvReq && + (pdMetaData_pdTagVec_reqQ_D_OUT[32] && + !pdMetaData_pdTagVec_fullReg || + !pdMetaData_pdTagVec_reqQ_D_OUT[32] && + pdMetaData_pdTagVec_tagVec_0) ; + assign MUX_pdMetaData_pdTagVec_tagVec_0_write_1__SEL_1 = + WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp && + pdMetaData_pdTagVec_respSuccessReg ; + assign MUX_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_write_1__SEL_1 = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag && + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[0] ; + assign MUX_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg_write_1__SEL_1 = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] ; + assign MUX_qpMetaData_qpTagVec_emptyReg_write_1__SEL_1 = + WILL_FIRE_RL_qpMetaData_qpTagVec_recvReq && + (qpMetaData_qpTagVec_reqQ_D_OUT[32] && + !qpMetaData_qpTagVec_fullReg || + !qpMetaData_qpTagVec_reqQ_D_OUT[32] && + qpMetaData_qpTagVec_tagVec_0) ; + assign MUX_qpMetaData_qpTagVec_tagVec_0_write_1__SEL_1 = + WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp && + qpMetaData_qpTagVec_respSuccessReg ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_write_1__VAL_1 = + { headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg[16:10], + headerMetaData_headerFragNum__h21034, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg[7:0] } ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_write_1__VAL_2 = + { headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[16:10], + x_headerFragNum__h20036, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[7:0] } ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_write_1__VAL_1 = + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] || + bits__h21377 != 2'd0 && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg_write_1__VAL_1 = + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg[9] && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg[8] ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg_write_1__VAL_2 = + !headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[9] && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[8] ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_enq_1__VAL_2 = + { outData__h21288[255:0], + outByteEn__h21289[31:0], + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] && + bits__h21377 == 2'd0 } ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_enq_1__VAL_3 = + { leftShiftData__h21796, + leftShiftByteEn__h21797, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg, + 1'd1 } ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_write_1__VAL_1 = + headerAndMetaDataAndPayloadPipeOut_dataInQ_D_OUT[33:2] << + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidByteNumReg ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_write_1__VAL_2 = + headerAndMetaDataAndPayloadPipeOut_dataInQ_D_OUT[33:2] << + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[7:2] ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_write_1__VAL_1 = + (bits__h21377 == 2'd0) ? bits__h21377 : 2'd3 ; + assign MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_write_1__VAL_2 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] ? + ((bits__h21377 != 2'd0 && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg) ? + 2'd3 : + 2'd0) : + 2'd2 ; + assign MUX_metaDataSrv_metaDataRespQ_enq_1__VAL_1 = + { 13'd2730, + pdMetaData_pdTagVec_tagVec_0 && + pdMetaData_pdMrVec_0_mrTagVec_respQ_D_OUT[199], + IF_pdMetaData_pdTagVec_tagVec_0_4_THEN_pdMetaD_ETC___d279 } ; + assign MUX_metaDataSrv_metaDataRespQ_enq_1__VAL_2 = + { 211'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA, + pdMetaData_pdTagVec_respQ_D_OUT, + pdMetaData_pdTagVec_respQ_D_OUT[31:0] } ; + assign MUX_metaDataSrv_metaDataRespQ_enq_1__VAL_3 = + { 2'd2, + pdMetaData_pdTagVec_tagVec_0 && + qpMetaData_qpReqQ4Resp_D_OUT[301] && + qpMetaData_qpVec_0_srvPortQP_response_get[273], + IF_pdMetaData_pdTagVec_tagVec_0_4_THEN_IF_qpMe_ETC___d338 } ; + always@(metaDataSrv_metaDataReqQ_D_OUT) + begin + case (metaDataSrv_metaDataReqQ_D_OUT[302:301]) + 2'd0: MUX_metaDataSrv_stateReg_write_1__VAL_1 = 3'd2; + 2'd1: MUX_metaDataSrv_stateReg_write_1__VAL_1 = 3'd1; + default: MUX_metaDataSrv_stateReg_write_1__VAL_1 = 3'd3; + endcase + end + assign MUX_pdMetaData_pdMrVec_0_mrTagVec_fullReg_write_1__VAL_1 = + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[199] && + pdMetaData_pdMrVec_0_mrTagVec_itemCnt_Q_OUT[0] ; + assign MUX_pdMetaData_pdMrVec_0_mrTagVec_respQ_enq_1__VAL_1 = + { pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg, + pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg[0], + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[198:1] } ; + assign MUX_pdMetaData_pdMrVec_0_mrTagVec_respQ_enq_1__VAL_2 = + { pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg, + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[0], + SEL_ARR_pdMetaData_pdMrVec_0_mrTagVec_dataVec__ETC___d124 } ; + assign MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg_write_1__VAL_1 = + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[199] ? 2'd1 : 2'd2 ; + assign MUX_pdMetaData_pdTagVec_respQ_enq_1__VAL_1 = + { pdMetaData_pdTagVec_respSuccessReg, + pdMetaData_pdTagVec_reqQ_D_OUT[31:0] } ; + assign MUX_pdMetaData_pdTagVec_respQ_enq_1__VAL_2 = + { pdMetaData_pdTagVec_respSuccessReg, + pdMetaData_pdTagVec_dataVec_0 } ; + assign MUX_pdMetaData_pdTagVec_tagVecStateReg_write_1__VAL_1 = + pdMetaData_pdTagVec_reqQ_D_OUT[32] ? 2'd1 : 2'd2 ; + assign MUX_qpMetaData_qpTagVec_respQ_enq_1__VAL_1 = + { qpMetaData_qpTagVec_respSuccessReg, + qpMetaData_qpTagVec_reqQ_D_OUT[31:0] } ; + assign MUX_qpMetaData_qpTagVec_respQ_enq_1__VAL_2 = + { qpMetaData_qpTagVec_respSuccessReg, + qpMetaData_qpTagVec_dataVec_0 } ; + assign MUX_qpMetaData_qpTagVec_tagVecStateReg_write_1__VAL_1 = + qpMetaData_qpTagVec_reqQ_D_OUT[32] ? 2'd1 : 2'd2 ; + + // inlined wires + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[1] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[1] && + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[290] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_FULL_N ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_wget = + 1'd1 ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[290] && + (!pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[1] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_FULL_N) ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag ; + assign _port0__write_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_wget = + 1'd1 ; + assign _port0__write_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag ; + assign _port0__read_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_wget = + 1'b1 ; + assign _port0__read_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[1] ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[1] ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[290] ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_wget = + !pktMetaDataAndPayloadPipeOutVec_pktBufStateReg && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0] || + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_FULL_N) && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_EMPTY_N ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_wget = + 1'b1 ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_wget = + 1'd1 ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_wget = + IF_pktMetaDataAndPayloadPipeOutVec_payloadRecv_ETC___d1675 && + !pktMetaDataAndPayloadPipeOutVec_pktBufStateReg ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_wget = + !pktMetaDataAndPayloadPipeOutVec_pktBufStateReg && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0] && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_EMPTY_N ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0] || + !pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_wget = + !pktMetaDataAndPayloadPipeOutVec_pktBufStateReg && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_EMPTY_N ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_wget = + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_EMPTY_N && + IF_pktMetaDataAndPayloadPipeOutVec_payloadRecv_ETC___d1675 ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_wget = + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0]) && + !pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[0] ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[290] ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[0] ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag ; + assign _port0__write_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_wget = + 1'd1 ; + assign _port0__write_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag ; + assign _port0__read_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_wget = + 1'b1 ; + assign _port0__read_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag_EN_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_qpMetaData_qpTagVec_dataVec_0_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT[1] ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_qpMetaData_qpTagVec_dataVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_qpMetaData_qpTagVec_tagVec_0_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT[1] ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_qpMetaData_qpTagVec_tagVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT[1] ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT[1] ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_EMPTY_N ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_wget = + 1'b1 ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_wget = + 1'd1 ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadValidat_ETC___d1712 ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT[1] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_FULL_N ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_wget = + 1'd1 ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_EMPTY_N && + (!pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT[1] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_FULL_N) ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign _statusSQ_getTypeQP_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] != + 3'd4 && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] != + 3'd3 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[36:5] == + qpMetaData_qpVec_0_statusSQ_comm_getQKEY) && + (qpMetaData_qpVec_0_statusSQ_comm_isERR || + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1884) && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] == + 3'd3 && + !qpMetaData_qpVec_0_RDY_statusSQ_comm_getQKEY && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] && + (qpMetaData_qpVec_0_statusSQ_comm_isERR || + !IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1849) && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_FULL_N && + qpMetaData_qpVec_0_RDY_statusSQ_comm_getPMTU && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_EMPTY_N ; + assign _statusSQ_getTypeQP_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _statusSQ_comm_getPMTU_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget = + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] ; + assign _statusSQ_comm_getPMTU_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _RDY_statusSQ_comm_getPMTU_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget = + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] || + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1855) && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_EMPTY_N ; + assign _RDY_statusSQ_comm_getPMTU_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _statusSQ_comm_getQKEY_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] == + 3'd3 && + (qpMetaData_qpVec_0_statusSQ_comm_isERR || + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1884) && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] == + 3'd4 || + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q1) && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] ; + assign _statusSQ_comm_getQKEY_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _RDY_statusSQ_comm_getQKEY_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget = + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] && + !IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1842 && + (qpMetaData_qpVec_0_statusSQ_comm_isERR || + !IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1849) && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] == + 3'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_FULL_N && + qpMetaData_qpVec_0_RDY_statusSQ_comm_getPMTU && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_EMPTY_N ; + assign _RDY_statusSQ_comm_getQKEY_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _statusSQ_comm_isNonErr_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget = + !qpMetaData_qpVec_0_statusSQ_comm_isERR && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[3] && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[4] && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] != + 3'd3 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[36:5] == + qpMetaData_qpVec_0_statusSQ_comm_getQKEY) && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] == + 3'd4 || + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q1) && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] == + 3'd3 && + !qpMetaData_qpVec_0_RDY_statusSQ_comm_getQKEY && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] && + !IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1842 && + !qpMetaData_qpVec_0_statusSQ_comm_isERR && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[3] && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[4] && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_FULL_N && + qpMetaData_qpVec_0_RDY_statusSQ_comm_getPMTU && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_EMPTY_N ; + assign _statusSQ_comm_isNonErr_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _statusSQ_comm_isRTS_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget = + !qpMetaData_qpVec_0_statusSQ_comm_isERR && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] != + 3'd3 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[36:5] == + qpMetaData_qpVec_0_statusSQ_comm_getQKEY) && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] == + 3'd4 || + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q1) && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[3] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[4]) && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] == + 3'd3 && + !qpMetaData_qpVec_0_RDY_statusSQ_comm_getQKEY && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] && + !IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1842 && + !qpMetaData_qpVec_0_statusSQ_comm_isERR && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_FULL_N && + qpMetaData_qpVec_0_RDY_statusSQ_comm_getPMTU && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_EMPTY_N && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[3] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[4]) && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_FULL_N ; + assign _statusSQ_comm_isRTS_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _statusSQ_comm_isERR_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_wget = + !IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1884 && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] != + 3'd3 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[36:5] == + qpMetaData_qpVec_0_statusSQ_comm_getQKEY) && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] == + 3'd4 || + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q1) && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] == + 3'd3 && + !qpMetaData_qpVec_0_RDY_statusSQ_comm_getQKEY && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] && + !IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1842 && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_FULL_N && + qpMetaData_qpVec_0_RDY_statusSQ_comm_getPMTU && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_EMPTY_N && + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1849 && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_FULL_N ; + assign _statusSQ_comm_isERR_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_qpMetaData_qpVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] || + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1855) && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_FULL_N && + qpMetaData_qpVec_0_RDY_statusSQ_comm_getPMTU ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_wget = + 1'b1 ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_wget = + 1'd1 ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ETC___d1861 ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] || + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1855) && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_EMPTY_N && + qpMetaData_qpVec_0_RDY_statusSQ_comm_getPMTU ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_wget = + 1'd1 ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_EMPTY_N && + (!pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] || + qpMetaData_qpVec_0_RDY_statusSQ_comm_getPMTU && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderVali_ETC___d1858) ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[5] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[4] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[5] && + (pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ETC___d1918 || + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_FULL_N) && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[4] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_EMPTY_N ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[5] || + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[4] ? + pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_FULL_N : + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_FULL_N)) && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_EMPTY_N ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_wget = + 1'b1 ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_wget = + 1'd1 ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_wget = + (!pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilt_ETC___d1910) && + (pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ETC___d1918 || + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_FULL_N) ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[5] && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[4] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[5] && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[4] && + (pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ETC___d1918 || + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_FULL_N) && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_EMPTY_N ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] ? + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[5] && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[4] : + pktMetaDataAndPayloadPipeOutVec_isValidPktReg ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_wget = + !pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ETC___d1918 && + (!pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilt_ETC___d1910) && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_EMPTY_N ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_isValidPktReg_wget = + !pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_isValidPktReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_isValidPktReg_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt_EN_pktMetaDataAndPayloadPipeOutVec_isValidPktReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[1] ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[1] ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_EMPTY_N ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_wget = + 1'b1 ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_wget = + 1'd1 ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenC_ETC___d1950 ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[1] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_FULL_N ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_wget = + 1'd1 ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_EMPTY_N && + (!pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[1] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_FULL_N) ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_wget = + !pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[1] ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_wget = + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[1] ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen_EN_pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_wget = + 1'b1 ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[14] ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_wget = + (!pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[14] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_FULL_N) && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_EMPTY_N ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_wget = + 1'b1 ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_wget = + 1'd1 ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_wget = + (!pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[14] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_FULL_N) && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_EMPTY_N ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[14] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[14] && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_EMPTY_N ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_wget = + 1'd1 ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_wget = + (!pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[14] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_FULL_N) && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_EMPTY_N ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[15:14] != + 2'b11 && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[15:14] != + 2'b10 ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_wget = + 1'd1 ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktLenReg_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[15:14] != + 2'b10 && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[15:14] != + 2'b11 ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktLenReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktLenReg_wget = + 1'd1 ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktLenReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktValidReg_wget = + _read_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktLenReg_wget ; + assign _read_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktValidReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktValidReg_wget = + 1'd1 ; + assign _write_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen_EN_pktMetaDataAndPayloadPipeOutVec_pktValidReg_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_OUT[1] ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_OUT[1] ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_EMPTY_N ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_wget = + 1'b1 ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_wget = + 1'd1 ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenC_ETC___d2185 ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_OUT[1] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_FULL_N ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_wget = + 1'd1 ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_EMPTY_N && + (!pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_OUT[1] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_FULL_N) ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT[1] ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT[1] ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_wget = + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[2] || + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_FULL_N) && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT[1] ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_wget = + 1'b1 ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_wget = + 1'd1 ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_wget = + IF_pktMetaDataAndPayloadPipeOutVec_payloadPktL_ETC___d2265 ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_wget = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT[1] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_wget = + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[2] || + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_FULL_N) && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT[1] ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_wget = + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[2] || + !pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT[1] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_wget = + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[2] && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_EMPTY_N ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_wget = + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_D_OUT[0] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_wget = + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_D_OUT[0] ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_wget = + 1'b1 ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_wget = + 1'd1 ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_wget = + !pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_D_OUT[0] || + pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_FULL_N ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload_EN_pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_wget = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_D_OUT[0] ; + assign _enq_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_wget = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_D_OUT[0] ; + assign _i_notFull_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_wget = + 1'b1 ; + assign _first_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_wget = + 1'd1 ; + assign _deq_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_wget = + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_D_OUT[0] || + pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_FULL_N ; + assign _i_notEmpty_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData_EN_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_whas = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData ; + assign pdMetaData_pdTagVec_clearReg_port0__read = + pdMetaData_pdTagVec_clearReg ; + assign pdMetaData_pdTagVec_clearReg_EN_port0__write = 1'b0 ; + assign pdMetaData_pdTagVec_clearReg_port0__write_1 = 1'b0 ; + assign pdMetaData_pdTagVec_clearReg_port1__read = + pdMetaData_pdTagVec_clearReg ; + assign pdMetaData_pdTagVec_clearReg_EN_port1__write = + pdMetaData_pdTagVec_clearReg ; + assign pdMetaData_pdTagVec_clearReg_port1__write_1 = 1'd0 ; + assign pdMetaData_pdTagVec_clearReg_port2__read = 1'b0 ; + assign pdMetaData_pdTagVec_clearReg_EN_port2__write = 1'b0 ; + assign pdMetaData_pdTagVec_clearReg_port2__write_1 = 1'b0 ; + assign pdMetaData_pdTagVec_clearReg_port3__read = 1'b0 ; + assign pdMetaData_pdTagVec_clearReg_EN_port3__write = 1'b0 ; + assign pdMetaData_pdTagVec_clearReg_port3__write_1 = 1'b0 ; + assign pdMetaData_pdTagVec_clearReg_port4__read = 1'b0 ; + assign pdMetaData_pdTagVec_clearReg_EN_port4__write = 1'b0 ; + assign pdMetaData_pdTagVec_clearReg_port4__write_1 = 1'b0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_port0__read = + pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_EN_port0__write = 1'b0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_port0__write_1 = 1'b0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_port1__read = + pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_EN_port1__write = + pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_port1__write_1 = 1'd0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_port2__read = 1'b0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_EN_port2__write = 1'b0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_port2__write_1 = 1'b0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_port3__read = 1'b0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_EN_port3__write = 1'b0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_port3__write_1 = 1'b0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_port4__read = 1'b0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_EN_port4__write = 1'b0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_port4__write_1 = 1'b0 ; + assign qpMetaData_qpTagVec_clearReg_port0__read = + qpMetaData_qpTagVec_clearReg ; + assign qpMetaData_qpTagVec_clearReg_EN_port0__write = 1'b0 ; + assign qpMetaData_qpTagVec_clearReg_port0__write_1 = 1'b0 ; + assign qpMetaData_qpTagVec_clearReg_port1__read = + qpMetaData_qpTagVec_clearReg ; + assign qpMetaData_qpTagVec_clearReg_EN_port1__write = + qpMetaData_qpTagVec_clearReg ; + assign qpMetaData_qpTagVec_clearReg_port1__write_1 = 1'd0 ; + assign qpMetaData_qpTagVec_clearReg_port2__read = 1'b0 ; + assign qpMetaData_qpTagVec_clearReg_EN_port2__write = 1'b0 ; + assign qpMetaData_qpTagVec_clearReg_port2__write_1 = 1'b0 ; + assign qpMetaData_qpTagVec_clearReg_port3__read = 1'b0 ; + assign qpMetaData_qpTagVec_clearReg_EN_port3__write = 1'b0 ; + assign qpMetaData_qpTagVec_clearReg_port3__write_1 = 1'b0 ; + assign qpMetaData_qpTagVec_clearReg_port4__read = 1'b0 ; + assign qpMetaData_qpTagVec_clearReg_EN_port4__write = 1'b0 ; + assign qpMetaData_qpTagVec_clearReg_port4__write_1 = 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port0__read = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_EN_port0__write = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port0__write_1 = + 1'd1 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port1__read = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData || + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_EN_port1__write = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_rl_deq ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port1__write_1 = + 1'd0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port2__read = + !CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_rl_deq && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port1__read ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_EN_port2__write = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port2__write_1 = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port3__read = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port2__read ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_EN_port3__write = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port3__write_1 = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port4__read = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port2__read ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_EN_port4__write = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port4__write_1 = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port0__read = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_EN_port0__write = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_rl_into_buffer ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port0__write_1 = + 1'd1 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port1__read = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_rl_into_buffer || + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_EN_port1__write = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_rl_deq ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port1__write_1 = + 1'd0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port2__read = + !CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_rl_deq && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port1__read ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_EN_port2__write = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port2__write_1 = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port3__read = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port2__read ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_EN_port3__write = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port3__write_1 = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port4__read = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port2__read ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_EN_port4__write = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port4__write_1 = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port0__read = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_EN_port0__write = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port0__write_1 = + 18'd43690 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port1__read = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData ? + 18'd43690 : + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_EN_port1__write = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_rl_into_buffer ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port1__write_1 = + { 1'd1, + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT } ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port2__read = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_rl_into_buffer ? + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port1__write_1 : + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port1__read ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_EN_port2__write = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port2__write_1 = + 18'h0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port3__read = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port2__read ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_EN_port3__write = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port3__write_1 = + 18'h0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port4__read = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port2__read ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_EN_port4__write = + 1'b0 ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port4__write_1 = + 18'h0 ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port0__read = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN_port0__write = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag || + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port0__write_1 = + 291'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port1__read = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN_port0__write ? + 291'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA : + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN_port1__write = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_rl_into_buffer ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port1__write_1 = + { 1'd1, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_D_OUT } ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port2__read = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_rl_into_buffer ? + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port1__write_1 : + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port1__read ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN_port2__write = + 1'b0 ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port2__write_1 = + 291'h0 ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port3__read = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port2__read ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN_port3__write = + 1'b0 ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port3__write_1 = + 291'h0 ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port4__read = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port2__read ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN_port4__write = + 1'b0 ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port4__write_1 = + 291'h0 ; + + // register arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg + assign arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg_D_IN = + 1'd1 ; + assign arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg_EN = + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_issueArbitratedReq ; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg_D_IN = + headerAndMetaDataAndPayloadPipeOut_dataInQ_D_OUT ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg_EN = + (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader) && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData ; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragByteEnReg + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragByteEnReg_D_IN = + { _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[0], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[1], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[2], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[3], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[4], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[5], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[6], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[7], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[8], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[9], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[10], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[11], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[12], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[13], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[14], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[15], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[16], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[17], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[18], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[19], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[20], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[21], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[22], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[23], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[24], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[25], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[26], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[27], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[28], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[29], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[30], + _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379[31] } ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragByteEnReg_EN = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData ; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidBitNumReg + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidBitNumReg_D_IN = + { headerLastFragInvalidByteNum__h17427, 3'd0 } ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidBitNumReg_EN = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData ; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidByteNumReg + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidByteNumReg_D_IN = + headerLastFragInvalidByteNum__h17427 ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidByteNumReg_EN = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData ; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidBitNumReg + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidBitNumReg_D_IN = + { headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[7:2], + 3'd0 } ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidBitNumReg_EN = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData ; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidByteNumReg + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidByteNumReg_D_IN = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[7:2] ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidByteNumReg_EN = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData ; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_D_IN = + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_write_1__SEL_1 ? + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_write_1__VAL_1 : + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_write_1__VAL_2 ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_EN = + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData ; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_D_IN = + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_write_1__SEL_1 && + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_write_1__VAL_1 ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_EN = + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader && + (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg) || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_extraLastFrag || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData ; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg_D_IN = + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_write_1__SEL_1 ? + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg_write_1__VAL_1 : + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg_write_1__VAL_2 ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg_EN = + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData ; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg_D_IN = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg_EN = + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg_write_1__PSEL_1 ; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_D_IN = + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_write_1__SEL_1 ? + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_write_1__VAL_1 : + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_write_1__VAL_2 ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_EN = + (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader) && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData ; + + // register headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg + always@(MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_write_1__SEL_1 or + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_write_1__VAL_1 or + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_write_1__SEL_1 or + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_write_1__VAL_2 or + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_extraLastFrag or + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData) + begin + case (1'b1) // synopsys parallel_case + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_write_1__SEL_1: + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_D_IN = + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_write_1__VAL_1; + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_write_1__SEL_1: + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_D_IN = + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_write_1__VAL_2; + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_extraLastFrag: + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_D_IN = + 2'd0; + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData: + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_D_IN = + 2'd1; + default: headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_D_IN = + 2'b10 /* unspecified value */ ; + endcase + end + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_EN = + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader && + (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg) || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_extraLastFrag || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData ; + + // register headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_D_IN = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_port2__read ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_EN = + 1'b1 ; + + // register headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_D_IN = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_port2__read ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_EN = + 1'b1 ; + + // register headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_D_IN = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_port2__read ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_EN = + 1'b1 ; + + // register metaDataSrv_mrReqReg + assign metaDataSrv_mrReqReg_D_IN = metaDataSrv_metaDataReqQ_D_OUT[263:0] ; + assign metaDataSrv_mrReqReg_EN = + WILL_FIRE_RL_metaDataSrv_recvMetaDataReq && + metaDataSrv_metaDataReqQ_D_OUT[302:301] == 2'd1 ; + + // register metaDataSrv_pdReqReg + assign metaDataSrv_pdReqReg_D_IN = metaDataSrv_metaDataReqQ_D_OUT[64:0] ; + assign metaDataSrv_pdReqReg_EN = + WILL_FIRE_RL_metaDataSrv_recvMetaDataReq && + metaDataSrv_metaDataReqQ_D_OUT[302:301] == 2'd0 ; + + // register metaDataSrv_qpReqReg + assign metaDataSrv_qpReqReg_D_IN = metaDataSrv_metaDataReqQ_D_OUT[300:0] ; + assign metaDataSrv_qpReqReg_EN = + WILL_FIRE_RL_metaDataSrv_recvMetaDataReq && + metaDataSrv_metaDataReqQ_D_OUT[302:301] == 2'd2 ; + + // register metaDataSrv_stateReg + always@(MUX_metaDataSrv_stateReg_write_1__SEL_1 or + MUX_metaDataSrv_stateReg_write_1__VAL_1 or + MUX_metaDataSrv_stateReg_write_1__SEL_2 or + WILL_FIRE_RL_metaDataSrv_issueReq4MR or + WILL_FIRE_RL_metaDataSrv_issueReq4PD or + WILL_FIRE_RL_metaDataSrv_issueReq4QP) + begin + case (1'b1) // synopsys parallel_case + MUX_metaDataSrv_stateReg_write_1__SEL_1: + metaDataSrv_stateReg_D_IN = MUX_metaDataSrv_stateReg_write_1__VAL_1; + MUX_metaDataSrv_stateReg_write_1__SEL_2: + metaDataSrv_stateReg_D_IN = 3'd0; + WILL_FIRE_RL_metaDataSrv_issueReq4MR: metaDataSrv_stateReg_D_IN = 3'd4; + WILL_FIRE_RL_metaDataSrv_issueReq4PD: metaDataSrv_stateReg_D_IN = 3'd5; + WILL_FIRE_RL_metaDataSrv_issueReq4QP: metaDataSrv_stateReg_D_IN = 3'd6; + default: metaDataSrv_stateReg_D_IN = 3'b010 /* unspecified value */ ; + endcase + end + assign metaDataSrv_stateReg_EN = + WILL_FIRE_RL_metaDataSrv_recvMetaDataReq && + (metaDataSrv_metaDataReqQ_D_OUT[302:301] == 2'd1 || + metaDataSrv_metaDataReqQ_D_OUT[302:301] == 2'd0 || + metaDataSrv_metaDataReqQ_D_OUT[302:301] == 2'd2) || + WILL_FIRE_RL_metaDataSrv_genResp4QP || + WILL_FIRE_RL_metaDataSrv_genResp4PD || + WILL_FIRE_RL_metaDataSrv_genResp4MR || + WILL_FIRE_RL_metaDataSrv_issueReq4MR || + WILL_FIRE_RL_metaDataSrv_issueReq4PD || + WILL_FIRE_RL_metaDataSrv_issueReq4QP ; + + // register pdMetaData_pdMrVec_0_mrTagVec_clearReg + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_D_IN = 1'b0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_clearReg_EN = 1'b1 ; + + // register pdMetaData_pdMrVec_0_mrTagVec_dataVec_0 + assign pdMetaData_pdMrVec_0_mrTagVec_dataVec_0_D_IN = + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[198:1] ; + assign pdMetaData_pdMrVec_0_mrTagVec_dataVec_0_EN = + MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_write_1__SEL_1 ; + + // register pdMetaData_pdMrVec_0_mrTagVec_dataVec_1 + assign pdMetaData_pdMrVec_0_mrTagVec_dataVec_1_D_IN = + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[198:1] ; + assign pdMetaData_pdMrVec_0_mrTagVec_dataVec_1_EN = + MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVec_1_write_1__SEL_1 ; + + // register pdMetaData_pdMrVec_0_mrTagVec_fullReg + assign pdMetaData_pdMrVec_0_mrTagVec_fullReg_D_IN = + MUX_pdMetaData_pdMrVec_0_mrTagVec_emptyReg_write_1__SEL_1 && + MUX_pdMetaData_pdMrVec_0_mrTagVec_fullReg_write_1__VAL_1 ; + assign pdMetaData_pdMrVec_0_mrTagVec_fullReg_EN = + MUX_pdMetaData_pdMrVec_0_mrTagVec_emptyReg_write_1__SEL_1 || + pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + + // register pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg + assign pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg_D_IN = + { !pdMetaData_pdMrVec_0_mrTagVec_tagVec_0 || + !pdMetaData_pdMrVec_0_mrTagVec_tagVec_1, + pdMetaData_pdMrVec_0_mrTagVec_tagVec_0 } ; + assign pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg_EN = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_recvReq && + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[199] ; + + // register pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg + assign pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg_D_IN = + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[199] ? + !pdMetaData_pdMrVec_0_mrTagVec_fullReg : + SEL_ARR_pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_ETC___d56 ; + assign pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg_EN = + CAN_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_recvReq ; + + // register pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg + assign pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg_D_IN = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_recvReq ? + MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg_write_1__VAL_1 : + 2'd0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg_EN = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_recvReq || + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genRemoveResp || + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp || + pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + + // register pdMetaData_pdMrVec_0_mrTagVec_tagVec_0 + assign pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_D_IN = + !MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_write_1__SEL_2 && + !pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + assign pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_EN = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp && + pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg[0] == 1'd0 && + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg || + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genRemoveResp && + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[0] == 1'd0 || + pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + + // register pdMetaData_pdMrVec_0_mrTagVec_tagVec_1 + assign pdMetaData_pdMrVec_0_mrTagVec_tagVec_1_D_IN = + !MUX_pdMetaData_pdMrVec_0_mrTagVec_tagVec_1_write_1__SEL_2 && + !pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + assign pdMetaData_pdMrVec_0_mrTagVec_tagVec_1_EN = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp && + pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg[0] == 1'd1 && + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg || + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genRemoveResp && + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[0] == 1'd1 || + pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + + // register pdMetaData_pdTagVec_clearReg + assign pdMetaData_pdTagVec_clearReg_D_IN = 1'b0 ; + assign pdMetaData_pdTagVec_clearReg_EN = 1'b1 ; + + // register pdMetaData_pdTagVec_dataVec_0 + assign pdMetaData_pdTagVec_dataVec_0_D_IN = + pdMetaData_pdTagVec_reqQ_D_OUT[31:0] ; + assign pdMetaData_pdTagVec_dataVec_0_EN = + MUX_pdMetaData_pdTagVec_tagVec_0_write_1__SEL_1 ; + + // register pdMetaData_pdTagVec_fullReg + assign pdMetaData_pdTagVec_fullReg_D_IN = + MUX_pdMetaData_pdTagVec_emptyReg_write_1__SEL_1 && + pdMetaData_pdTagVec_reqQ_D_OUT[32] ; + assign pdMetaData_pdTagVec_fullReg_EN = + MUX_pdMetaData_pdTagVec_emptyReg_write_1__SEL_1 || + pdMetaData_pdTagVec_clearReg ; + + // register pdMetaData_pdTagVec_maybeInsertIdxReg + assign pdMetaData_pdTagVec_maybeInsertIdxReg_D_IN = + !pdMetaData_pdTagVec_tagVec_0 ; + assign pdMetaData_pdTagVec_maybeInsertIdxReg_EN = + WILL_FIRE_RL_pdMetaData_pdTagVec_recvReq && + pdMetaData_pdTagVec_reqQ_D_OUT[32] ; + + // register pdMetaData_pdTagVec_respSuccessReg + assign pdMetaData_pdTagVec_respSuccessReg_D_IN = + pdMetaData_pdTagVec_reqQ_D_OUT[32] ? + !pdMetaData_pdTagVec_fullReg : + pdMetaData_pdTagVec_tagVec_0 ; + assign pdMetaData_pdTagVec_respSuccessReg_EN = + CAN_FIRE_RL_pdMetaData_pdTagVec_recvReq ; + + // register pdMetaData_pdTagVec_tagVecStateReg + assign pdMetaData_pdTagVec_tagVecStateReg_D_IN = + WILL_FIRE_RL_pdMetaData_pdTagVec_recvReq ? + MUX_pdMetaData_pdTagVec_tagVecStateReg_write_1__VAL_1 : + 2'd0 ; + assign pdMetaData_pdTagVec_tagVecStateReg_EN = + WILL_FIRE_RL_pdMetaData_pdTagVec_recvReq || + WILL_FIRE_RL_pdMetaData_pdTagVec_genRemoveResp || + WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp || + pdMetaData_pdTagVec_clearReg ; + + // register pdMetaData_pdTagVec_tagVec_0 + assign pdMetaData_pdTagVec_tagVec_0_D_IN = + MUX_pdMetaData_pdTagVec_tagVec_0_write_1__SEL_1 ; + assign pdMetaData_pdTagVec_tagVec_0_EN = + WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp && + pdMetaData_pdTagVec_respSuccessReg || + WILL_FIRE_RL_pdMetaData_pdTagVec_genRemoveResp || + pdMetaData_pdTagVec_clearReg ; + + // register pktMetaDataAndPayloadPipeOutVec_bthPadCntReg + assign pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_D_IN = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_D_OUT[150:149] ; + assign pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_EN = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[1] ; + + // register pktMetaDataAndPayloadPipeOutVec_isValidPktReg + assign pktMetaDataAndPayloadPipeOutVec_isValidPktReg_D_IN = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[5] && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[4] ; + assign pktMetaDataAndPayloadPipeOutVec_isValidPktReg_EN = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] ; + + // register pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_D_IN = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_port2__read ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN = 1'b1 ; + + // register pktMetaDataAndPayloadPipeOutVec_pktBufStateReg + assign pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_D_IN = + !MUX_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_write_1__SEL_1 ; + assign pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_EN = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag && + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[0] || + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0]) && + !pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[0] ; + + // register pktMetaDataAndPayloadPipeOutVec_pktFragNumReg + assign pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_D_IN = + pktFragNum__h36981 ; + assign pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_EN = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + + // register pktMetaDataAndPayloadPipeOutVec_pktLenReg + assign pktMetaDataAndPayloadPipeOutVec_pktLenReg_D_IN = pktLen__h36984 ; + assign pktMetaDataAndPayloadPipeOutVec_pktLenReg_EN = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + + // register pktMetaDataAndPayloadPipeOutVec_pktValidReg + assign pktMetaDataAndPayloadPipeOutVec_pktValidReg_D_IN = + IF_pktMetaDataAndPayloadPipeOutVec_payloadPktL_ETC___d2151 ; + assign pktMetaDataAndPayloadPipeOutVec_pktValidReg_EN = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + + // register pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg_D_IN = + !MUX_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg_write_1__SEL_1 ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg_EN = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] || + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData ; + + // register pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragBitNumReg + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragBitNumReg_D_IN = + { 503'd0, headerInvalidFragNum__h26376, 8'd0 } ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragBitNumReg_EN = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData ; + + // register pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragByteNumReg + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragByteNumReg_D_IN = + { headerInvalidFragNum__h26376, 5'd0 } ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragByteNumReg_EN = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData ; + + // register pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg_D_IN = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv[16:0] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg_EN = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData ; + + // register pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg_D_IN = + { x1_avValue_headerData__h29692, + x1_avValue_headerByteEn__h29693, + IF_headerAndMetaDataAndPayloadPipeOut_headerAn_ETC___d1422 } ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg_EN = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate ; + + // register qpMetaData_qpTagVec_clearReg + assign qpMetaData_qpTagVec_clearReg_D_IN = 1'b0 ; + assign qpMetaData_qpTagVec_clearReg_EN = 1'b1 ; + + // register qpMetaData_qpTagVec_dataVec_0 + assign qpMetaData_qpTagVec_dataVec_0_D_IN = + qpMetaData_qpTagVec_reqQ_D_OUT[31:0] ; + assign qpMetaData_qpTagVec_dataVec_0_EN = + MUX_qpMetaData_qpTagVec_tagVec_0_write_1__SEL_1 ; + + // register qpMetaData_qpTagVec_fullReg + assign qpMetaData_qpTagVec_fullReg_D_IN = + MUX_qpMetaData_qpTagVec_emptyReg_write_1__SEL_1 && + qpMetaData_qpTagVec_reqQ_D_OUT[32] ; + assign qpMetaData_qpTagVec_fullReg_EN = + MUX_qpMetaData_qpTagVec_emptyReg_write_1__SEL_1 || + qpMetaData_qpTagVec_clearReg ; + + // register qpMetaData_qpTagVec_maybeInsertIdxReg + assign qpMetaData_qpTagVec_maybeInsertIdxReg_D_IN = + !qpMetaData_qpTagVec_tagVec_0 ; + assign qpMetaData_qpTagVec_maybeInsertIdxReg_EN = + WILL_FIRE_RL_qpMetaData_qpTagVec_recvReq && + qpMetaData_qpTagVec_reqQ_D_OUT[32] ; + + // register qpMetaData_qpTagVec_respSuccessReg + assign qpMetaData_qpTagVec_respSuccessReg_D_IN = + qpMetaData_qpTagVec_reqQ_D_OUT[32] ? + !qpMetaData_qpTagVec_fullReg : + qpMetaData_qpTagVec_tagVec_0 ; + assign qpMetaData_qpTagVec_respSuccessReg_EN = + CAN_FIRE_RL_qpMetaData_qpTagVec_recvReq ; + + // register qpMetaData_qpTagVec_tagVecStateReg + assign qpMetaData_qpTagVec_tagVecStateReg_D_IN = + WILL_FIRE_RL_qpMetaData_qpTagVec_recvReq ? + MUX_qpMetaData_qpTagVec_tagVecStateReg_write_1__VAL_1 : + 2'd0 ; + assign qpMetaData_qpTagVec_tagVecStateReg_EN = + WILL_FIRE_RL_qpMetaData_qpTagVec_recvReq || + WILL_FIRE_RL_qpMetaData_qpTagVec_genRemoveResp || + WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp || + qpMetaData_qpTagVec_clearReg ; + + // register qpMetaData_qpTagVec_tagVec_0 + assign qpMetaData_qpTagVec_tagVec_0_D_IN = + MUX_qpMetaData_qpTagVec_tagVec_0_write_1__SEL_1 ; + assign qpMetaData_qpTagVec_tagVec_0_EN = + WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp && + qpMetaData_qpTagVec_respSuccessReg || + WILL_FIRE_RL_qpMetaData_qpTagVec_genRemoveResp || + qpMetaData_qpTagVec_clearReg ; + + // submodule arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0 + assign arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_D_IN = + qpMetaData_qpVec_0_dmaReadClt4SQ_request_get ; + assign arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_ENQ = + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_extractReq ; + assign arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_DEQ = + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_binaryArbitrate ; + assign arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_CLR = + 1'b0 ; + + // submodule arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ + assign arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_IN = + arbitratedDmaReadClt_arbitratedClient_inputReqWithIdxVec_0_D_OUT ; + assign arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_ENQ = + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_binaryArbitrate ; + assign arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_DEQ = + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_issueArbitratedReq ; + assign arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_CLR = + 1'b0 ; + + // submodule arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ + assign arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_ENQ = + WILL_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_issueArbitratedReq && + arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg ; + assign arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_DEQ = + WILL_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_dispatchResponse && + arbitratedDmaReadClt_arbitratedClient_respQ_D_OUT[0] ; + assign arbitratedDmaReadClt_arbitratedClient_preGrantIdxQ_CLR = 1'b0 ; + + // submodule arbitratedDmaReadClt_arbitratedClient_reqQ + assign arbitratedDmaReadClt_arbitratedClient_reqQ_D_IN = + arbitratedDmaReadClt_arbitratedClient_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_OUT ; + assign arbitratedDmaReadClt_arbitratedClient_reqQ_ENQ = + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_issueArbitratedReq ; + assign arbitratedDmaReadClt_arbitratedClient_reqQ_DEQ = + EN_dmaReadClt_request_get ; + assign arbitratedDmaReadClt_arbitratedClient_reqQ_CLR = 1'b0 ; + + // submodule arbitratedDmaReadClt_arbitratedClient_respQ + assign arbitratedDmaReadClt_arbitratedClient_respQ_D_IN = + dmaReadClt_response_put ; + assign arbitratedDmaReadClt_arbitratedClient_respQ_ENQ = + EN_dmaReadClt_response_put ; + assign arbitratedDmaReadClt_arbitratedClient_respQ_DEQ = + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_dispatchResponse ; + assign arbitratedDmaReadClt_arbitratedClient_respQ_CLR = 1'b0 ; + + // submodule dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ + assign dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_IN = + qpMetaData_qpVec_0_rdmaReqPipeOut_first ; + assign dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_ENQ = + CAN_FIRE_RL_dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate ; + assign dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_DEQ = + EN_rdmaDataStreamPipeOut_deq ; + assign dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_CLR = + 1'b0 ; + + // submodule headerAndMetaDataAndPayloadPipeOut_dataInQ + assign headerAndMetaDataAndPayloadPipeOut_dataInQ_D_IN = + inputDataStreamQ_D_OUT ; + assign headerAndMetaDataAndPayloadPipeOut_dataInQ_ENQ = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader ; + assign headerAndMetaDataAndPayloadPipeOut_dataInQ_DEQ = + (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader) && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData ; + assign headerAndMetaDataAndPayloadPipeOut_dataInQ_CLR = 1'b0 ; + + // submodule headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_IN = + { headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[289:34], + x1_avValue_snd_byteEn__h21193, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[1], + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg } ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_ENQ = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_DEQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_CLR = + 1'b0 ; + + // submodule headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ + always@(MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_enq_1__SEL_1 or + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData or + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_enq_1__VAL_2 or + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_extraLastFrag or + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_enq_1__VAL_3) + begin + case (1'b1) // synopsys parallel_case + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_enq_1__SEL_1: + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_D_IN = + 290'd3; + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData: + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_D_IN = + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_enq_1__VAL_2; + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_extraLastFrag: + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_D_IN = + MUX_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_enq_1__VAL_3; + default: headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_D_IN = + 290'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA /* unspecified value */ ; + endcase + end + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_ENQ = + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputHeader && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] && + (bits__h21377 == 2'd0 || + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg) || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_outputData || + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_extraLastFrag ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_DEQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_rl_into_buffer ; + assign headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_CLR = + 1'b0 ; + + // submodule headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_IN = + { headerLen__h22004, + headerFragNum__h22721, + lastFragValidByteNum__h22722, + inputDataStreamQ_D_OUT[286:282] == 5'd0 || + inputDataStreamQ_D_OUT[286:282] == 5'd1 || + inputDataStreamQ_D_OUT[286:282] == 5'd2 || + inputDataStreamQ_D_OUT[286:282] == 5'd4 || + inputDataStreamQ_D_OUT[286:282] == 5'd3 || + inputDataStreamQ_D_OUT[286:282] == 5'd5 || + inputDataStreamQ_D_OUT[286:282] == 5'd22 || + inputDataStreamQ_D_OUT[286:282] == 5'd23 || + inputDataStreamQ_D_OUT[286:282] == 5'd6 || + inputDataStreamQ_D_OUT[286:282] == 5'd7 || + inputDataStreamQ_D_OUT[286:282] == 5'd8 || + inputDataStreamQ_D_OUT[286:282] == 5'd10 || + inputDataStreamQ_D_OUT[286:282] == 5'd9 || + inputDataStreamQ_D_OUT[286:282] == 5'd11 || + inputDataStreamQ_D_OUT[286:282] == 5'd13 || + inputDataStreamQ_D_OUT[286:282] == 5'd14 || + inputDataStreamQ_D_OUT[286:282] == 5'd15 || + inputDataStreamQ_D_OUT[286:282] == 5'd16, + 1'd0 } ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_ENQ = + WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_DEQ = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_rl_deq ; + assign headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_CLR = 1'b0 ; + + // submodule inputDataStreamQ + assign inputDataStreamQ_D_IN = rdmaDataStreamInput_put ; + assign inputDataStreamQ_ENQ = EN_rdmaDataStreamInput_put ; + assign inputDataStreamQ_DEQ = + CAN_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader ; + assign inputDataStreamQ_CLR = 1'b0 ; + + // submodule inputWorkReqQ + assign inputWorkReqQ_D_IN = workReqInput_put ; + assign inputWorkReqQ_ENQ = EN_workReqInput_put ; + assign inputWorkReqQ_DEQ = CAN_FIRE_RL_workReqPipeOutVec_dispatchWorkReq ; + assign inputWorkReqQ_CLR = 1'b0 ; + + // submodule metaDataSrv_metaDataReqQ + assign metaDataSrv_metaDataReqQ_D_IN = srvPortMetaData_request_put ; + assign metaDataSrv_metaDataReqQ_ENQ = EN_srvPortMetaData_request_put ; + assign metaDataSrv_metaDataReqQ_DEQ = + CAN_FIRE_RL_metaDataSrv_recvMetaDataReq ; + assign metaDataSrv_metaDataReqQ_CLR = 1'b0 ; + + // submodule metaDataSrv_metaDataRespQ + always@(WILL_FIRE_RL_metaDataSrv_genResp4MR or + MUX_metaDataSrv_metaDataRespQ_enq_1__VAL_1 or + WILL_FIRE_RL_metaDataSrv_genResp4PD or + MUX_metaDataSrv_metaDataRespQ_enq_1__VAL_2 or + WILL_FIRE_RL_metaDataSrv_genResp4QP or + MUX_metaDataSrv_metaDataRespQ_enq_1__VAL_3) + begin + case (1'b1) // synopsys parallel_case + WILL_FIRE_RL_metaDataSrv_genResp4MR: + metaDataSrv_metaDataRespQ_D_IN = + MUX_metaDataSrv_metaDataRespQ_enq_1__VAL_1; + WILL_FIRE_RL_metaDataSrv_genResp4PD: + metaDataSrv_metaDataRespQ_D_IN = + MUX_metaDataSrv_metaDataRespQ_enq_1__VAL_2; + WILL_FIRE_RL_metaDataSrv_genResp4QP: + metaDataSrv_metaDataRespQ_D_IN = + MUX_metaDataSrv_metaDataRespQ_enq_1__VAL_3; + default: metaDataSrv_metaDataRespQ_D_IN = + 276'hAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA /* unspecified value */ ; + endcase + end + assign metaDataSrv_metaDataRespQ_ENQ = + WILL_FIRE_RL_metaDataSrv_genResp4MR || + WILL_FIRE_RL_metaDataSrv_genResp4PD || + WILL_FIRE_RL_metaDataSrv_genResp4QP ; + assign metaDataSrv_metaDataRespQ_DEQ = EN_srvPortMetaData_response_get ; + assign metaDataSrv_metaDataRespQ_CLR = 1'b0 ; + + // submodule pdMetaData_pdMrVec_0_mrTagVec_itemCnt + assign pdMetaData_pdMrVec_0_mrTagVec_itemCnt_DATA_A = 2'd1 ; + assign pdMetaData_pdMrVec_0_mrTagVec_itemCnt_DATA_B = 2'd3 ; + assign pdMetaData_pdMrVec_0_mrTagVec_itemCnt_DATA_C = 2'h0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_itemCnt_DATA_F = 2'd0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_itemCnt_ADDA = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_recvReq && + pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[199] && + !pdMetaData_pdMrVec_0_mrTagVec_fullReg ; + assign pdMetaData_pdMrVec_0_mrTagVec_itemCnt_ADDB = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_recvReq && + !pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[199] && + SEL_ARR_pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_ETC___d56 ; + assign pdMetaData_pdMrVec_0_mrTagVec_itemCnt_SETC = 1'b0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_itemCnt_SETF = + pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + + // submodule pdMetaData_pdMrVec_0_mrTagVec_reqQ + assign pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_IN = + { metaDataSrv_mrReqReg[263:65], mrReqKey__h12289[31] } ; + assign pdMetaData_pdMrVec_0_mrTagVec_reqQ_ENQ = + WILL_FIRE_RL_metaDataSrv_issueReq4MR && + pdMetaData_pdTagVec_tagVec_0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_reqQ_DEQ = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genRemoveResp || + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp ; + assign pdMetaData_pdMrVec_0_mrTagVec_reqQ_CLR = + pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + + // submodule pdMetaData_pdMrVec_0_mrTagVec_respQ + assign pdMetaData_pdMrVec_0_mrTagVec_respQ_D_IN = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp ? + MUX_pdMetaData_pdMrVec_0_mrTagVec_respQ_enq_1__VAL_1 : + MUX_pdMetaData_pdMrVec_0_mrTagVec_respQ_enq_1__VAL_2 ; + assign pdMetaData_pdMrVec_0_mrTagVec_respQ_ENQ = + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp || + WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genRemoveResp ; + assign pdMetaData_pdMrVec_0_mrTagVec_respQ_DEQ = + WILL_FIRE_RL_metaDataSrv_genResp4MR && + pdMetaData_pdTagVec_tagVec_0 ; + assign pdMetaData_pdMrVec_0_mrTagVec_respQ_CLR = + pdMetaData_pdMrVec_0_mrTagVec_clearReg ; + + // submodule pdMetaData_pdTagVec_reqQ + assign pdMetaData_pdTagVec_reqQ_D_IN = metaDataSrv_pdReqReg[64:32] ; + assign pdMetaData_pdTagVec_reqQ_ENQ = CAN_FIRE_RL_metaDataSrv_issueReq4PD ; + assign pdMetaData_pdTagVec_reqQ_DEQ = + WILL_FIRE_RL_pdMetaData_pdTagVec_genRemoveResp || + WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp ; + assign pdMetaData_pdTagVec_reqQ_CLR = pdMetaData_pdTagVec_clearReg ; + + // submodule pdMetaData_pdTagVec_respQ + assign pdMetaData_pdTagVec_respQ_D_IN = + WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp ? + MUX_pdMetaData_pdTagVec_respQ_enq_1__VAL_1 : + MUX_pdMetaData_pdTagVec_respQ_enq_1__VAL_2 ; + assign pdMetaData_pdTagVec_respQ_ENQ = + WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp || + WILL_FIRE_RL_pdMetaData_pdTagVec_genRemoveResp ; + assign pdMetaData_pdTagVec_respQ_DEQ = CAN_FIRE_RL_metaDataSrv_genResp4PD ; + assign pdMetaData_pdTagVec_respQ_CLR = pdMetaData_pdTagVec_clearReg ; + + // submodule pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0 + assign pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_D_IN = + { pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[160:152], + 1'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[150:149], + 4'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[144:129], + 8'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[120:96], + 7'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[88:65] } ; + assign pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[5] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[4] ; + assign pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_DEQ = 1'b0 ; + assign pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadFilterQ + assign pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_IN = + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT ; + assign pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ENQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_DEQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt ; + assign pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ + assign pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_IN = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT ; + assign pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt && + (pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] ? + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[5] && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[4] : + pktMetaDataAndPayloadPipeOutVec_isValidPktReg) ; + assign pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_DEQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadOutputQ + assign pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_D_IN = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT ; + assign pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[2] || + !pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT[1]) ; + assign pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_DEQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload ; + assign pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_IN = + { pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT, + value__h36219, + x__h36323, + bits__h36334 != 2'd0, + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[33:32] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[31:30] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[29:28] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[27:26] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[25:24] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[23:22] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[21:20] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[19:18] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[17:16] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[15:14] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[13:12] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[11:10] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[9:8] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[7:6] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[5:4] == + 2'd3 && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[3:2] == + 2'd3 } ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_ENQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_DEQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_IN = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_OUT ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_ENQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_DEQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_IN = + { pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[303:14], + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT[3] } ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_ENQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_DEQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ + assign pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_IN = + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0] || + !pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1]) ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_DEQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadRecvQ + assign pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_IN = + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[289:0] ; + assign pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_ENQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag ; + assign pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_DEQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader ; + assign pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_payloadValidationQ + assign pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_IN = + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT ; + assign pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_ENQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation ; + assign pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_DEQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP ; + assign pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_IN = + { pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[782:181], + 1'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[179:178], + 4'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[173:158], + 8'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[149:125], + 7'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[117:94], + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[92:37], + qpMetaData_qpVec_0_statusSQ_comm_getPMTU, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderVali_ETC___d1886 && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] != + 3'd3 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[36:5] == + qpMetaData_qpVec_0_statusSQ_comm_getQKEY), + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[4:0] } ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_DEQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_D_IN = + { pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[753:152], + 1'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[150:149], + 4'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[144:129], + 8'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[120:96], + 7'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[88:0] } ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidHeaderPkt && + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[5] && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[4] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_DEQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_D_IN = + { pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[21:9], + pktMetaData_pktFragNum__h38817, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[2], + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[654:30], + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[5] && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[4] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[1] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[3] && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[0]), + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[5] || + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[4] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[1]) && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[3] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[0]), + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT[0] } ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_DEQ = + CAN_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_IN = + { rdmaHeader_headerData__h26487, + rdmaHeader_headerByteEn__h26488, + IF_headerAndMetaDataAndPayloadPipeOut_headerAn_ETC___d1422 } ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_ENQ = + MUX_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg_write_1__SEL_1 ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_DEQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag && + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_CLR = + 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_IN = + { pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_D_OUT[753:152], + 1'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_D_OUT[150:149], + 4'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_D_OUT[144:129], + 8'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_D_OUT[120:96], + 7'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_D_OUT[88:0] } ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen && + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_DEQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[14] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_IN = + { pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT, + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[18] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[17:16] == + 2'd0 && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[15] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[14:13] == + 2'd0 && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[12] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[11:10] == + 2'd0 && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[9:8] == + 2'd0 && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[7:6] == + 2'd0, + bits__h38056 == 13'd0, + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q7 } ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_OUT[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_DEQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_IN = + { pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT[160:153], + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT[150:149], + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT[88:65], + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT[32:9], + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT[753:161], + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT[64:33], + pktFragNum__h36981, + pktLen__h36984, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT[8:6], + IF_pktMetaDataAndPayloadPipeOutVec_payloadPktL_ETC___d2151, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT[1:0] } ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcPktLen && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[14] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_DEQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckPktLen && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_OUT[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_CLR = + 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_IN = + { pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[691:90], + 1'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[88:87], + 4'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[82:67], + 8'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[58:34], + 7'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[26:3] } ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_DEQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation && + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_IN = + { pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[592:584], + 1'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[582:581], + 4'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[576:561], + 8'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[552:528], + 7'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[520:497], + 1'd1, + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q9 || + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q11, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[1] || + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[0] && + bits__h30478 == 2'd0 } ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag && + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_DEQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_IN = + { pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[688:87], + 1'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[85:84], + 4'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[79:64], + 8'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[55:31], + 7'd0, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[23:0], + qpMetaData_qpTagVec_tagVec_0, + qpMetaData_qpTagVec_dataVec_0, + dqpn__h31384, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[592:561], + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[95:88] == + 8'd129, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd13 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd14 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd15 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd16 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd17 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd18, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd2 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd3 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd22 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd8 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd9 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd15, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd0 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd6 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd13 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd1 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd7 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd14, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd2 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd3 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd22 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd8 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd9 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd15 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd4 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd5 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd23 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd10 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd11 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd12 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd19 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd20 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd16 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd17 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] == + 5'd18 } ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_prepareValidation && + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_DEQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkMetaDataQP && + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0 + assign pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_D_IN = + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_D_OUT[290:1] ; + assign pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputPayload && + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_D_OUT[0] ; + assign pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_DEQ = + CAN_FIRE_RL_mkConnectionGetPut_2 ; + assign pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_CLR = 1'b0 ; + + // submodule pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0 + assign pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_D_IN = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_D_OUT[649:1] ; + assign pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_ENQ = + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_outputHeaderMetaData && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_D_OUT[0] ; + assign pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_DEQ = + CAN_FIRE_RL_mkConnectionGetPut_1 ; + assign pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_CLR = 1'b0 ; + + // submodule qpMetaData_qpReqQ4Cntrl + assign qpMetaData_qpReqQ4Cntrl_D_IN = metaDataSrv_qpReqReg ; + assign qpMetaData_qpReqQ4Cntrl_ENQ = + WILL_FIRE_RL_metaDataSrv_issueReq4QP && + pdMetaData_pdTagVec_tagVec_0 ; + assign qpMetaData_qpReqQ4Cntrl_DEQ = CAN_FIRE_RL_qpMetaData_handleReqQP ; + assign qpMetaData_qpReqQ4Cntrl_CLR = 1'b0 ; + + // submodule qpMetaData_qpReqQ4Resp + assign qpMetaData_qpReqQ4Resp_D_IN = + { qpMetaData_qpReqQ4Cntrl_D_OUT[300:299] != 2'd0 && + qpMetaData_qpReqQ4Cntrl_D_OUT[300:299] != 2'd1 || + qpMetaData_qpTagVec_respQ_D_OUT[32], + qpMetaData_qpReqQ4Cntrl_D_OUT[300:299], + IF_qpMetaData_qpReqQ4Cntrl_first__66_BITS_300__ETC___d207 } ; + assign qpMetaData_qpReqQ4Resp_ENQ = CAN_FIRE_RL_qpMetaData_handleReqQP ; + assign qpMetaData_qpReqQ4Resp_DEQ = + WILL_FIRE_RL_metaDataSrv_genResp4QP && + pdMetaData_pdTagVec_tagVec_0 ; + assign qpMetaData_qpReqQ4Resp_CLR = 1'b0 ; + + // submodule qpMetaData_qpTagVec_reqQ + assign qpMetaData_qpTagVec_reqQ_D_IN = + { metaDataSrv_qpReqReg[300:299] == 2'd0, + metaDataSrv_qpReqReg[298:267] } ; + assign qpMetaData_qpTagVec_reqQ_ENQ = + WILL_FIRE_RL_metaDataSrv_issueReq4QP && + pdMetaData_pdTagVec_tagVec_0 && + (metaDataSrv_qpReqReg[300:299] == 2'd0 || + metaDataSrv_qpReqReg[300:299] == 2'd1) ; + assign qpMetaData_qpTagVec_reqQ_DEQ = + WILL_FIRE_RL_qpMetaData_qpTagVec_genRemoveResp || + WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp ; + assign qpMetaData_qpTagVec_reqQ_CLR = qpMetaData_qpTagVec_clearReg ; + + // submodule qpMetaData_qpTagVec_respQ + assign qpMetaData_qpTagVec_respQ_D_IN = + WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp ? + MUX_qpMetaData_qpTagVec_respQ_enq_1__VAL_1 : + MUX_qpMetaData_qpTagVec_respQ_enq_1__VAL_2 ; + assign qpMetaData_qpTagVec_respQ_ENQ = + WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp || + WILL_FIRE_RL_qpMetaData_qpTagVec_genRemoveResp ; + assign qpMetaData_qpTagVec_respQ_DEQ = + WILL_FIRE_RL_qpMetaData_handleReqQP && + (qpMetaData_qpReqQ4Cntrl_D_OUT[300:299] == 2'd0 || + qpMetaData_qpReqQ4Cntrl_D_OUT[300:299] == 2'd1) ; + assign qpMetaData_qpTagVec_respQ_CLR = qpMetaData_qpTagVec_clearReg ; + + // submodule qpMetaData_qpVec_0 + assign qpMetaData_qpVec_0_dmaReadClt4SQ_response_put = + arbitratedDmaReadClt_arbitratedClient_respQ_D_OUT ; + assign qpMetaData_qpVec_0_respPktPipeIn_payload_put = + pktMetaDataAndPayloadPipeOutVec_respPayloadOutVec_0_D_OUT ; + assign qpMetaData_qpVec_0_respPktPipeIn_pktMetaData_put = + pktMetaDataAndPayloadPipeOutVec_respPktMetaDataOutVec_0_D_OUT ; + always@(qpMetaData_qpReqQ4Cntrl_D_OUT or qpMetaData_qpTagVec_respQ_D_OUT) + begin + case (qpMetaData_qpReqQ4Cntrl_D_OUT[300:299]) + 2'd0, 2'd1: + qpMetaData_qpVec_0_srvPortQP_request_put = + { qpMetaData_qpReqQ4Cntrl_D_OUT[300:299], + qpMetaData_qpTagVec_respQ_D_OUT[31:0], + qpMetaData_qpTagVec_respQ_D_OUT[23:0], + qpMetaData_qpReqQ4Cntrl_D_OUT[242:0] }; + default: qpMetaData_qpVec_0_srvPortQP_request_put = + qpMetaData_qpReqQ4Cntrl_D_OUT; + endcase + end + assign qpMetaData_qpVec_0_workReqIn_put = + workReqPipeOutVec_workReqOutVec_0_D_OUT ; + assign qpMetaData_qpVec_0_EN_srvPortQP_request_put = + WILL_FIRE_RL_qpMetaData_handleReqQP && + ((qpMetaData_qpReqQ4Cntrl_D_OUT[300:299] == 2'd0 || + qpMetaData_qpReqQ4Cntrl_D_OUT[300:299] == 2'd1) && + qpMetaData_qpTagVec_respQ_D_OUT[32] || + qpMetaData_qpReqQ4Cntrl_D_OUT[300:299] == 2'd2 || + qpMetaData_qpReqQ4Cntrl_D_OUT[300:299] == 2'd3) ; + assign qpMetaData_qpVec_0_EN_srvPortQP_response_get = + WILL_FIRE_RL_metaDataSrv_genResp4QP && + pdMetaData_pdTagVec_tagVec_0 && + qpMetaData_qpReqQ4Resp_D_OUT[301] ; + assign qpMetaData_qpVec_0_EN_workReqIn_put = + CAN_FIRE_RL_mkConnectionGetPut ; + assign qpMetaData_qpVec_0_EN_dmaReadClt4SQ_request_get = + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_extractReq ; + assign qpMetaData_qpVec_0_EN_dmaReadClt4SQ_response_put = + CAN_FIRE_RL_arbitratedDmaReadClt_arbitratedClient_dispatchResponse ; + assign qpMetaData_qpVec_0_EN_respPktPipeIn_pktMetaData_put = + CAN_FIRE_RL_mkConnectionGetPut_1 ; + assign qpMetaData_qpVec_0_EN_respPktPipeIn_payload_put = + CAN_FIRE_RL_mkConnectionGetPut_2 ; + assign qpMetaData_qpVec_0_EN_rdmaReqPipeOut_deq = + CAN_FIRE_RL_dataStreamPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate ; + assign qpMetaData_qpVec_0_EN_workCompPipeOutSQ_deq = + CAN_FIRE_RL_sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate ; + + // submodule sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ + assign sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_D_IN = + qpMetaData_qpVec_0_workCompPipeOutSQ_first ; + assign sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_ENQ = + CAN_FIRE_RL_sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_binaryArbitrate ; + assign sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_DEQ = + EN_workCompPipeOutSQ_deq ; + assign sendWorkCompPipeOut_leafArbiterVec_binaryArbiter_0_pipeOutQ_CLR = + 1'b0 ; + + // submodule workReqPipeOutVec_workReqOutVec_0 + assign workReqPipeOutVec_workReqOutVec_0_D_IN = inputWorkReqQ_D_OUT ; + assign workReqPipeOutVec_workReqOutVec_0_ENQ = + CAN_FIRE_RL_workReqPipeOutVec_dispatchWorkReq ; + assign workReqPipeOutVec_workReqOutVec_0_DEQ = + CAN_FIRE_RL_mkConnectionGetPut ; + assign workReqPipeOutVec_workReqOutVec_0_CLR = 1'b0 ; + + // remaining internal signals + assign IF_headerAndMetaDataAndPayloadPipeOut_headerAn_ETC___d1422 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[1] ? + { pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg[16:10], + 2'd1, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg[7:0] } : + { pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg[16:10], + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipe_ETC___d1419, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg[7:0] } ; + assign IF_headerAndMetaDataAndPayloadPipeOut_headerAn_ETC___d451 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] ? + bits__h21377 != 2'd0 && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_payloadDataStreamOutQ_FULL_N : + headerAndMetaDataAndPayloadPipeOut_dataInQ_EMPTY_N ; + assign IF_pdMetaData_pdTagVec_tagVec_0_4_THEN_IF_qpMe_ETC___d338 = + pdMetaData_pdTagVec_tagVec_0 ? + CASE_qpMetaData_qpReqQ4RespD_OUT_BITS_300_TO__ETC__q4 : + { metaDataSrv_qpReqReg[266:243], + metaDataSrv_qpReqReg[298:267], + metaDataSrv_qpReqReg[216:0] } ; + assign IF_pdMetaData_pdTagVec_tagVec_0_4_THEN_pdMetaD_ETC___d279 = + pdMetaData_pdTagVec_tagVec_0 ? + { pdMetaData_pdMrVec_0_mrTagVec_respQ_D_OUT[197:0], + lkey__h13067, + rkey__h13068 } : + { metaDataSrv_mrReqReg[262:65], metaDataSrv_mrReqReg[63:0] } ; + assign IF_pktMetaDataAndPayloadPipeOutVec_payloadPktL_ETC___d2265 = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT[1] ? + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderOutputQ_FULL_N && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[2] || + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_FULL_N) : + pktMetaDataAndPayloadPipeOutVec_payloadOutputQ_FULL_N ; + assign IF_pktMetaDataAndPayloadPipeOutVec_payloadRecv_ETC___d1675 = + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] ? + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecv_ETC___d1674 : + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_FULL_N ; + assign IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1849 = + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[3] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[4]) ? + !qpMetaData_qpVec_0_statusSQ_comm_isRTS : + !qpMetaData_qpVec_0_statusSQ_comm_isNonErr ; + assign IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1855 = + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1842 || + !qpMetaData_qpVec_0_statusSQ_comm_isERR && + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1849 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] != + 3'd3 || + qpMetaData_qpVec_0_RDY_statusSQ_comm_getQKEY ; + assign IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1884 = + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[3] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[4]) ? + qpMetaData_qpVec_0_statusSQ_comm_isRTS : + qpMetaData_qpVec_0_statusSQ_comm_isNonErr ; + assign IF_qpMetaData_qpReqQ4Cntrl_first__66_BITS_300__ETC___d207 = + { CASE_qpMetaData_qpReqQ4CntrlD_OUT_BITS_300_TO_ETC__q5, + CASE_qpMetaData_qpReqQ4CntrlD_OUT_BITS_300_TO_ETC__q6, + qpMetaData_qpReqQ4Cntrl_D_OUT[242:0] } ; + assign IF_qpMetaData_qpReqQ4Resp_first__91_BIT_301_04_ETC___d333 = + qpMetaData_qpReqQ4Resp_D_OUT[301] ? + qpMetaData_qpVec_0_srvPortQP_response_get[272:0] : + { qpMetaData_qpReqQ4Resp_D_OUT[266:243], + qpMetaData_qpReqQ4Resp_D_OUT[298:267], + qpMetaData_qpReqQ4Resp_D_OUT[216:0] } ; + assign NOT_headerAndMetaDataAndPayloadPipeOut_headerA_ETC___d1518 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[33:32] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[31:30] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[29:28] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[27:26] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[25:24] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[23:22] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[21:20] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[19:18] != + 2'd3 ; + assign NOT_headerAndMetaDataAndPayloadPipeOut_headerA_ETC___d1549 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[17:16] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[15:14] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[13:12] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[11:10] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[9:8] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[7:6] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[5:4] != + 2'd3 || + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[3:2] != + 2'd3 ; + assign NOT_pdMetaData_pdTagVec_tagVec_0_4_1_OR_qpMeta_ETC___d253 = + !pdMetaData_pdTagVec_tagVec_0 || + qpMetaData_qpReqQ4Cntrl_FULL_N && + (metaDataSrv_qpReqReg[300:299] != 2'd0 && + metaDataSrv_qpReqReg[300:299] != 2'd1 || + qpMetaData_qpTagVec_reqQ_FULL_N) ; + assign SEL_ARR_pdMetaData_pdMrVec_0_mrTagVec_dataVec__ETC___d123 = + { CASE_pdMetaData_pdMrVec_0_mrTagVec_reqQD_OUT__ETC__q2, + x__h6223, + x__h6228, + x__h6233 } ; + assign SEL_ARR_pdMetaData_pdMrVec_0_mrTagVec_dataVec__ETC___d124 = + { CASE_pdMetaData_pdMrVec_0_mrTagVec_reqQD_OUT__ETC__q3, + x__h6207, + SEL_ARR_pdMetaData_pdMrVec_0_mrTagVec_dataVec__ETC___d123 } ; + assign _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428 = + (32'd1 << fragValidByteNum__h26706) - 32'd1 ; + assign _1_SL_headerAndMetaDataAndPayloadPipeOut_header_ETC___d379 = + (32'd1 << + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[7:2]) - + 32'd1 ; + assign _theResult___headerData__h26503 = + { headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[1] ? + 256'd0 : + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg[336:81], + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[289:34] } ; + assign _theResult___headerMetaData_headerFragNum__h26603 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[1] ? + 2'd1 : + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipe_ETC___d1419 ; + assign _theResult___headerMetaData_headerLen__h26602 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[1] ? + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg[16:10] : + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg[16:10] ; + assign bits__h21377 = + { headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg[31], + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg[0] } ; + assign bits__h30478 = + { pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[33], + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[2] } ; + assign bits__h36334 = + { pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[33], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[2] } ; + assign bits__h38056 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[18:6] & + y__h38112 ; + assign dqpn__h31384 = + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[95:93] == + 3'd5 && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] != + 5'd13 && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] != + 5'd14 && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] != + 5'd15 && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] != + 5'd16 && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] != + 5'd17 && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[92:88] != + 5'd18 && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[95:88] != + 8'd129) ? + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[584:561] : + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_D_OUT[55:32] ; + assign fragLenExtWithOutPad__h36979 = + { 7'd0, + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[7:2] } ; + assign fragValidByteNum__h26706 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[1] ? + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg[7:2] : + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg[7:2] ; + assign headerFragNum__h22721 = + headerLen__h22004[6:5] + { 1'd0, x__h22733 } ; + assign headerInvalidFragNum__h26376 = + 2'd2 - + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv[9:8] ; + assign headerLastFragByteEn__h26468 = + { _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[0], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[1], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[2], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[3], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[4], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[5], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[6], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[7], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[8], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[9], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[10], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[11], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[12], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[13], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[14], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[15], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[16], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[17], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[18], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[19], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[20], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[21], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[22], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[23], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[24], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[25], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[26], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[27], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[28], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[29], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[30], + _1_SL_IF_headerAndMetaDataAndPayloadPipeOut_hea_ETC___d1428[31] } ; + assign headerLastFragInvalidByteNum__h17427 = + 6'd32 - + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[7:2] ; + assign headerMetaData_headerFragNum__h21034 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg[9:8] - + 2'd1 ; + assign lastFragValidByteNum__h22722 = + (headerLen__h22004[4:3] == 2'd0 && !headerLen__h22004[2] && + headerLen__h22004[1:0] == 2'd0 && + headerLen__h22004[6:5] != 2'd0) ? + 6'd32 : + lastFragValidByteNum__h22801 ; + assign lastFragValidByteNum__h22801 = { 1'd0, headerLen__h22004[4:0] } ; + assign leftShiftByteEn__h21797 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg[33:2] << + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidByteNumReg ; + assign leftShiftData__h21796 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg[289:34] << + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidBitNumReg ; + assign lkey__h13067 = + { pdMetaData_pdMrVec_0_mrTagVec_respQ_D_OUT[198], + pdMetaData_pdMrVec_0_mrTagVec_respQ_D_OUT[61:31] } ; + assign metaDataSrv_metaDataRespQ_i_notFull__60_AND_NO_ETC___d318 = + metaDataSrv_metaDataRespQ_FULL_N && + (!pdMetaData_pdTagVec_tagVec_0 || + qpMetaData_qpReqQ4Resp_EMPTY_N && + (!qpMetaData_qpReqQ4Resp_D_OUT[301] || + qpMetaData_qpVec_0_RDY_srvPortQP_response_get) && + IF_qpMetaData_qpReqQ4Resp_first__91_BITS_300_T_ETC___d314) ; + assign mrReqKey__h12289 = + metaDataSrv_mrReqReg[64] ? + metaDataSrv_mrReqReg[63:32] : + metaDataSrv_mrReqReg[31:0] ; + assign outByteEn__h21289 = + { headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg[33:2], + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[33:2] } >> + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidByteNumReg ; + assign outData__h21288 = + { headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg[289:34], + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[289:34] } >> + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidBitNumReg ; + assign pktFragNum__h37125 = + pktMetaDataAndPayloadPipeOutVec_pktFragNumReg + 8'd1 ; + assign pktLen__h37124 = + (pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[6:2] == + 5'd0) ? + pktLen__h37192 : + { pktMetaDataAndPayloadPipeOutVec_pktLenReg[12:5], + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[6:2] } ; + assign pktLen__h37192 = + { pktMetaDataAndPayloadPipeOutVec_pktLenReg[12:5] + 8'd1, + pktMetaDataAndPayloadPipeOutVec_pktLenReg[4:0] } ; + assign pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ETC___d1861 = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_FULL_N && + (!pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_D_OUT[1] || + qpMetaData_qpVec_0_RDY_statusSQ_comm_getPMTU && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderVali_ETC___d1858) ; + assign pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_ETC___d1918 = + pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_EMPTY_N || + (pktMetaDataAndPayloadPipeOutVec_payloadFilterQ_D_OUT[1] ? + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[5] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[4] : + !pktMetaDataAndPayloadPipeOutVec_isValidPktReg) ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenC_ETC___d1950 = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_FULL_N && + (!pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[1] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_FULL_N) ; + assign pktMetaDataAndPayloadPipeOutVec_payloadPktLenC_ETC___d2185 = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_FULL_N && + (!pktMetaDataAndPayloadPipeOutVec_payloadPktLenPreCheckQ_D_OUT[1] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_FULL_N) ; + assign pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_i_ETC___d1565 = + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_FULL_N && + (!pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[1] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_FULL_N) ; + assign pktMetaDataAndPayloadPipeOutVec_payloadValidat_ETC___d1712 = + pktMetaDataAndPayloadPipeOutVec_payloadValidationQ_FULL_N && + (!pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_D_OUT[1] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_FULL_N) ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilt_ETC___d1910 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_EMPTY_N && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[5] || + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_D_OUT[4] ? + pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_FULL_N : + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_FULL_N)) ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipe_ETC___d1419 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg[9:8] + + 2'd1 ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecv_ETC___d1674 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_EMPTY_N && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0] || + pktMetaDataAndPayloadPipeOutVec_payloadPreCheckQ_FULL_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPreCheckQ_FULL_N) ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderVali_ETC___d1858 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_EMPTY_N && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFilterQ_FULL_N && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[93] || + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1855) ; + assign pktMetaDataAndPayloadPipeOutVec_rdmaHeaderVali_ETC___d1886 = + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] == + 3'd4 || + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q1) && + (qpMetaData_qpVec_0_statusSQ_comm_isERR || + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1884) ; + assign pktMetaData_pktFragNum__h38817 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[2] ? + 8'd0 : + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[29:22] ; + assign rdmaHeader_headerByteEn__h26488 = + rdmaHeader_headerByteEn__h26491 << + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragByteNumReg ; + assign rdmaHeader_headerByteEn__h26491 = + { headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[1] ? + 32'd0 : + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg[48:17], + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[33:2] } ; + assign rdmaHeader_headerData__h26487 = + _theResult___headerData__h26503 << + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragBitNumReg ; + assign rightAlignedByteEn__h33653 = + { pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[2], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[3], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[4], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[5], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[6], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[7], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[8], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[9], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[10], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[11], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[12], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[13], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[14], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[15], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[16], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[17], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[18], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[19], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[20], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[21], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[22], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[23], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[24], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[25], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[26], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[27], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[28], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[29], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[30], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[31], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[32], + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[33] } ; + assign rkey__h13068 = + { pdMetaData_pdMrVec_0_mrTagVec_respQ_D_OUT[198], + pdMetaData_pdMrVec_0_mrTagVec_respQ_D_OUT[30:0] } ; + assign v__h33300 = + pktMetaDataAndPayloadPipeOutVec_payloadFragLenCalcQ_D_OUT[1] ? + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderFragLenCalcQ_D_OUT[150:149] : + pktMetaDataAndPayloadPipeOutVec_bthPadCntReg ; + assign value__h36219 = fragLen__h36262 ; + assign x1_avValue_headerByteEn__h29693 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] ? + rdmaHeader_headerByteEn__h26488 : + rdmaHeader_headerByteEn__h26491 ; + assign x1_avValue_headerData__h29692 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] ? + rdmaHeader_headerData__h26487 : + _theResult___headerData__h26503 ; + assign x1_avValue_pdHandler__h10043 = + qpMetaData_qpTagVec_respQ_D_OUT[32] ? + qpMetaData_qpTagVec_respQ_D_OUT[31:0] : + qpMetaData_qpReqQ4Cntrl_D_OUT[298:267] ; + assign x1_avValue_qpn__h10044 = + qpMetaData_qpTagVec_respQ_D_OUT[32] ? + qpMetaData_qpTagVec_respQ_D_OUT[23:0] : + qpMetaData_qpReqQ4Cntrl_D_OUT[266:243] ; + assign x1_avValue_snd_byteEn__h21189 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg ? + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragByteEnReg : + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[33:2] ; + assign x1_avValue_snd_byteEn__h21193 = + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[0] ? + y_avValue_byteEn__h20788 : + x1_avValue_snd_byteEn__h21189 ; + assign x__h22733 = + headerLen__h22004[4:3] != 2'd0 || headerLen__h22004[2] || + headerLen__h22004[1:0] != 2'd0 ; + assign x__h36323 = fragLen__h36262 - { 4'd0, v__h33300 } ; + assign x__h38061 = 13'd1 << x__h38115 ; + assign x_headerFragNum__h20036 = + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[9:8] - + 2'd1 ; + assign y__h38112 = ~x__h38061 ; + assign y_avValue_byteEn__h20788 = + (bits__h21377 != 2'd0 && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg) ? + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragByteEnReg : + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg[33:2] ; + always@(pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT or + pdMetaData_pdMrVec_0_mrTagVec_dataVec_0 or + pdMetaData_pdMrVec_0_mrTagVec_dataVec_1) + begin + case (pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[0]) + 1'd0: x__h6207 = pdMetaData_pdMrVec_0_mrTagVec_dataVec_0[133:102]; + 1'd1: x__h6207 = pdMetaData_pdMrVec_0_mrTagVec_dataVec_1[133:102]; + endcase + end + always@(pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT or + pdMetaData_pdMrVec_0_mrTagVec_dataVec_0 or + pdMetaData_pdMrVec_0_mrTagVec_dataVec_1) + begin + case (pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[0]) + 1'd0: x__h6223 = pdMetaData_pdMrVec_0_mrTagVec_dataVec_0[93:62]; + 1'd1: x__h6223 = pdMetaData_pdMrVec_0_mrTagVec_dataVec_1[93:62]; + endcase + end + always@(pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT or + pdMetaData_pdMrVec_0_mrTagVec_dataVec_0 or + pdMetaData_pdMrVec_0_mrTagVec_dataVec_1) + begin + case (pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[0]) + 1'd0: x__h6228 = pdMetaData_pdMrVec_0_mrTagVec_dataVec_0[61:31]; + 1'd1: x__h6228 = pdMetaData_pdMrVec_0_mrTagVec_dataVec_1[61:31]; + endcase + end + always@(pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT or + pdMetaData_pdMrVec_0_mrTagVec_dataVec_0 or + pdMetaData_pdMrVec_0_mrTagVec_dataVec_1) + begin + case (pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[0]) + 1'd0: x__h6233 = pdMetaData_pdMrVec_0_mrTagVec_dataVec_0[30:0]; + 1'd1: x__h6233 = pdMetaData_pdMrVec_0_mrTagVec_dataVec_1[30:0]; + endcase + end + always@(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT or + pktMetaDataAndPayloadPipeOutVec_pktLenReg or + pktLen__h37192 or pktLen__h37124 or fragLenExtWithOutPad__h36979) + begin + case (pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[15:14]) + 2'b0: pktLen__h36984 = pktLen__h37192; + 2'b01: pktLen__h36984 = pktLen__h37124; + 2'b10: pktLen__h36984 = 13'd32; + 2'b11: pktLen__h36984 = fragLenExtWithOutPad__h36979; + endcase + end + always@(inputDataStreamQ_D_OUT) + begin + case (inputDataStreamQ_D_OUT[289:282]) + 8'd0, 8'd1, 8'd2, 8'd4, 8'd7, 8'd8, 8'd14, 8'd174: + headerLen__h22004 = 7'd12; + 8'd3, + 8'd5, + 8'd9, + 8'd13, + 8'd15, + 8'd16, + 8'd17, + 8'd22, + 8'd23, + 8'd160, + 8'd161, + 8'd162, + 8'd164, + 8'd167, + 8'd168, + 8'd173, + 8'd175, + 8'd176, + 8'd177: + headerLen__h22004 = 7'd16; + 8'd6, 8'd10, 8'd12, 8'd129: headerLen__h22004 = 7'd28; + 8'd11, 8'd166, 8'd170, 8'd172: headerLen__h22004 = 7'd32; + 8'd18, 8'd101, 8'd178: headerLen__h22004 = 7'd24; + 8'd19, 8'd20: headerLen__h22004 = 7'd40; + 8'd100, 8'd163, 8'd165, 8'd169, 8'd182, 8'd183: + headerLen__h22004 = 7'd20; + 8'd171: headerLen__h22004 = 7'd36; + 8'd179, 8'd180: headerLen__h22004 = 7'd44; + default: headerLen__h22004 = 7'd0; + endcase + end + always@(rightAlignedByteEn__h33653) + begin + case (rightAlignedByteEn__h33653) + 32'd15: fragLen__h36262 = 6'd4; + 32'd255: fragLen__h36262 = 6'd8; + 32'd4095: fragLen__h36262 = 6'd12; + 32'd65535: fragLen__h36262 = 6'd16; + 32'd1048575: fragLen__h36262 = 6'd20; + 32'd16777215: fragLen__h36262 = 6'd24; + 32'd268435455: fragLen__h36262 = 6'd28; + 32'hFFFFFFFF: fragLen__h36262 = 6'd32; + default: fragLen__h36262 = 6'd0; + endcase + end + always@(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT or + pktMetaDataAndPayloadPipeOutVec_pktFragNumReg or pktFragNum__h37125) + begin + case (pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[15:14]) + 2'b0, 2'b01: pktFragNum__h36981 = pktFragNum__h37125; + 2'b10, 2'b11: pktFragNum__h36981 = 8'd1; + endcase + end + always@(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT) + begin + case (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[5:3]) + 3'd1: x__h38115 = 32'd8; + 3'd2: x__h38115 = 32'd9; + 3'd3: x__h38115 = 32'd10; + 3'd4: x__h38115 = 32'd11; + default: x__h38115 = 32'd12; + endcase + end + always@(pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT or + pdMetaData_pdMrVec_0_mrTagVec_tagVec_0 or + pdMetaData_pdMrVec_0_mrTagVec_tagVec_1) + begin + case (pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[0]) + 1'd0: + SEL_ARR_pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_ETC___d56 = + pdMetaData_pdMrVec_0_mrTagVec_tagVec_0; + 1'd1: + SEL_ARR_pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_ETC___d56 = + pdMetaData_pdMrVec_0_mrTagVec_tagVec_1; + endcase + end + always@(qpMetaData_qpReqQ4Resp_D_OUT or + qpMetaData_qpReqQ4Resp_EMPTY_N or + qpMetaData_qpVec_0_RDY_srvPortQP_response_get) + begin + case (qpMetaData_qpReqQ4Resp_D_OUT[300:299]) + 2'd0, 2'd1, 2'd2, 2'd3: + IF_qpMetaData_qpReqQ4Resp_first__91_BITS_300_T_ETC___d314 = + !qpMetaData_qpReqQ4Resp_D_OUT[301] || + qpMetaData_qpVec_0_RDY_srvPortQP_response_get; + endcase + end + always@(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT or + qpMetaData_qpVec_0_statusSQ_getTypeQP) + begin + case (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187]) + 3'd0: + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1842 = + qpMetaData_qpVec_0_statusSQ_getTypeQP != 4'd2; + 3'd1: + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1842 = + qpMetaData_qpVec_0_statusSQ_getTypeQP != 4'd3; + 3'd3: + IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1842 = + qpMetaData_qpVec_0_statusSQ_getTypeQP != 4'd4; + default: IF_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderV_ETC___d1842 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] != + 3'd5 || + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[3] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[4] || + qpMetaData_qpVec_0_statusSQ_getTypeQP != 4'd10) && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[3] && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[4] || + qpMetaData_qpVec_0_statusSQ_getTypeQP != 4'd9); + endcase + end + always@(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT or + qpMetaData_qpVec_0_statusSQ_getTypeQP) + begin + case (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187]) + 3'd0: + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q1 = + qpMetaData_qpVec_0_statusSQ_getTypeQP == 4'd2; + 3'd1: + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q1 = + qpMetaData_qpVec_0_statusSQ_getTypeQP == 4'd3; + 3'd3: + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q1 = + qpMetaData_qpVec_0_statusSQ_getTypeQP == 4'd4; + default: CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q1 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[189:187] == + 3'd5 && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[3] && + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[4] && + qpMetaData_qpVec_0_statusSQ_getTypeQP == 4'd10 || + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[3] || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderValidationQ_D_OUT[4]) && + qpMetaData_qpVec_0_statusSQ_getTypeQP == 4'd9); + endcase + end + always@(pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT or + pdMetaData_pdMrVec_0_mrTagVec_dataVec_0 or + pdMetaData_pdMrVec_0_mrTagVec_dataVec_1) + begin + case (pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[0]) + 1'd0: + CASE_pdMetaData_pdMrVec_0_mrTagVec_reqQD_OUT__ETC__q2 = + pdMetaData_pdMrVec_0_mrTagVec_dataVec_0[101:94]; + 1'd1: + CASE_pdMetaData_pdMrVec_0_mrTagVec_reqQD_OUT__ETC__q2 = + pdMetaData_pdMrVec_0_mrTagVec_dataVec_1[101:94]; + endcase + end + always@(pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT or + pktMetaDataAndPayloadPipeOutVec_pktValidReg or + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT) + begin + case (pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[15:14]) + 2'd0: + IF_pktMetaDataAndPayloadPipeOutVec_payloadPktL_ETC___d2151 = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[15:14] == + 2'b0 && + pktMetaDataAndPayloadPipeOutVec_pktValidReg && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[0]; + 2'b01: + IF_pktMetaDataAndPayloadPipeOutVec_payloadPktL_ETC___d2151 = + pktMetaDataAndPayloadPipeOutVec_pktValidReg; + 2'b10: + IF_pktMetaDataAndPayloadPipeOutVec_payloadPktL_ETC___d2151 = + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[0]; + 2'b11: + IF_pktMetaDataAndPayloadPipeOutVec_payloadPktL_ETC___d2151 = + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT[1] && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCalcQ_D_OUT[2] || + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCalcQ_D_OUT[1]); + endcase + end + always@(pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT or + pdMetaData_pdMrVec_0_mrTagVec_dataVec_0 or + pdMetaData_pdMrVec_0_mrTagVec_dataVec_1) + begin + case (pdMetaData_pdMrVec_0_mrTagVec_reqQ_D_OUT[0]) + 1'd0: + CASE_pdMetaData_pdMrVec_0_mrTagVec_reqQD_OUT__ETC__q3 = + pdMetaData_pdMrVec_0_mrTagVec_dataVec_0[197:134]; + 1'd1: + CASE_pdMetaData_pdMrVec_0_mrTagVec_reqQD_OUT__ETC__q3 = + pdMetaData_pdMrVec_0_mrTagVec_dataVec_1[197:134]; + endcase + end + always@(qpMetaData_qpReqQ4Resp_D_OUT or + IF_qpMetaData_qpReqQ4Resp_first__91_BIT_301_04_ETC___d333) + begin + case (qpMetaData_qpReqQ4Resp_D_OUT[300:299]) + 2'd0, 2'd1, 2'd2, 2'd3: + CASE_qpMetaData_qpReqQ4RespD_OUT_BITS_300_TO__ETC__q4 = + IF_qpMetaData_qpReqQ4Resp_first__91_BIT_301_04_ETC___d333; + endcase + end + always@(qpMetaData_qpReqQ4Cntrl_D_OUT or x1_avValue_pdHandler__h10043) + begin + case (qpMetaData_qpReqQ4Cntrl_D_OUT[300:299]) + 2'd0, 2'd1: + CASE_qpMetaData_qpReqQ4CntrlD_OUT_BITS_300_TO_ETC__q5 = + x1_avValue_pdHandler__h10043; + default: CASE_qpMetaData_qpReqQ4CntrlD_OUT_BITS_300_TO_ETC__q5 = + qpMetaData_qpReqQ4Cntrl_D_OUT[298:267]; + endcase + end + always@(qpMetaData_qpReqQ4Cntrl_D_OUT or x1_avValue_qpn__h10044) + begin + case (qpMetaData_qpReqQ4Cntrl_D_OUT[300:299]) + 2'd0, 2'd1: + CASE_qpMetaData_qpReqQ4CntrlD_OUT_BITS_300_TO_ETC__q6 = + x1_avValue_qpn__h10044; + default: CASE_qpMetaData_qpReqQ4CntrlD_OUT_BITS_300_TO_ETC__q6 = + qpMetaData_qpReqQ4Cntrl_D_OUT[266:243]; + endcase + end + always@(qpMetaData_qpReqQ4Cntrl_D_OUT or + qpMetaData_qpVec_0_RDY_srvPortQP_request_put or + qpMetaData_qpTagVec_respQ_EMPTY_N or + qpMetaData_qpTagVec_respQ_D_OUT) + begin + case (qpMetaData_qpReqQ4Cntrl_D_OUT[300:299]) + 2'd0, 2'd1: + IF_qpMetaData_qpReqQ4Cntrl_first__66_BITS_300__ETC___d184 = + qpMetaData_qpTagVec_respQ_EMPTY_N && + (!qpMetaData_qpTagVec_respQ_D_OUT[32] || + qpMetaData_qpVec_0_RDY_srvPortQP_request_put); + default: IF_qpMetaData_qpReqQ4Cntrl_first__66_BITS_300__ETC___d184 = + qpMetaData_qpReqQ4Cntrl_D_OUT[300:299] != 2'd2 && + qpMetaData_qpReqQ4Cntrl_D_OUT[300:299] != 2'd3 || + qpMetaData_qpVec_0_RDY_srvPortQP_request_put; + endcase + end + always@(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT) + begin + case (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[5:3]) + 3'd1: + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q7 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[14] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[13:6] != + 8'd0; + 3'd2: + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q7 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[15] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[14:6] != + 9'd0; + 3'd3: + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q7 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[16] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[15:6] != + 10'd0; + 3'd4: + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q7 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[17] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[16:6] != + 11'd0; + default: CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q7 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[18] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenPreCheckQ_D_OUT[17:6] != + 12'd0; + endcase + end + always@(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT) + begin + case (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585]) + 5'd6, 5'd7: + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q8 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[582:581] == + 2'd0; + default: CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q8 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd8 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd10 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd9 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd11 || + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd12 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd19 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd20) && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[582:581] == + 2'd0; + endcase + end + always@(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT or + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q8) + begin + case (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585]) + 5'd0, 5'd1: + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q9 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[582:581] == + 2'd0; + default: CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q9 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd2 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd4 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd3 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd5 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd22 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd23 || + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q8; + endcase + end + always@(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT) + begin + case (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[495:494]) + 2'd0, 2'd1: + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q10 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[582:581] == + 2'd0; + default: CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q10 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[495:494] == + 2'd3 && + (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[493:489] == + 5'd0 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[493:489] == + 5'd1 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[493:489] == + 5'd2 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[493:489] == + 5'd3 || + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[493:489] == + 5'd4) && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[582:581] == + 2'd0; + endcase + end + always@(pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT or + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q10) + begin + case (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585]) + 5'd13, 5'd18: + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q11 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[495:494] == + 2'd0 && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[582:581] == + 2'd0; + 5'd14: + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q11 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[582:581] == + 2'd0; + 5'd15, 5'd16: + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q11 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[495:494] == + 2'd0; + default: CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q11 = + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerOutQ_D_OUT[589:585] == + 5'd17 && + CASE_pktMetaDataAndPayloadPipeOutVec_rdmaHeade_ETC__q10; + endcase + end + + // handling of inlined registers + + always@(posedge CLK) + begin + if (RST_N == `BSV_RESET_VALUE) + begin + arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg <= `BSV_ASSIGNMENT_DELAY + 1'd1; + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg <= `BSV_ASSIGNMENT_DELAY + 2'd0; + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv <= `BSV_ASSIGNMENT_DELAY + 1'd0; + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv <= `BSV_ASSIGNMENT_DELAY + 1'd0; + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv <= `BSV_ASSIGNMENT_DELAY + 18'd43690; + metaDataSrv_stateReg <= `BSV_ASSIGNMENT_DELAY 3'd0; + pdMetaData_pdMrVec_0_mrTagVec_clearReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + pdMetaData_pdMrVec_0_mrTagVec_fullReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg <= `BSV_ASSIGNMENT_DELAY + 2'd0; + pdMetaData_pdMrVec_0_mrTagVec_tagVec_0 <= `BSV_ASSIGNMENT_DELAY 1'd0; + pdMetaData_pdMrVec_0_mrTagVec_tagVec_1 <= `BSV_ASSIGNMENT_DELAY 1'd0; + pdMetaData_pdTagVec_clearReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + pdMetaData_pdTagVec_fullReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + pdMetaData_pdTagVec_tagVecStateReg <= `BSV_ASSIGNMENT_DELAY 2'd0; + pdMetaData_pdTagVec_tagVec_0 <= `BSV_ASSIGNMENT_DELAY 1'd0; + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv <= `BSV_ASSIGNMENT_DELAY + 291'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + pktMetaDataAndPayloadPipeOutVec_pktBufStateReg <= `BSV_ASSIGNMENT_DELAY + 1'd0; + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg <= `BSV_ASSIGNMENT_DELAY + 1'd0; + qpMetaData_qpTagVec_clearReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + qpMetaData_qpTagVec_fullReg <= `BSV_ASSIGNMENT_DELAY 1'd0; + qpMetaData_qpTagVec_tagVecStateReg <= `BSV_ASSIGNMENT_DELAY 2'd0; + qpMetaData_qpTagVec_tagVec_0 <= `BSV_ASSIGNMENT_DELAY 1'd0; + end + else + begin + if (arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg_EN) + arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg <= `BSV_ASSIGNMENT_DELAY + arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_EN) + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_EN) + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_EN) + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_EN) + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv_D_IN; + if (metaDataSrv_stateReg_EN) + metaDataSrv_stateReg <= `BSV_ASSIGNMENT_DELAY + metaDataSrv_stateReg_D_IN; + if (pdMetaData_pdMrVec_0_mrTagVec_clearReg_EN) + pdMetaData_pdMrVec_0_mrTagVec_clearReg <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdMrVec_0_mrTagVec_clearReg_D_IN; + if (pdMetaData_pdMrVec_0_mrTagVec_fullReg_EN) + pdMetaData_pdMrVec_0_mrTagVec_fullReg <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdMrVec_0_mrTagVec_fullReg_D_IN; + if (pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg_EN) + pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg_D_IN; + if (pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_EN) + pdMetaData_pdMrVec_0_mrTagVec_tagVec_0 <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdMrVec_0_mrTagVec_tagVec_0_D_IN; + if (pdMetaData_pdMrVec_0_mrTagVec_tagVec_1_EN) + pdMetaData_pdMrVec_0_mrTagVec_tagVec_1 <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdMrVec_0_mrTagVec_tagVec_1_D_IN; + if (pdMetaData_pdTagVec_clearReg_EN) + pdMetaData_pdTagVec_clearReg <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdTagVec_clearReg_D_IN; + if (pdMetaData_pdTagVec_fullReg_EN) + pdMetaData_pdTagVec_fullReg <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdTagVec_fullReg_D_IN; + if (pdMetaData_pdTagVec_tagVecStateReg_EN) + pdMetaData_pdTagVec_tagVecStateReg <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdTagVec_tagVecStateReg_D_IN; + if (pdMetaData_pdTagVec_tagVec_0_EN) + pdMetaData_pdTagVec_tagVec_0 <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdTagVec_tagVec_0_D_IN; + if (pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_EN) + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv <= `BSV_ASSIGNMENT_DELAY + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv_D_IN; + if (pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_EN) + pktMetaDataAndPayloadPipeOutVec_pktBufStateReg <= `BSV_ASSIGNMENT_DELAY + pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_D_IN; + if (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg_EN) + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg <= `BSV_ASSIGNMENT_DELAY + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg_D_IN; + if (qpMetaData_qpTagVec_clearReg_EN) + qpMetaData_qpTagVec_clearReg <= `BSV_ASSIGNMENT_DELAY + qpMetaData_qpTagVec_clearReg_D_IN; + if (qpMetaData_qpTagVec_fullReg_EN) + qpMetaData_qpTagVec_fullReg <= `BSV_ASSIGNMENT_DELAY + qpMetaData_qpTagVec_fullReg_D_IN; + if (qpMetaData_qpTagVec_tagVecStateReg_EN) + qpMetaData_qpTagVec_tagVecStateReg <= `BSV_ASSIGNMENT_DELAY + qpMetaData_qpTagVec_tagVecStateReg_D_IN; + if (qpMetaData_qpTagVec_tagVec_0_EN) + qpMetaData_qpTagVec_tagVec_0 <= `BSV_ASSIGNMENT_DELAY + qpMetaData_qpTagVec_tagVec_0_D_IN; + end + if (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg_EN) + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragByteEnReg_EN) + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragByteEnReg <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragByteEnReg_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidBitNumReg_EN) + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidBitNumReg <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidBitNumReg_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidByteNumReg_EN) + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidByteNumReg <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidByteNumReg_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidBitNumReg_EN) + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidBitNumReg <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidBitNumReg_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidByteNumReg_EN) + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidByteNumReg <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidByteNumReg_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_EN) + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_EN) + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg_EN) + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg_EN) + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg_D_IN; + if (headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_EN) + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg <= `BSV_ASSIGNMENT_DELAY + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg_D_IN; + if (metaDataSrv_mrReqReg_EN) + metaDataSrv_mrReqReg <= `BSV_ASSIGNMENT_DELAY metaDataSrv_mrReqReg_D_IN; + if (metaDataSrv_pdReqReg_EN) + metaDataSrv_pdReqReg <= `BSV_ASSIGNMENT_DELAY metaDataSrv_pdReqReg_D_IN; + if (metaDataSrv_qpReqReg_EN) + metaDataSrv_qpReqReg <= `BSV_ASSIGNMENT_DELAY metaDataSrv_qpReqReg_D_IN; + if (pdMetaData_pdMrVec_0_mrTagVec_dataVec_0_EN) + pdMetaData_pdMrVec_0_mrTagVec_dataVec_0 <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdMrVec_0_mrTagVec_dataVec_0_D_IN; + if (pdMetaData_pdMrVec_0_mrTagVec_dataVec_1_EN) + pdMetaData_pdMrVec_0_mrTagVec_dataVec_1 <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdMrVec_0_mrTagVec_dataVec_1_D_IN; + if (pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg_EN) + pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg_D_IN; + if (pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg_EN) + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg_D_IN; + if (pdMetaData_pdTagVec_dataVec_0_EN) + pdMetaData_pdTagVec_dataVec_0 <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdTagVec_dataVec_0_D_IN; + if (pdMetaData_pdTagVec_maybeInsertIdxReg_EN) + pdMetaData_pdTagVec_maybeInsertIdxReg <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdTagVec_maybeInsertIdxReg_D_IN; + if (pdMetaData_pdTagVec_respSuccessReg_EN) + pdMetaData_pdTagVec_respSuccessReg <= `BSV_ASSIGNMENT_DELAY + pdMetaData_pdTagVec_respSuccessReg_D_IN; + if (pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_EN) + pktMetaDataAndPayloadPipeOutVec_bthPadCntReg <= `BSV_ASSIGNMENT_DELAY + pktMetaDataAndPayloadPipeOutVec_bthPadCntReg_D_IN; + if (pktMetaDataAndPayloadPipeOutVec_isValidPktReg_EN) + pktMetaDataAndPayloadPipeOutVec_isValidPktReg <= `BSV_ASSIGNMENT_DELAY + pktMetaDataAndPayloadPipeOutVec_isValidPktReg_D_IN; + if (pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_EN) + pktMetaDataAndPayloadPipeOutVec_pktFragNumReg <= `BSV_ASSIGNMENT_DELAY + pktMetaDataAndPayloadPipeOutVec_pktFragNumReg_D_IN; + if (pktMetaDataAndPayloadPipeOutVec_pktLenReg_EN) + pktMetaDataAndPayloadPipeOutVec_pktLenReg <= `BSV_ASSIGNMENT_DELAY + pktMetaDataAndPayloadPipeOutVec_pktLenReg_D_IN; + if (pktMetaDataAndPayloadPipeOutVec_pktValidReg_EN) + pktMetaDataAndPayloadPipeOutVec_pktValidReg <= `BSV_ASSIGNMENT_DELAY + pktMetaDataAndPayloadPipeOutVec_pktValidReg_D_IN; + if (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragBitNumReg_EN) + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragBitNumReg <= `BSV_ASSIGNMENT_DELAY + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragBitNumReg_D_IN; + if (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragByteNumReg_EN) + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragByteNumReg <= `BSV_ASSIGNMENT_DELAY + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragByteNumReg_D_IN; + if (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg_EN) + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg <= `BSV_ASSIGNMENT_DELAY + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg_D_IN; + if (pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg_EN) + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg <= `BSV_ASSIGNMENT_DELAY + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg_D_IN; + if (qpMetaData_qpTagVec_dataVec_0_EN) + qpMetaData_qpTagVec_dataVec_0 <= `BSV_ASSIGNMENT_DELAY + qpMetaData_qpTagVec_dataVec_0_D_IN; + if (qpMetaData_qpTagVec_maybeInsertIdxReg_EN) + qpMetaData_qpTagVec_maybeInsertIdxReg <= `BSV_ASSIGNMENT_DELAY + qpMetaData_qpTagVec_maybeInsertIdxReg_D_IN; + if (qpMetaData_qpTagVec_respSuccessReg_EN) + qpMetaData_qpTagVec_respSuccessReg <= `BSV_ASSIGNMENT_DELAY + qpMetaData_qpTagVec_respSuccessReg_D_IN; + end + + // synopsys translate_off + `ifdef BSV_NO_INITIAL_BLOCKS + `else // not BSV_NO_INITIAL_BLOCKS + initial + begin + arbitratedDmaReadClt_arbitratedClient_shouldSaveGrantIdxReg = 1'h0; + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_curDataStreamReg = + 290'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragByteEnReg = + 32'hAAAAAAAA; + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidBitNumReg = + 9'h0AA; + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragInvalidByteNumReg = + 6'h2A; + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidBitNumReg = + 9'h0AA; + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerLastFragValidByteNumReg = + 6'h2A; + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerMetaDataReg = + 17'h0AAAA; + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isFirstDataFragReg = + 1'h0; + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_isHeaderLastFragReg = + 1'h0; + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_preDataStreamReg = + 290'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_shiftedCurDataFragByteEnReg = + 32'hAAAAAAAA; + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_stageReg = + 2'h2; + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_0_rv = + 1'h0; + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOutVec_v_taken_signal_1_rv = + 1'h0; + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv = + 18'h2AAAA; + metaDataSrv_mrReqReg = + 264'hAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + metaDataSrv_pdReqReg = 65'h0AAAAAAAAAAAAAAAA; + metaDataSrv_qpReqReg = + 301'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + metaDataSrv_stateReg = 3'h2; + pdMetaData_pdMrVec_0_mrTagVec_clearReg = 1'h0; + pdMetaData_pdMrVec_0_mrTagVec_dataVec_0 = + 198'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + pdMetaData_pdMrVec_0_mrTagVec_dataVec_1 = + 198'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + pdMetaData_pdMrVec_0_mrTagVec_fullReg = 1'h0; + pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg = 2'h2; + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg = 1'h0; + pdMetaData_pdMrVec_0_mrTagVec_tagVecStateReg = 2'h2; + pdMetaData_pdMrVec_0_mrTagVec_tagVec_0 = 1'h0; + pdMetaData_pdMrVec_0_mrTagVec_tagVec_1 = 1'h0; + pdMetaData_pdTagVec_clearReg = 1'h0; + pdMetaData_pdTagVec_dataVec_0 = 32'hAAAAAAAA; + pdMetaData_pdTagVec_fullReg = 1'h0; + pdMetaData_pdTagVec_maybeInsertIdxReg = 1'h0; + pdMetaData_pdTagVec_respSuccessReg = 1'h0; + pdMetaData_pdTagVec_tagVecStateReg = 2'h2; + pdMetaData_pdTagVec_tagVec_0 = 1'h0; + pktMetaDataAndPayloadPipeOutVec_bthPadCntReg = 2'h2; + pktMetaDataAndPayloadPipeOutVec_isValidPktReg = 1'h0; + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv = + 291'h2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + pktMetaDataAndPayloadPipeOutVec_pktBufStateReg = 1'h0; + pktMetaDataAndPayloadPipeOutVec_pktFragNumReg = 8'hAA; + pktMetaDataAndPayloadPipeOutVec_pktLenReg = 13'h0AAA; + pktMetaDataAndPayloadPipeOutVec_pktValidReg = 1'h0; + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_busyReg = 1'h0; + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragBitNumReg = + 513'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerInvalidFragByteNumReg = + 7'h2A; + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg = + 17'h0AAAA; + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_rdmaHeaderReg = + 593'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA; + qpMetaData_qpTagVec_clearReg = 1'h0; + qpMetaData_qpTagVec_dataVec_0 = 32'hAAAAAAAA; + qpMetaData_qpTagVec_fullReg = 1'h0; + qpMetaData_qpTagVec_maybeInsertIdxReg = 1'h0; + qpMetaData_qpTagVec_respSuccessReg = 1'h0; + qpMetaData_qpTagVec_tagVecStateReg = 2'h2; + qpMetaData_qpTagVec_tagVec_0 = 1'h0; + end + `endif // BSV_NO_INITIAL_BLOCKS + // synopsys translate_on + + // handling of system tasks + + // synopsys translate_off + always@(negedge CLK) + begin + #0; + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp && + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg && + !pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg[1]) + begin + v__h5492 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp && + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg && + !pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg[1]) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h5492, + "\"MetaData.bsv\", line 113, column 17\n", + "maybeInsertIdxReg assertion @ mkTagVecSrv"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp && + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg && + !pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg[1]) + $display("maybeInsertIdxReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp && + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg && + !pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg[1]) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp && + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg && + !pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg[1]) + $display(" should be valid"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pdMetaData_pdMrVec_0_mrTagVec_genInsertResp && + pdMetaData_pdMrVec_0_mrTagVec_respSuccessReg && + !pdMetaData_pdMrVec_0_mrTagVec_maybeInsertIdxReg[1]) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp && + pdMetaData_pdTagVec_respSuccessReg && + !pdMetaData_pdTagVec_maybeInsertIdxReg) + begin + v__h2324 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp && + pdMetaData_pdTagVec_respSuccessReg && + !pdMetaData_pdTagVec_maybeInsertIdxReg) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h2324, + "\"MetaData.bsv\", line 113, column 17\n", + "maybeInsertIdxReg assertion @ mkTagVecSrv"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp && + pdMetaData_pdTagVec_respSuccessReg && + !pdMetaData_pdTagVec_maybeInsertIdxReg) + $display("maybeInsertIdxReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp && + pdMetaData_pdTagVec_respSuccessReg && + !pdMetaData_pdTagVec_maybeInsertIdxReg) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp && + pdMetaData_pdTagVec_respSuccessReg && + !pdMetaData_pdTagVec_maybeInsertIdxReg) + $display(" should be valid"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pdMetaData_pdTagVec_genInsertResp && + pdMetaData_pdTagVec_respSuccessReg && + !pdMetaData_pdTagVec_maybeInsertIdxReg) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129) + begin + v__h22546 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h22546, + "\"InputPktHandle.bsv\", line 142, column 17\n", + "!isZero(headerLen) assertion @ mkExtractHeaderFromRdmaPktPipeOut"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd0) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "SEND_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd1) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "SEND_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd2) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "SEND_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd3) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "SEND_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd4) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "SEND_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd5) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "SEND_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd6) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "RDMA_WRITE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd7) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "RDMA_WRITE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd8) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "RDMA_WRITE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd9) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "RDMA_WRITE_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd10) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "RDMA_WRITE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd11) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "RDMA_WRITE_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd12) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "RDMA_READ_REQUEST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd13) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd14) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd15) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd16) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd17) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd18) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "ATOMIC_ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd19) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "COMPARE_SWAP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd20) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "FETCH_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd21) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "RESYNC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] == 5'd22) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "SEND_LAST_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd0 && + inputDataStreamQ_D_OUT[286:282] != 5'd0 && + inputDataStreamQ_D_OUT[286:282] != 5'd1 && + inputDataStreamQ_D_OUT[286:282] != 5'd2 && + inputDataStreamQ_D_OUT[286:282] != 5'd3 && + inputDataStreamQ_D_OUT[286:282] != 5'd4 && + inputDataStreamQ_D_OUT[286:282] != 5'd5 && + inputDataStreamQ_D_OUT[286:282] != 5'd6 && + inputDataStreamQ_D_OUT[286:282] != 5'd7 && + inputDataStreamQ_D_OUT[286:282] != 5'd8 && + inputDataStreamQ_D_OUT[286:282] != 5'd9 && + inputDataStreamQ_D_OUT[286:282] != 5'd10 && + inputDataStreamQ_D_OUT[286:282] != 5'd11 && + inputDataStreamQ_D_OUT[286:282] != 5'd12 && + inputDataStreamQ_D_OUT[286:282] != 5'd13 && + inputDataStreamQ_D_OUT[286:282] != 5'd14 && + inputDataStreamQ_D_OUT[286:282] != 5'd15 && + inputDataStreamQ_D_OUT[286:282] != 5'd16 && + inputDataStreamQ_D_OUT[286:282] != 5'd17 && + inputDataStreamQ_D_OUT[286:282] != 5'd18 && + inputDataStreamQ_D_OUT[286:282] != 5'd19 && + inputDataStreamQ_D_OUT[286:282] != 5'd20 && + inputDataStreamQ_D_OUT[286:282] != 5'd21 && + inputDataStreamQ_D_OUT[286:282] != 5'd22) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RC", + ", rdmaOpCode=", + "SEND_ONLY_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd0) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "SEND_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd1) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "SEND_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd2) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "SEND_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd3) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "SEND_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd4) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "SEND_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd5) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "SEND_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd6) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "RDMA_WRITE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd7) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "RDMA_WRITE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd8) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "RDMA_WRITE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd9) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "RDMA_WRITE_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd10) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "RDMA_WRITE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd11) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "RDMA_WRITE_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd12) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "RDMA_READ_REQUEST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd13) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd14) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd15) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd16) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd17) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd18) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "ATOMIC_ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd19) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "COMPARE_SWAP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd20) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "FETCH_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd21) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "RESYNC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] == 5'd22) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "SEND_LAST_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd1 && + inputDataStreamQ_D_OUT[286:282] != 5'd0 && + inputDataStreamQ_D_OUT[286:282] != 5'd1 && + inputDataStreamQ_D_OUT[286:282] != 5'd2 && + inputDataStreamQ_D_OUT[286:282] != 5'd3 && + inputDataStreamQ_D_OUT[286:282] != 5'd4 && + inputDataStreamQ_D_OUT[286:282] != 5'd5 && + inputDataStreamQ_D_OUT[286:282] != 5'd6 && + inputDataStreamQ_D_OUT[286:282] != 5'd7 && + inputDataStreamQ_D_OUT[286:282] != 5'd8 && + inputDataStreamQ_D_OUT[286:282] != 5'd9 && + inputDataStreamQ_D_OUT[286:282] != 5'd10 && + inputDataStreamQ_D_OUT[286:282] != 5'd11 && + inputDataStreamQ_D_OUT[286:282] != 5'd12 && + inputDataStreamQ_D_OUT[286:282] != 5'd13 && + inputDataStreamQ_D_OUT[286:282] != 5'd14 && + inputDataStreamQ_D_OUT[286:282] != 5'd15 && + inputDataStreamQ_D_OUT[286:282] != 5'd16 && + inputDataStreamQ_D_OUT[286:282] != 5'd17 && + inputDataStreamQ_D_OUT[286:282] != 5'd18 && + inputDataStreamQ_D_OUT[286:282] != 5'd19 && + inputDataStreamQ_D_OUT[286:282] != 5'd20 && + inputDataStreamQ_D_OUT[286:282] != 5'd21 && + inputDataStreamQ_D_OUT[286:282] != 5'd22) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UC", + ", rdmaOpCode=", + "SEND_ONLY_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd0) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "SEND_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd1) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "SEND_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd2) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "SEND_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd3) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "SEND_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd4) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "SEND_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd5) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "SEND_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd6) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "RDMA_WRITE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd7) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "RDMA_WRITE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd8) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "RDMA_WRITE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd9) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "RDMA_WRITE_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd10) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "RDMA_WRITE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd11) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "RDMA_WRITE_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd12) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "RDMA_READ_REQUEST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd13) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd14) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd15) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd16) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd17) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd18) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "ATOMIC_ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd19) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "COMPARE_SWAP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd20) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "FETCH_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd21) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "RESYNC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] == 5'd22) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "SEND_LAST_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd2 && + inputDataStreamQ_D_OUT[286:282] != 5'd0 && + inputDataStreamQ_D_OUT[286:282] != 5'd1 && + inputDataStreamQ_D_OUT[286:282] != 5'd2 && + inputDataStreamQ_D_OUT[286:282] != 5'd3 && + inputDataStreamQ_D_OUT[286:282] != 5'd4 && + inputDataStreamQ_D_OUT[286:282] != 5'd5 && + inputDataStreamQ_D_OUT[286:282] != 5'd6 && + inputDataStreamQ_D_OUT[286:282] != 5'd7 && + inputDataStreamQ_D_OUT[286:282] != 5'd8 && + inputDataStreamQ_D_OUT[286:282] != 5'd9 && + inputDataStreamQ_D_OUT[286:282] != 5'd10 && + inputDataStreamQ_D_OUT[286:282] != 5'd11 && + inputDataStreamQ_D_OUT[286:282] != 5'd12 && + inputDataStreamQ_D_OUT[286:282] != 5'd13 && + inputDataStreamQ_D_OUT[286:282] != 5'd14 && + inputDataStreamQ_D_OUT[286:282] != 5'd15 && + inputDataStreamQ_D_OUT[286:282] != 5'd16 && + inputDataStreamQ_D_OUT[286:282] != 5'd17 && + inputDataStreamQ_D_OUT[286:282] != 5'd18 && + inputDataStreamQ_D_OUT[286:282] != 5'd19 && + inputDataStreamQ_D_OUT[286:282] != 5'd20 && + inputDataStreamQ_D_OUT[286:282] != 5'd21 && + inputDataStreamQ_D_OUT[286:282] != 5'd22) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_RD", + ", rdmaOpCode=", + "SEND_ONLY_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd0) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "SEND_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd1) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "SEND_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd2) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "SEND_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd3) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "SEND_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd4) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "SEND_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd5) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "SEND_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd6) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "RDMA_WRITE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd7) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "RDMA_WRITE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd8) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "RDMA_WRITE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd9) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "RDMA_WRITE_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd10) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "RDMA_WRITE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd11) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "RDMA_WRITE_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd12) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "RDMA_READ_REQUEST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd13) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd14) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd15) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd16) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd17) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd18) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "ATOMIC_ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd19) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "COMPARE_SWAP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd20) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "FETCH_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd21) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "RESYNC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] == 5'd22) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "SEND_LAST_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd3 && + inputDataStreamQ_D_OUT[286:282] != 5'd0 && + inputDataStreamQ_D_OUT[286:282] != 5'd1 && + inputDataStreamQ_D_OUT[286:282] != 5'd2 && + inputDataStreamQ_D_OUT[286:282] != 5'd3 && + inputDataStreamQ_D_OUT[286:282] != 5'd4 && + inputDataStreamQ_D_OUT[286:282] != 5'd5 && + inputDataStreamQ_D_OUT[286:282] != 5'd6 && + inputDataStreamQ_D_OUT[286:282] != 5'd7 && + inputDataStreamQ_D_OUT[286:282] != 5'd8 && + inputDataStreamQ_D_OUT[286:282] != 5'd9 && + inputDataStreamQ_D_OUT[286:282] != 5'd10 && + inputDataStreamQ_D_OUT[286:282] != 5'd11 && + inputDataStreamQ_D_OUT[286:282] != 5'd12 && + inputDataStreamQ_D_OUT[286:282] != 5'd13 && + inputDataStreamQ_D_OUT[286:282] != 5'd14 && + inputDataStreamQ_D_OUT[286:282] != 5'd15 && + inputDataStreamQ_D_OUT[286:282] != 5'd16 && + inputDataStreamQ_D_OUT[286:282] != 5'd17 && + inputDataStreamQ_D_OUT[286:282] != 5'd18 && + inputDataStreamQ_D_OUT[286:282] != 5'd19 && + inputDataStreamQ_D_OUT[286:282] != 5'd20 && + inputDataStreamQ_D_OUT[286:282] != 5'd21 && + inputDataStreamQ_D_OUT[286:282] != 5'd22) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_UD", + ", rdmaOpCode=", + "SEND_ONLY_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd0) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "SEND_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd1) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "SEND_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd2) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "SEND_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd3) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "SEND_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd4) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "SEND_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd5) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "SEND_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd6) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "RDMA_WRITE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd7) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "RDMA_WRITE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd8) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "RDMA_WRITE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd9) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "RDMA_WRITE_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd10) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "RDMA_WRITE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd11) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "RDMA_WRITE_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd12) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "RDMA_READ_REQUEST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd13) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd14) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd15) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd16) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd17) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd18) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "ATOMIC_ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd19) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "COMPARE_SWAP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd20) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "FETCH_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd21) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "RESYNC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd22) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "SEND_LAST_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] == 3'd4 && + inputDataStreamQ_D_OUT[286:282] != 5'd0 && + inputDataStreamQ_D_OUT[286:282] != 5'd1 && + inputDataStreamQ_D_OUT[286:282] != 5'd2 && + inputDataStreamQ_D_OUT[286:282] != 5'd3 && + inputDataStreamQ_D_OUT[286:282] != 5'd4 && + inputDataStreamQ_D_OUT[286:282] != 5'd5 && + inputDataStreamQ_D_OUT[286:282] != 5'd6 && + inputDataStreamQ_D_OUT[286:282] != 5'd7 && + inputDataStreamQ_D_OUT[286:282] != 5'd8 && + inputDataStreamQ_D_OUT[286:282] != 5'd9 && + inputDataStreamQ_D_OUT[286:282] != 5'd10 && + inputDataStreamQ_D_OUT[286:282] != 5'd11 && + inputDataStreamQ_D_OUT[286:282] != 5'd12 && + inputDataStreamQ_D_OUT[286:282] != 5'd13 && + inputDataStreamQ_D_OUT[286:282] != 5'd14 && + inputDataStreamQ_D_OUT[286:282] != 5'd15 && + inputDataStreamQ_D_OUT[286:282] != 5'd16 && + inputDataStreamQ_D_OUT[286:282] != 5'd17 && + inputDataStreamQ_D_OUT[286:282] != 5'd18 && + inputDataStreamQ_D_OUT[286:282] != 5'd19 && + inputDataStreamQ_D_OUT[286:282] != 5'd20 && + inputDataStreamQ_D_OUT[286:282] != 5'd21 && + inputDataStreamQ_D_OUT[286:282] != 5'd22) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_CNP", + ", rdmaOpCode=", + "SEND_ONLY_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd0) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "SEND_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd1) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "SEND_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd2) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "SEND_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd3) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "SEND_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd4) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "SEND_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd5) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "SEND_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd6) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "RDMA_WRITE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd7) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "RDMA_WRITE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd8) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "RDMA_WRITE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd9) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "RDMA_WRITE_LAST_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd10) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "RDMA_WRITE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd11) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "RDMA_WRITE_ONLY_WITH_IMMEDIATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd12) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "RDMA_READ_REQUEST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd13) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_FIRST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd14) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_MIDDLE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd15) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_LAST"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd16) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "RDMA_READ_RESPONSE_ONLY"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd17) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd18) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "ATOMIC_ACKNOWLEDGE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd19) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "COMPARE_SWAP"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd20) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "FETCH_ADD"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd21) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "RESYNC"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] == 5'd22) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "SEND_LAST_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129 && + inputDataStreamQ_D_OUT[289:287] != 3'd0 && + inputDataStreamQ_D_OUT[289:287] != 3'd1 && + inputDataStreamQ_D_OUT[289:287] != 3'd2 && + inputDataStreamQ_D_OUT[289:287] != 3'd3 && + inputDataStreamQ_D_OUT[289:287] != 3'd4 && + inputDataStreamQ_D_OUT[286:282] != 5'd0 && + inputDataStreamQ_D_OUT[286:282] != 5'd1 && + inputDataStreamQ_D_OUT[286:282] != 5'd2 && + inputDataStreamQ_D_OUT[286:282] != 5'd3 && + inputDataStreamQ_D_OUT[286:282] != 5'd4 && + inputDataStreamQ_D_OUT[286:282] != 5'd5 && + inputDataStreamQ_D_OUT[286:282] != 5'd6 && + inputDataStreamQ_D_OUT[286:282] != 5'd7 && + inputDataStreamQ_D_OUT[286:282] != 5'd8 && + inputDataStreamQ_D_OUT[286:282] != 5'd9 && + inputDataStreamQ_D_OUT[286:282] != 5'd10 && + inputDataStreamQ_D_OUT[286:282] != 5'd11 && + inputDataStreamQ_D_OUT[286:282] != 5'd12 && + inputDataStreamQ_D_OUT[286:282] != 5'd13 && + inputDataStreamQ_D_OUT[286:282] != 5'd14 && + inputDataStreamQ_D_OUT[286:282] != 5'd15 && + inputDataStreamQ_D_OUT[286:282] != 5'd16 && + inputDataStreamQ_D_OUT[286:282] != 5'd17 && + inputDataStreamQ_D_OUT[286:282] != 5'd18 && + inputDataStreamQ_D_OUT[286:282] != 5'd19 && + inputDataStreamQ_D_OUT[286:282] != 5'd20 && + inputDataStreamQ_D_OUT[286:282] != 5'd21 && + inputDataStreamQ_D_OUT[286:282] != 5'd22) + $display("headerLen=%0d should not be zero, transType=", + headerLen__h22004, + "TRANS_TYPE_XRC", + ", rdmaOpCode=", + "SEND_ONLY_WITH_INVALIDATE"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_extractHeader && + inputDataStreamQ_D_OUT[1] && + inputDataStreamQ_D_OUT[289:282] != 8'd0 && + inputDataStreamQ_D_OUT[289:282] != 8'd1 && + inputDataStreamQ_D_OUT[289:282] != 8'd2 && + inputDataStreamQ_D_OUT[289:282] != 8'd3 && + inputDataStreamQ_D_OUT[289:282] != 8'd4 && + inputDataStreamQ_D_OUT[289:282] != 8'd5 && + inputDataStreamQ_D_OUT[289:282] != 8'd6 && + inputDataStreamQ_D_OUT[289:282] != 8'd7 && + inputDataStreamQ_D_OUT[289:282] != 8'd8 && + inputDataStreamQ_D_OUT[289:282] != 8'd9 && + inputDataStreamQ_D_OUT[289:282] != 8'd10 && + inputDataStreamQ_D_OUT[289:282] != 8'd11 && + inputDataStreamQ_D_OUT[289:282] != 8'd12 && + inputDataStreamQ_D_OUT[289:282] != 8'd19 && + inputDataStreamQ_D_OUT[289:282] != 8'd20 && + inputDataStreamQ_D_OUT[289:282] != 8'd22 && + inputDataStreamQ_D_OUT[289:282] != 8'd23 && + inputDataStreamQ_D_OUT[289:282] != 8'd13 && + inputDataStreamQ_D_OUT[289:282] != 8'd173 && + inputDataStreamQ_D_OUT[289:282] != 8'd14 && + inputDataStreamQ_D_OUT[289:282] != 8'd174 && + inputDataStreamQ_D_OUT[289:282] != 8'd15 && + inputDataStreamQ_D_OUT[289:282] != 8'd175 && + inputDataStreamQ_D_OUT[289:282] != 8'd16 && + inputDataStreamQ_D_OUT[289:282] != 8'd176 && + inputDataStreamQ_D_OUT[289:282] != 8'd17 && + inputDataStreamQ_D_OUT[289:282] != 8'd177 && + inputDataStreamQ_D_OUT[289:282] != 8'd18 && + inputDataStreamQ_D_OUT[289:282] != 8'd178 && + inputDataStreamQ_D_OUT[289:282] != 8'd160 && + inputDataStreamQ_D_OUT[289:282] != 8'd161 && + inputDataStreamQ_D_OUT[289:282] != 8'd162 && + inputDataStreamQ_D_OUT[289:282] != 8'd163 && + inputDataStreamQ_D_OUT[289:282] != 8'd164 && + inputDataStreamQ_D_OUT[289:282] != 8'd165 && + inputDataStreamQ_D_OUT[289:282] != 8'd166 && + inputDataStreamQ_D_OUT[289:282] != 8'd167 && + inputDataStreamQ_D_OUT[289:282] != 8'd168 && + inputDataStreamQ_D_OUT[289:282] != 8'd169 && + inputDataStreamQ_D_OUT[289:282] != 8'd170 && + inputDataStreamQ_D_OUT[289:282] != 8'd171 && + inputDataStreamQ_D_OUT[289:282] != 8'd172 && + inputDataStreamQ_D_OUT[289:282] != 8'd179 && + inputDataStreamQ_D_OUT[289:282] != 8'd180 && + inputDataStreamQ_D_OUT[289:282] != 8'd182 && + inputDataStreamQ_D_OUT[289:282] != 8'd183 && + inputDataStreamQ_D_OUT[289:282] != 8'd100 && + inputDataStreamQ_D_OUT[289:282] != 8'd101 && + inputDataStreamQ_D_OUT[289:282] != 8'd129) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[16:10] == + 7'd0) + begin + v__h17340 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[16:10] == + 7'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h17340, + "\"ExtractAndPrependPipeOut.bsv\", line 480, column 13\n", + "headerMetaData.headerLen non-zero assertion @ mkExtractHeaderFromDataStreamPipeOut"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[16:10] == + 7'd0 && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[1]) + $display("headerMetaData.headerLen=%h should not be zero, headerMetaData=", + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[16:10], + "HeaderMetaData { headerLen=%0d, headerFragNum=%0d, lastFragValidByteNum=%0d, hasPayload=", + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[16:10], + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[9:8], + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[7:2], + "True", + " }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[16:10] == + 7'd0 && + !headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[1]) + $display("headerMetaData.headerLen=%h should not be zero, headerMetaData=", + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[16:10], + "HeaderMetaData { headerLen=%0d, headerFragNum=%0d, lastFragValidByteNum=%0d, hasPayload=", + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[16:10], + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[9:8], + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[7:2], + "False", + " }"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_popHeaderMetaData && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataInQ_D_OUT[16:10] == + 7'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0]) && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[0]) + begin + v__h31186 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0]) && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[0]) + $display("time=%0t: InputRdmaPktBuf preCheckHeader", + v__h31186, + ", discard invalid RDMA packet of single-fragment payload"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0]) && + !pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[0]) + begin + v__h31150 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader && + pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[1] && + (!pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[2] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[1] || + !pktMetaDataAndPayloadPipeOutVec_rdmaHeaderRecvQ_D_OUT[0]) && + !pktMetaDataAndPayloadPipeOutVec_payloadRecvQ_D_OUT[0]) + $display("time=%0t: InputRdmaPktBuf preCheckHeader", + v__h31150, + ", discard invalid RDMA packet of multi-fragment payload"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag && + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader && + pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv[290] && + _write_RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader_EN_pktMetaDataAndPayloadPipeOutVec_pktBufStateReg_wget) + $display("Error: \"InputPktHandle.bsv\", line 461, column 10: (R0002)\n Conflict-free rules RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag\n and RL_pktMetaDataAndPayloadPipeOutVec_preCheckHeader called conflicting\n methods read and write of module instance\n pktMetaDataAndPayloadPipeOutVec_pktBufStateReg.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag && + WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag) + $display("Error: \"InputPktHandle.bsv\", line 461, column 10: (R0002)\n Conflict-free rules RL_pktMetaDataAndPayloadPipeOutVec_discardInvalidFrag\n and RL_pktMetaDataAndPayloadPipeOutVec_recvPktFrag called conflicting\n methods port0__read and port0__write of module instance\n pktMetaDataAndPayloadPipeOutVec_payloadPipeIn_fifof_rv.\n"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp && + qpMetaData_qpTagVec_respSuccessReg && + !qpMetaData_qpTagVec_maybeInsertIdxReg) + begin + v__h8367 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp && + qpMetaData_qpTagVec_respSuccessReg && + !qpMetaData_qpTagVec_maybeInsertIdxReg) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h8367, + "\"MetaData.bsv\", line 113, column 17\n", + "maybeInsertIdxReg assertion @ mkTagVecSrv"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp && + qpMetaData_qpTagVec_respSuccessReg && + !qpMetaData_qpTagVec_maybeInsertIdxReg) + $display("maybeInsertIdxReg="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp && + qpMetaData_qpTagVec_respSuccessReg && + !qpMetaData_qpTagVec_maybeInsertIdxReg) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp && + qpMetaData_qpTagVec_respSuccessReg && + !qpMetaData_qpTagVec_maybeInsertIdxReg) + $display(" should be valid"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_qpMetaData_qpTagVec_genInsertResp && + qpMetaData_qpTagVec_respSuccessReg && + !qpMetaData_qpTagVec_maybeInsertIdxReg) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen && + rightAlignedByteEn__h33653 != 32'hFFFFFFFF && + rightAlignedByteEn__h33653 != 32'd268435455 && + rightAlignedByteEn__h33653 != 32'd16777215 && + rightAlignedByteEn__h33653 != 32'd1048575 && + rightAlignedByteEn__h33653 != 32'd65535 && + rightAlignedByteEn__h33653 != 32'd4095 && + rightAlignedByteEn__h33653 != 32'd255 && + rightAlignedByteEn__h33653 != 32'd15 && + rightAlignedByteEn__h33653 != 32'd0) + begin + v__h36179 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen && + rightAlignedByteEn__h33653 != 32'hFFFFFFFF && + rightAlignedByteEn__h33653 != 32'd268435455 && + rightAlignedByteEn__h33653 != 32'd16777215 && + rightAlignedByteEn__h33653 != 32'd1048575 && + rightAlignedByteEn__h33653 != 32'd65535 && + rightAlignedByteEn__h33653 != 32'd4095 && + rightAlignedByteEn__h33653 != 32'd255 && + rightAlignedByteEn__h33653 != 32'd15 && + rightAlignedByteEn__h33653 != 32'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h36179, + "\"InputPktHandle.bsv\", line 661, column 13\n", + "isValid(payloadFragLen) assertion @ mkInputRdmaPktBufAndHeaderValidation"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen && + rightAlignedByteEn__h33653 != 32'hFFFFFFFF && + rightAlignedByteEn__h33653 != 32'd268435455 && + rightAlignedByteEn__h33653 != 32'd16777215 && + rightAlignedByteEn__h33653 != 32'd1048575 && + rightAlignedByteEn__h33653 != 32'd65535 && + rightAlignedByteEn__h33653 != 32'd4095 && + rightAlignedByteEn__h33653 != 32'd255 && + rightAlignedByteEn__h33653 != 32'd15 && + rightAlignedByteEn__h33653 != 32'd0) + $display("payloadFragLen="); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen && + rightAlignedByteEn__h33653 != 32'hFFFFFFFF && + rightAlignedByteEn__h33653 != 32'd268435455 && + rightAlignedByteEn__h33653 != 32'd16777215 && + rightAlignedByteEn__h33653 != 32'd1048575 && + rightAlignedByteEn__h33653 != 32'd65535 && + rightAlignedByteEn__h33653 != 32'd4095 && + rightAlignedByteEn__h33653 != 32'd255 && + rightAlignedByteEn__h33653 != 32'd15 && + rightAlignedByteEn__h33653 != 32'd0) + $display("tagged Invalid ", ""); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen && + rightAlignedByteEn__h33653 != 32'hFFFFFFFF && + rightAlignedByteEn__h33653 != 32'd268435455 && + rightAlignedByteEn__h33653 != 32'd16777215 && + rightAlignedByteEn__h33653 != 32'd1048575 && + rightAlignedByteEn__h33653 != 32'd65535 && + rightAlignedByteEn__h33653 != 32'd4095 && + rightAlignedByteEn__h33653 != 32'd255 && + rightAlignedByteEn__h33653 != 32'd15 && + rightAlignedByteEn__h33653 != 32'd0) + $display(" should be valid"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_calcFraglen && + rightAlignedByteEn__h33653 != 32'hFFFFFFFF && + rightAlignedByteEn__h33653 != 32'd268435455 && + rightAlignedByteEn__h33653 != 32'd16777215 && + rightAlignedByteEn__h33653 != 32'd1048575 && + rightAlignedByteEn__h33653 != 32'd65535 && + rightAlignedByteEn__h33653 != 32'd4095 && + rightAlignedByteEn__h33653 != 32'd255 && + rightAlignedByteEn__h33653 != 32'd15 && + rightAlignedByteEn__h33653 != 32'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[2]) + begin + v__h38749 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_checkPktLen && + pktMetaDataAndPayloadPipeOutVec_payloadPktLenCheckQ_D_OUT[1] && + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPktLenCheckQ_D_OUT[2]) + $display("time=%0t: InputRdmaPktBuf checkPktLen", + v__h38749, + ", discard zero-length payload for RDMA packet"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv[16:10] == + 7'd0) + begin + v__h26277 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv[16:10] == + 7'd0) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h26277, + "\"ExtractAndPrependPipeOut.bsv\", line 145, column 13\n", + "headerMetaData.headerLen non-zero assertion @ mkDataStream2Header"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv[16:10] == + 7'd0) + $display("headerMetaData.headerLen=%h should not be zero", + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv[16:10]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_popHeaderMetaData && + headerAndMetaDataAndPayloadPipeOut_headerMetaDataPipeOut_fifof_rv[16:10] == + 7'd0) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] && + headerLastFragByteEn__h26468 != + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[33:2]) + begin + v__h29124 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] && + headerLastFragByteEn__h26468 != + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[33:2]) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h29124, + "\"ExtractAndPrependPipeOut.bsv\", line 198, column 17\n", + "headerLastFragByteEn assertion @ mkDataStream2Header"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] && + headerLastFragByteEn__h26468 != + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[33:2]) + $display("headerLastFragByteEn=%h should == curDataStreamFrag.byteEn=%h, headerLen=%0d", + headerLastFragByteEn__h26468, + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[33:2], + _theResult___headerMetaData_headerLen__h26602); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] && + headerLastFragByteEn__h26468 != + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[33:2]) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] && + _theResult___headerMetaData_headerFragNum__h26603 != + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg[9:8]) + begin + v__h29221 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] && + _theResult___headerMetaData_headerFragNum__h26603 != + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg[9:8]) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h29221, + "\"ExtractAndPrependPipeOut.bsv\", line 206, column 17\n", + "headerMetaData.headerFragNum assertion @ mkDataStream2Header"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] && + _theResult___headerMetaData_headerFragNum__h26603 != + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg[9:8]) + $display("rdmaHeader.headerMetaData.headerFragNum=%h should == headerMetaDataReg.headerFragNum=%h when curDataStreamFrag.isLast=%b", + _theResult___headerMetaData_headerFragNum__h26603, + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg[9:8], + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] && + _theResult___headerMetaData_headerFragNum__h26603 != + pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_headerMetaDataReg[9:8]) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] && + (NOT_headerAndMetaDataAndPayloadPipeOut_headerA_ETC___d1518 || + NOT_headerAndMetaDataAndPayloadPipeOut_headerA_ETC___d1549)) + begin + v__h29617 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] && + (NOT_headerAndMetaDataAndPayloadPipeOut_headerA_ETC___d1518 || + NOT_headerAndMetaDataAndPayloadPipeOut_headerA_ETC___d1549)) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h29617, + "\"ExtractAndPrependPipeOut.bsv\", line 216, column 17\n", + "curDataStreamFrag.byteEn assertion @ mkDataStream2Header"); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] && + (NOT_headerAndMetaDataAndPayloadPipeOut_headerA_ETC___d1518 || + NOT_headerAndMetaDataAndPayloadPipeOut_headerA_ETC___d1549)) + $display("curDataStreamFrag.byteEn=%h should be all ones", + headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[33:2]); + if (RST_N != `BSV_RESET_VALUE) + if (WILL_FIRE_RL_pktMetaDataAndPayloadPipeOutVec_rdmaHeaderPipeOut_accumulate && + !headerAndMetaDataAndPayloadPipeOut_headerAndPayloadPipeOut_headerDataStreamOutQ_D_OUT[0] && + (NOT_headerAndMetaDataAndPayloadPipeOut_headerA_ETC___d1518 || + NOT_headerAndMetaDataAndPayloadPipeOut_headerA_ETC___d1549)) + $finish(32'd1); + if (RST_N != `BSV_RESET_VALUE) + if (pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_EMPTY_N) + begin + v__h40540 = $time; + #0; + end + if (RST_N != `BSV_RESET_VALUE) + if (pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_EMPTY_N) + $display("ImmAssert failed in %m @time=%0t: %s-- %s: ", + v__h40540, + "\"TransportLayer.bsv\", line 182, column 13\n", + "pktMetaDataAndPayloadPipeOutVec[0].cnpPipeOut empty assertion @ mkTransportLayerRDMA"); + if (RST_N != `BSV_RESET_VALUE) + if (pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_EMPTY_N) + $display("inputPipeOut.notEmpty="); + if (RST_N != `BSV_RESET_VALUE) + if (pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_EMPTY_N) + $display("True"); + if (RST_N != `BSV_RESET_VALUE) + if (pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_EMPTY_N) + $display(" should be empty"); + if (RST_N != `BSV_RESET_VALUE) + if (pktMetaDataAndPayloadPipeOutVec_cnpOutVec_0_EMPTY_N) $finish(32'd1); + end + // synopsys translate_on +endmodule // mkTransportLayer + diff --git a/ethernet/RoCEv2/rtl/EthMacCrcAxiStreamWrapperRecv.vhd b/ethernet/RoCEv2/rtl/EthMacCrcAxiStreamWrapperRecv.vhd new file mode 100644 index 0000000000..47a1cbd78c --- /dev/null +++ b/ethernet/RoCEv2/rtl/EthMacCrcAxiStreamWrapperRecv.vhd @@ -0,0 +1,126 @@ +------------------------------------------------------------------------------- +-- Company : SLAC National Accelerator Laboratory +------------------------------------------------------------------------------- +-- Description: Wrapper on mkCrcRawAxiStreamCustomRecv.v +------------------------------------------------------------------------------- +-- This file is part of 'SLAC Firmware Standard Library'. +-- It is subject to the license terms in the LICENSE.txt file found in the +-- top-level directory of this distribution and at: +-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. +-- No part of 'SLAC Firmware Standard Library', including this file, +-- may be copied, modified, propagated, or distributed except according to +-- the terms contained in the LICENSE.txt file. +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +library surf; +use surf.StdRtlPkg.all; +use surf.AxiStreamPkg.all; + +entity EthMacCrcAxiStreamWrapperRecv is + generic ( + TPD_G : time := 1 ns); + port ( + -- Clock and Reset + ethClk : in sl; + ethRst : in sl; + -- Slave ports + sAxisMaster : in AxiStreamMasterType; + sAxisSlave : out AxiStreamSlaveType; + -- Master ports + mAxisMaster : out AxiStreamMasterType; + mAxisSlave : in AxiStreamSlaveType); +end EthMacCrcAxiStreamWrapperRecv; + +architecture rtl of EthMacCrcAxiStreamWrapperRecv is + + component mkCrcRawAxiStreamCustomRecv is + port ( + CLK : in std_logic; + RST_N : in std_logic; + s_axis_tvalid : in std_logic; + s_axis_tdata : in std_logic_vector(255 downto 0); + s_axis_tkeep : in std_logic_vector(31 downto 0); + s_axis_tlast : in std_logic; + s_axis_tuser : in std_logic; + s_axis_tready : out std_logic; + m_crc_stream_data : out std_logic_vector(31 downto 0); + m_crc_stream_valid : out std_logic; + m_crc_stream_ready : in std_logic); + end component mkCrcRawAxiStreamCustomRecv; + + -- BlueRdma + signal blueRstN : sl; + signal bluetValidSlave : sl; + signal bluetDataSlave : slv(255 downto 0); + signal bluetKeepSlave : slv(31 downto 0); + signal bluetUserSlave : sl; + signal bluetLastSlave : sl; + signal bluetReadySlave : sl; + signal bluetDataMaster : slv(31 downto 0); + signal bluetValidMaster : sl; + signal bluetReadyMaster : sl; + +begin + + blueRstN <= not ethRst; + + ----------------------------------------------------------------------------- + -- IP integrator + ----------------------------------------------------------------------------- + MasterAxiStreamIpIntegrator_1 : entity surf.MasterAxiStreamIpIntegrator + generic map ( + TUSER_WIDTH => 1, + TDATA_NUM_BYTES => 32) + port map ( + M_AXIS_ACLK => ethClk, + M_AXIS_ARESETN => blueRstN, + M_AXIS_TVALID => bluetValidSlave, + M_AXIS_TDATA => bluetDataSlave, + M_AXIS_TKEEP => bluetKeepSlave, + M_AXIS_TLAST => bluetLastSlave, + M_AXIS_TUSER(0) => bluetUserSlave, + M_AXIS_TREADY => bluetReadySlave, + axisClk => open, + axisRst => open, + axisMaster => sAxisMaster, + axisSlave => sAxisSlave); + + SlaveAxiStreamIpIntegrator_1 : entity surf.SlaveAxiStreamIpIntegrator + generic map ( + HAS_TLAST => 1, + HAS_TKEEP => 1, + TDATA_NUM_BYTES => 4) + port map ( + S_AXIS_ACLK => ethClk, + S_AXIS_ARESETN => blueRstN, + S_AXIS_TVALID => bluetValidMaster, + S_AXIS_TDATA => bluetDataMaster, + S_AXIS_TKEEP => x"F", + S_AXIS_TLAST => '1', + S_AXIS_TREADY => bluetReadyMaster, + axisClk => open, + axisRst => open, + axisMaster => mAxisMaster, + axisSlave => mAxisSlave); + + ----------------------------------------------------------------------------- + -- CRC calculator + ----------------------------------------------------------------------------- + mkCrcRawAxiStreamCustomRecv_1 : mkCrcRawAxiStreamCustomRecv + port map ( + CLK => ethClk, + RST_N => blueRstN, + s_axis_tvalid => bluetValidSlave, + s_axis_tdata => bluetDataSlave, + s_axis_tkeep => bluetKeepSlave, + s_axis_tlast => bluetLastSlave, + s_axis_tuser => bluetUserSlave, + s_axis_tready => bluetReadySlave, + m_crc_stream_data => bluetDataMaster, + m_crc_stream_valid => bluetValidMaster, + m_crc_stream_ready => bluetReadyMaster); + +end rtl; diff --git a/ethernet/RoCEv2/rtl/EthMacCrcAxiStreamWrapperSend.vhd b/ethernet/RoCEv2/rtl/EthMacCrcAxiStreamWrapperSend.vhd new file mode 100644 index 0000000000..b46dc2444a --- /dev/null +++ b/ethernet/RoCEv2/rtl/EthMacCrcAxiStreamWrapperSend.vhd @@ -0,0 +1,126 @@ +------------------------------------------------------------------------------- +-- Company : SLAC National Accelerator Laboratory +------------------------------------------------------------------------------- +-- Description: Wrapper on mkCrcRawAxiStreamCustomSend.v +------------------------------------------------------------------------------- +-- This file is part of 'SLAC Firmware Standard Library'. +-- It is subject to the license terms in the LICENSE.txt file found in the +-- top-level directory of this distribution and at: +-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. +-- No part of 'SLAC Firmware Standard Library', including this file, +-- may be copied, modified, propagated, or distributed except according to +-- the terms contained in the LICENSE.txt file. +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +library surf; +use surf.StdRtlPkg.all; +use surf.AxiStreamPkg.all; + +entity EthMacCrcAxiStreamWrapperSend is + generic ( + TPD_G : time := 1 ns); + port ( + -- Clock and Reset + ethClk : in sl; + ethRst : in sl; + -- Slave ports + sAxisMaster : in AxiStreamMasterType; + sAxisSlave : out AxiStreamSlaveType; + -- Master ports + mAxisMaster : out AxiStreamMasterType; + mAxisSlave : in AxiStreamSlaveType); +end EthMacCrcAxiStreamWrapperSend; + +architecture rtl of EthMacCrcAxiStreamWrapperSend is + + component mkCrcRawAxiStreamCustomSend is + port ( + CLK : in std_logic; + RST_N : in std_logic; + s_axis_tvalid : in std_logic; + s_axis_tdata : in std_logic_vector(255 downto 0); + s_axis_tkeep : in std_logic_vector(31 downto 0); + s_axis_tlast : in std_logic; + s_axis_tuser : in std_logic; + s_axis_tready : out std_logic; + m_crc_stream_data : out std_logic_vector(31 downto 0); + m_crc_stream_valid : out std_logic; + m_crc_stream_ready : in std_logic); + end component mkCrcRawAxiStreamCustomSend; + + -- BlueRdma + signal blueRstN : sl; + signal bluetValidSlave : sl; + signal bluetDataSlave : slv(255 downto 0); + signal bluetKeepSlave : slv(31 downto 0); + signal bluetUserSlave : sl; + signal bluetLastSlave : sl; + signal bluetReadySlave : sl; + signal bluetDataMaster : slv(31 downto 0); + signal bluetValidMaster : sl; + signal bluetReadyMaster : sl; + +begin + + blueRstN <= not ethRst; + + ----------------------------------------------------------------------------- + -- IP integrator + ----------------------------------------------------------------------------- + MasterAxiStreamIpIntegrator_1 : entity surf.MasterAxiStreamIpIntegrator + generic map ( + TUSER_WIDTH => 1, + TDATA_NUM_BYTES => 32) + port map ( + M_AXIS_ACLK => ethClk, + M_AXIS_ARESETN => blueRstN, + M_AXIS_TVALID => bluetValidSlave, + M_AXIS_TDATA => bluetDataSlave, + M_AXIS_TKEEP => bluetKeepSlave, + M_AXIS_TLAST => bluetLastSlave, + M_AXIS_TUSER(0) => bluetUserSlave, + M_AXIS_TREADY => bluetReadySlave, + axisClk => open, + axisRst => open, + axisMaster => sAxisMaster, + axisSlave => sAxisSlave); + + SlaveAxiStreamIpIntegrator_1 : entity surf.SlaveAxiStreamIpIntegrator + generic map ( + HAS_TLAST => 1, + HAS_TKEEP => 1, + TDATA_NUM_BYTES => 4) + port map ( + S_AXIS_ACLK => ethClk, + S_AXIS_ARESETN => blueRstN, + S_AXIS_TVALID => bluetValidMaster, + S_AXIS_TDATA => bluetDataMaster, + S_AXIS_TKEEP => x"F", + S_AXIS_TLAST => '1', + S_AXIS_TREADY => bluetReadyMaster, + axisClk => open, + axisRst => open, + axisMaster => mAxisMaster, + axisSlave => mAxisSlave); + + ----------------------------------------------------------------------------- + -- CRC calculator + ----------------------------------------------------------------------------- + EthMacCrcAxiStreamWrapperSend_1 : mkCrcRawAxiStreamCustomSend + port map ( + CLK => ethClk, + RST_N => blueRstN, + s_axis_tvalid => bluetValidSlave, + s_axis_tdata => bluetDataSlave, + s_axis_tkeep => bluetKeepSlave, + s_axis_tlast => bluetLastSlave, + s_axis_tuser => bluetUserSlave, + s_axis_tready => bluetReadySlave, + m_crc_stream_data => bluetDataMaster, + m_crc_stream_valid => bluetValidMaster, + m_crc_stream_ready => bluetReadyMaster); + +end rtl; diff --git a/ethernet/RoCEv2/rtl/EthMacPrepareForICrc.vhd b/ethernet/RoCEv2/rtl/EthMacPrepareForICrc.vhd new file mode 100644 index 0000000000..e8ec7fa7d4 --- /dev/null +++ b/ethernet/RoCEv2/rtl/EthMacPrepareForICrc.vhd @@ -0,0 +1,138 @@ +------------------------------------------------------------------------------- +-- Company : SLAC National Accelerator Laboratory +------------------------------------------------------------------------------- +-- Description: Prepares the AXI stream for the ICRC insertion +------------------------------------------------------------------------------- +-- This file is part of 'SLAC Firmware Standard Library'. +-- It is subject to the license terms in the LICENSE.txt file found in the +-- top-level directory of this distribution and at: +-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. +-- No part of 'SLAC Firmware Standard Library', including this file, +-- may be copied, modified, propagated, or distributed except according to +-- the terms contained in the LICENSE.txt file. +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +library surf; +use surf.AxiStreamPkg.all; +use surf.StdRtlPkg.all; +use surf.EthMacPkg.all; + +entity EthMacPrepareForICrc is + generic ( + TPD_G : time := 1 ns; + PIPE_STAGES_G : natural := 0); + port ( + -- Clock and Reset + ethClk : in sl; + ethRst : in sl; + -- Slave ports + sAxisMaster : in AxiStreamMasterType; + sAxisSlave : out AxiStreamSlaveType; + -- Master ports + mAxisMaster : out AxiStreamMasterType; + mAxisSlave : in AxiStreamSlaveType); +end entity EthMacPrepareForICrc; + +architecture rtl of EthMacPrepareForICrc is + + type RegType is record + cnt : natural range 0 to 3; + obMaster : AxiStreamMasterType; + ibSlave : AxiStreamSlaveType; + end record RegType; + + constant REG_INIT_C : RegType := ( + cnt => 0, + obMaster => AXI_STREAM_MASTER_INIT_C, + ibSlave => AXI_STREAM_SLAVE_INIT_C); + + signal r : RegType := REG_INIT_C; + signal rin : RegType; + +begin + + comb : process (ethRst, mAxisSlave, r, sAxisMaster) is + variable v : RegType; + begin + -- Latch the current value + v := r; + + -- AXI Stream flow control + v.ibSlave.tReady := '0'; + if mAxisSlave.tReady = '1' then + v.obMaster.tValid := '0'; + end if; + + -- Check for moving data condition + if (sAxisMaster.tValid = '1') and (v.obMaster.tValid = '0') then + + -- Accept the transaction + v.ibSlave.tReady := '1'; + + -- Move the data + v.obMaster := sAxisMaster; + + -- Case on the counter + case r.cnt is + when 0 => + -- reset output data + v.obMaster.tData(v.obMaster.tData'length-1 downto 80) := (others => '0'); + -- ignore MAC header + v.obMaster.tData(63 downto 0) := (others => '1'); + -- Get Version and Header length + v.obMaster.tData(71 downto 64) := sAxisMaster.tData(119 downto 112); + -- ignore Type of Service + v.obMaster.tData(79 downto 72) := (others => '1'); + -- adjust tKeep + v.obMaster.tKeep(v.obMaster.tKeep'length-1 downto 10) := (others => '0'); + v.obMaster.tKeep(9 downto 0) := (others => '1'); + when 1 => + -- ignore TTL + v.obMaster.tData(55 downto 48) := (others => '1'); + -- ignore ip checksum + v.obMaster.tData(79 downto 64) := (others => '1'); + when 2 => + -- ignore prot checksum + v.obMaster.tData(79 downto 64) := (others => '1'); + -- ignore BTH fecn, becn and resv6 + v.obMaster.tData(119 downto 112) := (others => '1'); + when others => + null; + end case; + + -- Increment the counter + if sAxisMaster.tLast = '1' then + v.cnt := 0; + elsif (r.cnt /= 3) then + v.cnt := v.cnt + 1; + end if; + + end if; + + -- Outputs + sAxisSlave <= v.ibSlave; + mAxisMaster <= r.obMaster; + + -- Reset + if (ethRst = '1') then + v := REG_INIT_C; + end if; + + -- Register the variable for next clock cycle + rin <= v; + + end process comb; + + seq : process (ethClk) is + begin + if rising_edge(ethClk) then + r <= rin after TPD_G; + end if; + end process seq; + +end rtl; diff --git a/ethernet/RoCEv2/rtl/EthMacRxCheckICrc.vhd b/ethernet/RoCEv2/rtl/EthMacRxCheckICrc.vhd new file mode 100644 index 0000000000..7f702b509f --- /dev/null +++ b/ethernet/RoCEv2/rtl/EthMacRxCheckICrc.vhd @@ -0,0 +1,126 @@ +------------------------------------------------------------------------------- +-- Company : SLAC National Accelerator Laboratory +------------------------------------------------------------------------------- +-- Description: Checks the RX RoCEv2 iCRC value +------------------------------------------------------------------------------- +-- This file is part of 'SLAC Firmware Standard Library'. +-- It is subject to the license terms in the LICENSE.txt file found in the +-- top-level directory of this distribution and at: +-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. +-- No part of 'SLAC Firmware Standard Library', including this file, +-- may be copied, modified, propagated, or distributed except according to +-- the terms contained in the LICENSE.txt file. +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; +use ieee.std_logic_misc.all; + +library surf; +use surf.AxiStreamPkg.all; +use surf.StdRtlPkg.all; +use surf.EthMacPkg.all; + +entity EthMacRxCheckICrc is + generic ( + TPD_G : time := 1 ns); + port ( + -- Clock and Reset + ethClk : in sl; + ethRst : in sl; + -- Slave ports + sAxisMaster : in AxiStreamMasterType; + sAxisSlave : out AxiStreamSlaveType; + sAxisCrcCheckMaster : in AxiStreamMasterType; + sAxisCrcCheckSlave : out AxiStreamSlaveType; + -- Master ports + mAxisMaster : out AxiStreamMasterType; + mAxisSlave : in AxiStreamSlaveType); +end entity EthMacRxCheckICrc; + +architecture rtl of EthMacRxCheckICrc is + + type RegType is record + gotCrc : sl; + ibSlave : AxiStreamSlaveType; + ibCrcSlave : AxiStreamSlaveType; + obMaster : AxiStreamMasterType; + end record RegType; + + constant REG_INIT_C : RegType := ( + gotCrc => '0', + ibSlave => AXI_STREAM_SLAVE_INIT_C, + ibCrcSlave => AXI_STREAM_SLAVE_INIT_C, + obMaster => axiStreamMasterInit(EMAC_AXIS_CONFIG_C)); + + signal r : RegType := REG_INIT_C; + signal rin : RegType; + +begin + + comb : process (ethRst, mAxisSlave, r, sAxisCrcCheckMaster, sAxisMaster) is + variable v : RegType; + variable ibM : AxiStreamMasterType; + variable ibCrcM : AxiStreamMasterType; + begin + -- Latch the current value + v := r; + + -- AXI Stream flow control + v.ibSlave.tReady := '0'; + v.ibCrcSlave.tReady := '0'; + if (mAxisSlave.tReady = '1') then + v.obMaster.tValid := '0'; + end if; + + -- Check if we are ready to move data + if v.obMaster.tValid = '0' then + -- Get inbound data + ibM := sAxisMaster; + ibCrcM := sAxisCrcCheckMaster; + + if ibM.tValid = '1' and (ibCrcM.tValid = '1' or r.gotCrc = '1') then + -- Enable tReady on main + v.ibSlave.tReady := '1'; + -- Enable tReady on CRC only for a single transaction + if r.gotCrc = '0' then + v.ibCrcSlave.tReady := '1'; + v.gotCrc := '1'; + end if; + if ibM.tLast = '1' then + v.gotCrc := '0'; + end if; + v.obMaster := ibM; + if or_reduce(ibCrcM.tData(31 downto 0)) = '0' then + v.obMaster.tUser(2) := '0'; + else + v.obMaster.tUser(2) := '1'; + end if; + end if; + end if; + + -- Outputs + sAxisSlave <= v.ibSlave; + sAxisCrcCheckSlave <= v.ibCrcSlave; + mAxisMaster <= r.obMaster; + + -- Reset + if (ethRst = '1') then + v := REG_INIT_C; + end if; + + -- Register the variable for next clock cycle + rin <= v; + + end process comb; + + seq : process (ethClk) is + begin + if rising_edge(ethClk) then + r <= rin after TPD_G; + end if; + end process seq; + +end rtl; diff --git a/ethernet/RoCEv2/rtl/EthMacRxRoCEv2.vhd b/ethernet/RoCEv2/rtl/EthMacRxRoCEv2.vhd new file mode 100644 index 0000000000..6c29bce41d --- /dev/null +++ b/ethernet/RoCEv2/rtl/EthMacRxRoCEv2.vhd @@ -0,0 +1,258 @@ +------------------------------------------------------------------------------- +-- Company : SLAC National Accelerator Laboratory +------------------------------------------------------------------------------- +-- Description: RoCEv2 Protocol Wrapper for RX path +------------------------------------------------------------------------------- +-- This file is part of 'SLAC Firmware Standard Library'. +-- It is subject to the license terms in the LICENSE.txt file found in the +-- top-level directory of this distribution and at: +-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. +-- No part of 'SLAC Firmware Standard Library', including this file, +-- may be copied, modified, propagated, or distributed except according to +-- the terms contained in the LICENSE.txt file. +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +library surf; +use surf.AxiStreamPkg.all; +use surf.StdRtlPkg.all; +use surf.EthMacPkg.all; + +entity EthMacRxRoCEv2 is + generic ( + TPD_G : time := 1 ns); + port ( + -- Clock and Reset + ethClk : in sl; + ethRst : in sl; + -- Checksum Interface + obCsumMaster : in AxiStreamMasterType; + -- Bypass Interface + ibBypassMaster : out AxiStreamMasterType); +end EthMacRxRoCEv2; + +architecture mapping of EthMacRxRoCEv2 is + + constant ROCE_CRC32_AXI_CONFIG_C : AxiStreamConfigType := ( + TSTRB_EN_C => false, + TDATA_BYTES_C => 32, + TDEST_BITS_C => 8, + TID_BITS_C => 0, + TKEEP_MODE_C => TKEEP_COMP_C, + TUSER_BITS_C => 4, + TUSER_MODE_C => TUSER_FIRST_LAST_C); + + signal csumDmMasters : AxiStreamMasterArray(1 downto 0); + signal csumDmSlaves : AxiStreamSlaveArray(1 downto 0); + + signal csumMastersRoCE : AxiStreamMasterArray(1 downto 0); + signal csumSlavesRoCE : AxiStreamSlaveArray(1 downto 0); + + signal csumMasterDly : AxiStreamMasterType; + signal csumSlaveDly : AxiStreamSlaveType; + + signal axisMasterNoTrail : AxiStreamMasterType; + signal axisSlaveNoTrail : AxiStreamSlaveType; + + signal csumiCrcMaster : AxiStreamMasterType; + signal csumiCrcSlave : AxiStreamSlaveType; + + signal readyForiCrcMaster : AxiStreamMasterType; + signal readyForiCrcSlave : AxiStreamSlaveType; + + signal crcStreamMaster : AxiStreamMasterType; + signal crcStreamSlave : AxiStreamSlaveType; + + signal roceCheckedMaster : AxiStreamMasterType; + signal roceCheckedSlave : AxiStreamSlaveType; + + signal roceMaster : AxiStreamMasterType; + signal roceCtrl : AxiStreamCtrlType; + + signal roceMasters : AxiStreamMasterArray(1 downto 0); + signal roceSlaves : AxiStreamSlaveArray(1 downto 0); + +begin + + ---------------------------------------------------------------------------- + -- RoCE iCRC check + ---------------------------------------------------------------------------- + U_DeMux : entity surf.AxiStreamDeMux + generic map ( + TPD_G => TPD_G, + NUM_MASTERS_G => 2, + MODE_G => "INDEXED", + TDEST_HIGH_G => 1, + TDEST_LOW_G => 0) + port map ( + axisClk => ethClk, + axisRst => ethRst, + sAxisMaster => obCsumMaster, + sAxisSlave => open, + mAxisMasters => csumDmMasters, + mAxisSlaves => csumDmSlaves); + + -- double the stream + U_Repeater : entity surf.AxiStreamRepeater + generic map ( + TPD_G => TPD_G, + NUM_MASTERS_G => 2) + port map ( + axisClk => ethClk, + axisRst => ethRst, + sAxisMaster => csumDmMasters(1), + sAxisSlave => csumDmSlaves(1), + mAxisMasters => csumMastersRoCE, + mAxisSlaves => csumSlavesRoCE); + + -- FIFO the second stream to wait for iCrc + U_FifoV2 : entity surf.AxiStreamFifoV2 + generic map ( + TPD_G => TPD_G, + GEN_SYNC_FIFO_G => true, + FIFO_ADDR_WIDTH_G => 5, + SLAVE_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C, + MASTER_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C) + port map ( + sAxisClk => ethClk, + sAxisRst => ethRst, + sAxisMaster => csumMastersRoCE(1), + sAxisSlave => csumSlavesRoCE(1), + mAxisClk => ethClk, + mAxisRst => ethRst, + mAxisMaster => csumMasterDly, + mAxisSlave => csumSlaveDly); + + U_TrailerRemove : entity surf.AxiStreamTrailerRemove + generic map ( + TPD_G => TPD_G, + AXI_CONFIG_G => EMAC_AXIS_CONFIG_C) + port map ( + axisClk => ethClk, + axisRst => ethRst, + sAxisMaster => csumMasterDly, + sAxisSlave => csumSlaveDly, + mAxisMaster => axisMasterNoTrail, + mAxisSlave => axisSlaveNoTrail); + + U_iCrc : entity surf.EthMacPrepareForICrc + generic map ( + TPD_G => TPD_G) + port map ( + ethClk => ethClk, + ethRst => ethRst, + sAxisMaster => csumMastersRoCE(0), + sAxisSlave => csumSlavesRoCE(0), + mAxisMaster => csumiCrcMaster, + mAxisSlave => csumiCrcSlave); + + U_Compact : entity surf.AxiStreamCompact + generic map ( + TPD_G => TPD_G, + SLAVE_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C, + MASTER_AXI_CONFIG_G => ROCE_CRC32_AXI_CONFIG_C) + port map ( + axisClk => ethClk, + axisRst => ethRst, + sAxisMaster => csumiCrcMaster, + sAxisSlave => csumiCrcSlave, + mAxisMaster => readyForiCrcMaster, + mAxisSlave => readyForiCrcSlave); + + U_iCrcIn : entity surf.EthMacCrcAxiStreamWrapperRecv + port map ( + ethClk => ethClk, + ethRst => ethRst, + sAxisMaster => readyForiCrcMaster, + sAxisSlave => readyForiCrcSlave, + mAxisMaster => crcStreamMaster, + mAxisSlave => crcStreamSlave); + + U_CheckICrc : entity surf.EthMacRxCheckICrc + generic map ( + TPD_G => TPD_G) + port map ( + ethClk => ethClk, + ethRst => ethRst, + sAxisMaster => axisMasterNoTrail, + sAxisSlave => axisSlaveNoTrail, + sAxisCrcCheckMaster => crcStreamMaster, + sAxisCrcCheckSlave => crcStreamSlave, + mAxisMaster => roceCheckedMaster, + mAxisSlave => roceCheckedSlave); + + U_Flush : entity surf.AxiStreamFlush + generic map ( + TPD_G => TPD_G, + AXIS_CONFIG_G => EMAC_AXIS_CONFIG_C, + SSI_EN_G => true) + port map ( + axisClk => ethClk, + axisRst => ethRst, + flushEn => roceCheckedMaster.tUser(2), + sAxisMaster => roceCheckedMaster, + sAxisSlave => roceCheckedSlave, + mAxisMaster => roceMaster, + mAxisCtrl => roceCtrl); + + -------------------- + -- Packetizer FIFOs + -------------------- + U_FifoPacketizer_Roce : entity surf.AxiStreamFifoV2 + generic map ( + TPD_G => TPD_G, + VALID_THOLD_G => 0, + GEN_SYNC_FIFO_G => true, + SLAVE_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C, + MASTER_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C) + port map ( + sAxisClk => ethClk, + sAxisRst => ethRst, + sAxisMaster => RoceMaster, + sAxisCtrl => RoceCtrl, + mAxisClk => ethClk, + mAxisRst => ethRst, + mAxisMaster => RoceMasters(1), + mAxisSlave => RoceSlaves(1)); + + U_FifoPacketizer_Udp : entity surf.AxiStreamFifoV2 + generic map ( + TPD_G => TPD_G, + VALID_THOLD_G => 0, + GEN_SYNC_FIFO_G => true, + SLAVE_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C, + MASTER_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C) + port map ( + sAxisClk => ethClk, + sAxisRst => ethRst, + sAxisMaster => csumDmMasters(0), + sAxisSlave => csumDmSlaves(0), + mAxisClk => ethClk, + mAxisRst => ethRst, + mAxisMaster => roceMasters(0), + mAxisSlave => roceSlaves(0)); + + ----------------------- + -- RoCE - Normal MUX + ----------------------- + AxiStreamMux_1 : entity surf.AxiStreamMux + generic map ( + TPD_G => TPD_G, + NUM_SLAVES_G => 2, + ILEAVE_EN_G => true, + ILEAVE_ON_NOTVALID_G => true, + MODE_G => "PASSTHROUGH", + TID_MODE_G => "PASSTHROUGH") + port map ( + axisClk => ethClk, + axisRst => ethRst, + sAxisMasters => roceMasters, + sAxisSlaves => roceSlaves, + mAxisMaster => ibBypassMaster, + mAxisSlave => AXI_STREAM_SLAVE_FORCE_C); + +end mapping; diff --git a/ethernet/RoCEv2/rtl/EthMacTxRoCEv2.vhd b/ethernet/RoCEv2/rtl/EthMacTxRoCEv2.vhd new file mode 100644 index 0000000000..a5e817c5f1 --- /dev/null +++ b/ethernet/RoCEv2/rtl/EthMacTxRoCEv2.vhd @@ -0,0 +1,254 @@ +------------------------------------------------------------------------------- +-- Company : SLAC National Accelerator Laboratory +------------------------------------------------------------------------------- +-- Description: RoCEv2 Protocol Wrapper for TX path +------------------------------------------------------------------------------- +-- This file is part of 'SLAC Firmware Standard Library'. +-- It is subject to the license terms in the LICENSE.txt file found in the +-- top-level directory of this distribution and at: +-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. +-- No part of 'SLAC Firmware Standard Library', including this file, +-- may be copied, modified, propagated, or distributed except according to +-- the terms contained in the LICENSE.txt file. +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +library surf; +use surf.AxiStreamPkg.all; +use surf.StdRtlPkg.all; +use surf.EthMacPkg.all; + +entity EthMacTxRoCEv2 is + generic ( + TPD_G : time := 1 ns); + port ( + -- Clock and Reset + ethClk : in sl; + ethRst : in sl; + -- Checksum Interface + obCsumMaster : in AxiStreamMasterType; + obCsumSlave : out AxiStreamSlaveType; + -- Pause Interface + ibPauseMaster : out AxiStreamMasterType; + ibPauseSlave : in AxiStreamSlaveType); +end EthMacTxRoCEv2; + +architecture mapping of EthMacTxRoCEv2 is + + constant ROCE_CRC32CALC_AXI_CONFIG_C : AxiStreamConfigType := ( + TSTRB_EN_C => false, + TDATA_BYTES_C => 32, + TDEST_BITS_C => 0, + TID_BITS_C => 0, + TKEEP_MODE_C => TKEEP_COMP_C, + TUSER_BITS_C => 2, + TUSER_MODE_C => TUSER_FIRST_LAST_C); + + constant ROCE_CRC32_AXI_CONFIG_C : AxiStreamConfigType := ( + TSTRB_EN_C => false, + TDATA_BYTES_C => 4, + TDEST_BITS_C => 0, + TID_BITS_C => 0, + TKEEP_MODE_C => TKEEP_COMP_C, + TUSER_BITS_C => 2, + TUSER_MODE_C => TUSER_FIRST_LAST_C); + + signal csumDmMasters : AxiStreamMasterArray(1 downto 0); + signal csumDmSlaves : AxiStreamSlaveArray(1 downto 0); + + signal csumMastersRoCE : AxiStreamMasterArray(1 downto 0); + signal csumSlavesRoCE : AxiStreamSlaveArray(1 downto 0); + + signal csumMasterDly : AxiStreamMasterType; + signal csumSlaveDly : AxiStreamSlaveType; + + signal csumiCrcMaster : AxiStreamMasterType; + signal csumiCrcSlave : AxiStreamSlaveType; + + signal readyForiCrcMaster : AxiStreamMasterType; + signal readyForiCrcSlave : AxiStreamSlaveType; + + signal crcStreamMaster : AxiStreamMasterType; + signal crcStreamSlave : AxiStreamSlaveType; + + signal roceStreamMaster : AxiStreamMasterType; + signal roceStreamSlave : AxiStreamSlaveType; + + signal roceFixMaster : AxiStreamMasterType; + signal roceFixSlave : AxiStreamSlaveType; + + signal roceMasters : AxiStreamMasterArray(1 downto 0); + signal roceSlaves : AxiStreamSlaveArray(1 downto 0); + +begin + + ---------------------------------------------------------------------------- + -- RoCE iCRC calculation + ---------------------------------------------------------------------------- + U_DeMux : entity surf.AxiStreamDeMux + generic map ( + TPD_G => TPD_G, + NUM_MASTERS_G => 2, + MODE_G => "INDEXED", + TDEST_HIGH_G => 1, + TDEST_LOW_G => 0) + port map ( + axisClk => ethClk, + axisRst => ethRst, + sAxisMaster => obCsumMaster, + sAxisSlave => obCsumSlave, + mAxisMasters => csumDmMasters, + mAxisSlaves => csumDmSlaves); + + -- double the stream + U_Repeater : entity surf.AxiStreamRepeater + generic map ( + TPD_G => TPD_G, + NUM_MASTERS_G => 2) + port map ( + axisClk => ethClk, + axisRst => ethRst, + sAxisMaster => csumDmMasters(1), + sAxisSlave => csumDmSlaves(1), + mAxisMasters => csumMastersRoCE, + mAxisSlaves => csumSlavesRoCE); + + U_FifoV2 : entity surf.AxiStreamFifoV2 + generic map ( + TPD_G => TPD_G, + GEN_SYNC_FIFO_G => true, + FIFO_ADDR_WIDTH_G => 5, + SLAVE_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C, + MASTER_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C) + port map ( + sAxisClk => ethClk, + sAxisRst => ethRst, + sAxisMaster => csumMastersRoCE(1), + sAxisSlave => csumSlavesRoCE(1), + mAxisClk => ethClk, + mAxisRst => ethRst, + mAxisMaster => csumMasterDly, + mAxisSlave => csumSlaveDly); + + U_iCrc : entity surf.EthMacPrepareForICrc + generic map ( + TPD_G => TPD_G) + port map ( + ethClk => ethClk, + ethRst => ethRst, + sAxisMaster => csumMastersRoCE(0), + sAxisSlave => csumSlavesRoCE(0), + mAxisMaster => csumiCrcMaster, + mAxisSlave => csumiCrcSlave); + + U_Compact : entity surf.AxiStreamCompact + generic map ( + TPD_G => TPD_G, + SLAVE_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C, + MASTER_AXI_CONFIG_G => ROCE_CRC32CALC_AXI_CONFIG_C) + port map ( + axisClk => ethClk, + axisRst => ethRst, + sAxisMaster => csumiCrcMaster, + sAxisSlave => csumiCrcSlave, + mAxisMaster => readyForiCrcMaster, + mAxisSlave => readyForiCrcSlave); + + CrcAxiStreamWrapperSend_1 : entity surf.EthMacCrcAxiStreamWrapperSend + port map ( + ethClk => ethClk, + ethRst => ethRst, + sAxisMaster => readyForiCrcMaster, + sAxisSlave => readyForiCrcSlave, + mAxisMaster => crcStreamMaster, + mAxisSlave => crcStreamSlave); + + U_TrailerAppend : entity surf.AxiStreamTrailerAppend + generic map ( + TPD_G => TPD_G, + TRAILER_AXI_CONFIG_G => ROCE_CRC32_AXI_CONFIG_C, + MASTER_SLAVE_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C) + port map ( + axisClk => ethClk, + axisRst => ethRst, + sAxisMaster => csumMasterDly, + sAxisSlave => csumSlaveDly, + sAxisTrailerMaster => crcStreamMaster, + sAxisTrailerSlave => crcStreamSlave, + mAxisMaster => roceStreamMaster, + mAxisSlave => roceStreamSlave); + + U_Compact_1 : entity surf.AxiStreamCompact + generic map ( + TPD_G => TPD_G, + SLAVE_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C, + MASTER_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C) + port map ( + axisClk => ethClk, + axisRst => ethRst, + sAxisMaster => roceStreamMaster, + sAxisSlave => roceStreamSlave, + mAxisMaster => roceFixMaster, + mAxisSlave => roceFixSlave); + + -------------------- + -- Packetizer FIFOs + -------------------- + U_FifoPacketizer_Roce : entity surf.AxiStreamFifoV2 + generic map ( + TPD_G => TPD_G, + VALID_THOLD_G => 0, + GEN_SYNC_FIFO_G => true, + SLAVE_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C, + MASTER_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C) + port map ( + sAxisClk => ethClk, + sAxisRst => ethRst, + sAxisMaster => RoceFixMaster, + sAxisSlave => RoceFixSlave, + mAxisClk => ethClk, + mAxisRst => ethRst, + mAxisMaster => roceMasters(1), + mAxisSlave => roceSlaves(1)); + + U_FifoPacketizer_Udp : entity surf.AxiStreamFifoV2 + generic map ( + TPD_G => TPD_G, + VALID_THOLD_G => 0, + GEN_SYNC_FIFO_G => true, + SLAVE_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C, + MASTER_AXI_CONFIG_G => EMAC_AXIS_CONFIG_C) + port map ( + sAxisClk => ethClk, + sAxisRst => ethRst, + sAxisMaster => csumDmMasters(0), + sAxisSlave => csumDmSlaves(0), + mAxisClk => ethClk, + mAxisRst => ethRst, + mAxisMaster => roceMasters(0), + mAxisSlave => roceSlaves(0)); + + ----------------------- + -- RoCE - Normal MUX + ----------------------- + AxiStreamMux_1 : entity surf.AxiStreamMux + generic map ( + TPD_G => TPD_G, + NUM_SLAVES_G => 2, + ILEAVE_EN_G => true, + ILEAVE_ON_NOTVALID_G => true, + MODE_G => "PASSTHROUGH", + TID_MODE_G => "PASSTHROUGH") + port map ( + axisClk => ethClk, + axisRst => ethRst, + sAxisMasters => roceMasters, + sAxisSlaves => roceSlaves, + mAxisMaster => ibPauseMaster, + mAxisSlave => ibPauseSlave); + +end mapping; diff --git a/ethernet/RoCEv2/rtl/RoceConfigurator.vhd b/ethernet/RoCEv2/rtl/RoceConfigurator.vhd new file mode 100644 index 0000000000..015148b4b2 --- /dev/null +++ b/ethernet/RoCEv2/rtl/RoceConfigurator.vhd @@ -0,0 +1,164 @@ +------------------------------------------------------------------------------- +-- Company : SLAC National Accelerator Laboratory +------------------------------------------------------------------------------- +-- Description: RoCEv2 Configuration +------------------------------------------------------------------------------- +-- This file is part of 'SLAC Firmware Standard Library'. +-- It is subject to the license terms in the LICENSE.txt file found in the +-- top-level directory of this distribution and at: +-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. +-- No part of 'SLAC Firmware Standard Library', including this file, +-- may be copied, modified, propagated, or distributed except according to +-- the terms contained in the LICENSE.txt file. +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +library surf; +use surf.StdRtlPkg.all; +use surf.AxiLitePkg.all; +use surf.AxiStreamPkg.all; + +entity RoceConfigurator is + generic ( + TPD_G : time := 1 ns); + port ( + -- Clock and Reset + clk : in sl; + rst : in sl; + -- RoCE Metadata AXI stream Interface + mAxisMetaDataReqMaster : out AxiStreamMasterType; + mAxisMetaDataReqSlave : in AxiStreamSlaveType; + sAxisMetaDataRespMaster : in AxiStreamMasterType; + sAxisMetaDataRespSlave : out AxiStreamSlaveType; + -- AXI-Lite Interface + axilReadMaster : in AxiLiteReadMasterType; + axilReadSlave : out AxiLiteReadSlaveType; + axilWriteMaster : in AxiLiteWriteMasterType; + axilWriteSlave : out AxiLiteWriteSlaveType); +end entity RoceConfigurator; + +architecture rtl of RoceConfigurator is + + type StateType is ( + IDLE_S, + DUMP_CONFIG_S, + GET_RESPONSE_S); + + type RegType is record + -- AXI-Lite Interface + metaDataIsSet : sl; + metaDataTx : slv(302 downto 0); + axilReadSlave : AxiLiteReadSlaveType; + axilWriteSlave : AxiLiteWriteSlaveType; + -- RoCE Metadata AXI stream Interface + metaDataIsReady : sl; + metaDataRx : slv(275 downto 0); + txMaster : AxiStreamMasterType; + rxSlave : AxiStreamSlaveType; + state : StateType; + end record RegType; + + constant REG_INIT_C : RegType := ( + -- AXI-Lite Interface + metaDataIsSet => '0', + metaDataTx => (others => '0'), + axilReadSlave => AXI_LITE_READ_SLAVE_INIT_C, + axilWriteSlave => AXI_LITE_WRITE_SLAVE_INIT_C, + -- RoCE Metadata AXI stream Interface + metaDataIsReady => '0', + metaDataRx => (others => '0'), + txMaster => AXI_STREAM_MASTER_INIT_C, + rxSlave => AXI_STREAM_SLAVE_INIT_C, + state => IDLE_S); + + signal r : RegType := REG_INIT_C; + signal rin : RegType; + +begin + + comb : process (axilReadMaster, axilWriteMaster, mAxisMetaDataReqSlave, r, + rst, sAxisMetaDataRespMaster) is + variable v : RegType; + variable axilEp : AxiLiteEndPointType; + begin + -- Latch the current value + v := r; + + ---------------------------------------------------------------------------------- + -- AXI-Lite Interface + ---------------------------------------------------------------------------------- + + -- Determine the transaction type + axiSlaveWaitTxn(axilEp, axilWriteMaster, axilReadMaster, v.axilWriteSlave, v.axilReadSlave); + + -- Gen registers + axiSlaveRegister (axilEp, x"F00", 0, v.metaDataIsSet); + axiSlaveRegister (axilEp, x"F04", 0, v.metaDataTx); + axiSlaveRegisterR(axilEp, x"F00", 1, r.metaDataIsReady); + axiSlaveRegisterR(axilEp, x"F2C", 0, r.metaDataRx); + + -- Closeout the transaction + axiSlaveDefault(axilEp, v.axilWriteSlave, v.axilReadSlave, AXI_RESP_DECERR_C); + + ---------------------------------------------------------------------------------- + -- RoCE Metadata AXI stream Interface + ---------------------------------------------------------------------------------- + + -- AXI stream flow control + v.rxSlave.tReady := '0'; + if mAxisMetaDataReqSlave.tReady = '1' then + v.txMaster.tValid := '0'; + end if; + + case r.state is + ------------------------------------------------------------------------- + when IDLE_S => + -- Check for rising edge event + if (r.metaDataIsSet = '0') and (v.metaDataIsSet = '1') then + v.metaDataIsReady := '0'; + v.state := DUMP_CONFIG_S; + end if; + ----------------------------------------------------------------------- + when DUMP_CONFIG_S => + v.txMaster.tData(302 downto 0) := r.metaDataTx; + v.txMaster.tValid := '1'; + if mAxisMetaDataReqSlave.tReady = '1' then + v.state := GET_RESPONSE_S; + end if; + ----------------------------------------------------------------------- + when GET_RESPONSE_S => + if sAxisMetaDataRespMaster.tValid = '1' then + v.rxSlave.tReady := '1'; + v.metaDataRx := sAxisMetaDataRespMaster.tData(275 downto 0); + v.metaDataIsReady := '1'; + v.state := IDLE_S; + end if; + ----------------------------------------------------------------------- + end case; + + -- Outputs + axilWriteSlave <= r.axilWriteSlave; + axilReadSlave <= r.axilReadSlave; + sAxisMetaDataRespSlave <= v.rxSlave; + mAxisMetaDataReqMaster <= r.txMaster; + + -- Reset + if (rst = '1') then + v := REG_INIT_C; + end if; + + -- Register update + rin <= v; + + end process comb; + + seq : process (clk) is + begin + if rising_edge(clk) then + r <= rin after TPD_G; + end if; + end process seq; + +end rtl; diff --git a/ethernet/RoCEv2/rtl/RoceEngineWrapper.vhd b/ethernet/RoCEv2/rtl/RoceEngineWrapper.vhd new file mode 100644 index 0000000000..f4aa040ad9 --- /dev/null +++ b/ethernet/RoCEv2/rtl/RoceEngineWrapper.vhd @@ -0,0 +1,349 @@ +------------------------------------------------------------------------------- +-- Company : SLAC National Accelerator Laboratory +------------------------------------------------------------------------------- +-- Description: RoCEv2 Configuration +------------------------------------------------------------------------------- +-- This file is part of 'SLAC Firmware Standard Library'. +-- It is subject to the license terms in the LICENSE.txt file found in the +-- top-level directory of this distribution and at: +-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. +-- No part of 'SLAC Firmware Standard Library', including this file, +-- may be copied, modified, propagated, or distributed except according to +-- the terms contained in the LICENSE.txt file. +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +library surf; +use surf.StdRtlPkg.all; +use surf.AxiStreamPkg.all; +use surf.AxiLitePkg.all; +use surf.SsiPkg.all; +use surf.RocePkg.all; + +entity RoceEngineWrapper is + generic ( + TPD_G : time := 1 ns; + EXT_ROCE_CONFIG_G : boolean := false); + port ( + clk : in sl; + rst : in sl; + -- Work Requests and Comps + workReqMaster : in RoceWorkReqMasterType; + workReqSlave : out RoceWorkReqSlaveType; + workCompMaster : out RoceWorkCompMasterType; + workCompSlave : in RoceWorkCompSlaveType; + -- Interface to UDP Engine + obUdpMaster : in AxiStreamMasterType; + obUdpSlave : out AxiStreamSlaveType; + ibUdpMaster : out AxiStreamMasterType; + ibUdpSlave : in AxiStreamSlaveType; + -- MetaData Config Bus + sAxisMetaDataMaster : in AxiStreamMasterType; + sAxisMetaDataSlave : out AxiStreamSlaveType; + mAxisMetaDataMaster : out AxiStreamMasterType; + mAxisMetaDataSlave : in AxiStreamSlaveType; + -- AXI-Lite Interface + axilReadMaster : in AxiLiteReadMasterType := AXI_LITE_READ_MASTER_INIT_C; + axilReadSlave : out AxiLiteReadSlaveType; + axilWriteMaster : in AxiLiteWriteMasterType := AXI_LITE_WRITE_MASTER_INIT_C; + axilWriteSlave : out AxiLiteWriteSlaveType; + -- DMA Interface + dmaReadRespMaster : in RoceDmaReadRespMasterType; + dmaReadRespSlave : out RoceDmaReadRespSlaveType; + dmaReadReqMaster : out RoceDmaReadReqMasterType; + dmaReadReqSlave : in RoceDmaReadReqSlaveType); +end RoceEngineWrapper; + +architecture mapping of RoceEngineWrapper is + + component mkAxiSTransportLayer is + port ( + CLK : in std_logic; + RST_N : in std_logic; + s_work_req_valid : in std_logic; + s_work_req_id : in std_logic_vector(63 downto 0); + s_work_req_op_code : in std_logic_vector(3 downto 0); + s_work_req_flags : in std_logic_vector(4 downto 0); + s_work_req_raddr : in std_logic_vector(63 downto 0); + s_work_req_rkey : in std_logic_vector(31 downto 0); + s_work_req_len : in std_logic_vector(31 downto 0); + s_work_req_laddr : in std_logic_vector(63 downto 0); + s_work_req_lkey : in std_logic_vector(31 downto 0); + s_work_req_sqpn : in std_logic_vector(23 downto 0); + s_work_req_solicited : in std_logic; + s_work_req_comp : in std_logic_vector(64 downto 0); + s_work_req_swap : in std_logic_vector(64 downto 0); + s_work_req_imm_dt : in std_logic_vector(32 downto 0); + s_work_req_rkey_to_inv : in std_logic_vector(32 downto 0); + s_work_req_srqn : in std_logic_vector(24 downto 0); + s_work_req_dqpn : in std_logic_vector(24 downto 0); + s_work_req_qkey : in std_logic_vector(32 downto 0); + s_work_req_ready : out std_logic; + s_data_stream_tvalid : in std_logic; + s_data_stream_tdata : in std_logic_vector(255 downto 0); + s_data_stream_tkeep : in std_logic_vector(31 downto 0); + s_data_stream_tfirst : in std_logic; + s_data_stream_tlast : in std_logic; + s_data_stream_tready : out std_logic; + m_data_stream_tvalid : out std_logic; + m_data_stream_tdata : out std_logic_vector(255 downto 0); + m_data_stream_tkeep : out std_logic_vector(31 downto 0); + m_data_stream_tfirst : out std_logic; + m_data_stream_tlast : out std_logic; + m_data_stream_tready : in std_logic; + m_work_comp_sq_valid : out std_logic; + m_work_comp_sq_id : out std_logic_vector(63 downto 0); + m_work_comp_sq_op_code : out std_logic_vector(7 downto 0); + m_work_comp_sq_flags : out std_logic_vector(6 downto 0); + m_work_comp_sq_status : out std_logic_vector(4 downto 0); + m_work_comp_sq_len : out std_logic_vector(31 downto 0); + m_work_comp_sq_pkey : out std_logic_vector(15 downto 0); + m_work_comp_sq_qpn : out std_logic_vector(23 downto 0); + m_work_comp_sq_imm_dt : out std_logic_vector(32 downto 0); + m_work_comp_sq_rkey_to_inv : out std_logic_vector(32 downto 0); + m_work_comp_sq_ready : in std_logic; + s_meta_data_tvalid : in std_logic; + s_meta_data_tdata : in std_logic_vector(302 downto 0); + s_meta_data_tready : out std_logic; + m_meta_data_tvalid : out std_logic; + m_meta_data_tdata : out std_logic_vector(275 downto 0); + m_meta_data_tready : in std_logic; + m_dma_read_valid : out std_logic; + m_dma_read_initiator : out std_logic_vector(3 downto 0); + m_dma_read_sqpn : out std_logic_vector(23 downto 0); + m_dma_read_wr_id : out std_logic_vector(63 downto 0); + m_dma_read_start_addr : out std_logic_vector(63 downto 0); + m_dma_read_len : out std_logic_vector(12 downto 0); + m_dma_read_mr_idx : out std_logic; + m_dma_read_ready : in std_logic; + s_dma_read_valid : in std_logic; + s_dma_read_initiator : in std_logic_vector(3 downto 0); + s_dma_read_sqpn : in std_logic_vector(23 downto 0); + s_dma_read_wr_id : in std_logic_vector(63 downto 0); + s_dma_read_is_resp_err : in std_logic; + s_dma_read_data_stream : in std_logic_vector(289 downto 0); + s_dma_read_ready : out std_logic); + end component mkAxiSTransportLayer; + + signal roceRstN : sl; + signal obUdpRoceMaster_tValid : sl; + signal obUdpRoceMaster_tData : slv(255 downto 0); + signal obUdpRoceMaster_tKeep : slv(31 downto 0); + signal obUdpRoceMaster_tFirst : sl; + signal obUdpRoceMaster_tLast : sl; + signal obUdpRoceMaster_tUser : slv(1 downto 0); + signal obUdpRoceSlave_tReady : sl; + signal ibUdpRoceMaster_tValid : sl; + signal ibUdpRoceMaster_tData : slv(255 downto 0); + signal ibUdpRoceMaster_tKeep : slv(31 downto 0); + signal ibUdpRoceMaster_tFirst : sl; + signal ibUdpRoceMaster_tLast : sl; + signal ibUdpRoceMaster_tUser : slv(1 downto 0); + signal ibUdpRoceSlave_tReady : sl; + + signal obUdpRoceMaster : AxiStreamMasterType; + signal obUdpRoceSlave : AxiStreamSlaveType; + signal ibUdpRoceMaster : AxiStreamMasterType; + signal ibUdpRoceSlave : AxiStreamSlaveType; + + signal s_axisMetaDataReqMaster : AxiStreamMasterType; + signal s_axisMetaDataReqSlave : AxiStreamSlaveType; + signal s_axisMetaDataRespMaster : AxiStreamMasterType; + signal s_axisMetaDataRespSlave : AxiStreamSlaveType; + signal s_axisMetaDataReqMasterMux : AxiStreamMasterType; + signal s_axisMetaDataReqSlaveMux : AxiStreamSlaveType; + signal s_axisMetaDataRespMasterMux : AxiStreamMasterType; + signal s_axisMetaDataRespSlaveMux : AxiStreamSlaveType; + +begin + + roceRstN <= not rst; + + ----------------------------------------------------------------------------- + -- Adjust Roce/SURF interface + ----------------------------------------------------------------------------- + AxiStreamResize_Inst : entity surf.RoceResizeAndSwap + generic map ( + SLAVE_AXI_CONFIG_G => SURF_DATA_STREAM_CONFIG_C, + MASTER_AXI_CONFIG_G => BLUE_DATA_STREAM_CONFIG_C, + SWAP_ENDIAN_G => true, + LITTLE_ENDIAN_G => false) + port map ( + axisClk => clk, + axisRst => rst, + sAxisMaster => obUdpMaster, + sAxisSlave => obUdpSlave, + mAxisMaster => obUdpRoceMaster, + mAxisSlave => obUdpRoceSlave); + + AxiStreamResize_1 : entity surf.RoceResizeAndSwap + generic map ( + SLAVE_AXI_CONFIG_G => BLUE_DATA_STREAM_CONFIG_C, + MASTER_AXI_CONFIG_G => SURF_DATA_STREAM_CONFIG_C, + SWAP_ENDIAN_G => true, + LITTLE_ENDIAN_G => false) + port map ( + axisClk => clk, + axisRst => rst, + sAxisMaster => ibUdpRoceMaster, + sAxisSlave => ibUdpRoceSlave, + mAxisMaster => ibUdpMaster, + mAxisSlave => ibUdpSlave); + + ----------------------------------------------------------------------------- + -- IP Integrator + ----------------------------------------------------------------------------- + MasterAxiStreamIpIntegrator_Inst : entity surf.MasterAxiStreamIpIntegrator + generic map ( + TDATA_NUM_BYTES => TDATA_ROCE_NUM_BYTES_C) + port map ( + M_AXIS_ACLK => clk, + M_AXIS_ARESETN => roceRstN, + M_AXIS_TVALID => obUdpRoceMaster_tValid, + M_AXIS_TDATA => obUdpRoceMaster_tData, + M_AXIS_TKEEP => obUdpRoceMaster_tKeep, + M_AXIS_TLAST => obUdpRoceMaster_tLast, + M_AXIS_TUSER => obUdpRoceMaster_tUser, + M_AXIS_TREADY => obUdpRoceSlave_tReady, + axisMaster => obUdpRoceMaster, + axisSlave => obUdpRoceSlave); + + SlaveAxiStreamIpIntegrator_Inst : entity surf.SlaveAxiStreamIpIntegrator + generic map ( + TDATA_NUM_BYTES => TDATA_ROCE_NUM_BYTES_C) + port map ( + S_AXIS_ACLK => clk, + S_AXIS_ARESETN => roceRstN, + S_AXIS_TVALID => ibUdpRoceMaster_tValid, + S_AXIS_TDATA => ibUdpRoceMaster_tData, + S_AXIS_TKEEP => ibUdpRoceMaster_tKeep, + S_AXIS_TLAST => ibUdpRoceMaster_tLast, + S_AXIS_TUSER => ibUdpRoceMaster_tUser, + S_AXIS_TREADY => ibUdpRoceSlave_tReady, + axisMaster => ibUdpRoceMaster, + axisSlave => ibUdpRoceSlave); + + obUdpRoceMaster_tFirst <= obUdpRoceMaster_tUser(1); + ibUdpRoceMaster_tUser <= ibUdpRoceMaster_tFirst & '0'; + + ----------------------------------------------------------------------------- + -- RoCE engine wrapper + ----------------------------------------------------------------------------- + mkAxiSTransportLayer_1 : mkAxiSTransportLayer + port map ( + CLK => clk, + RST_N => roceRstN, + s_work_req_valid => workReqMaster.valid, + s_work_req_id => workReqMaster.id, + s_work_req_op_code => workReqMaster.opCode, + s_work_req_flags => workReqMaster.flags, + s_work_req_raddr => workReqMaster.rAddr, + s_work_req_rkey => workReqMaster.rKey, + s_work_req_len => workReqMaster.len, + s_work_req_laddr => workReqMaster.lAddr, + s_work_req_lkey => workReqMaster.lKey, + s_work_req_sqpn => workReqMaster.sQpn, + s_work_req_solicited => workReqMaster.solicited, + s_work_req_comp => workReqMaster.comp, + s_work_req_swap => workReqMaster.swap, + s_work_req_imm_dt => workReqMaster.immDt, + s_work_req_rkey_to_inv => workReqMaster.rkeyToInv, + s_work_req_srqn => workReqMaster.srqn, + s_work_req_dqpn => workReqMaster.dQpn, + s_work_req_qkey => workReqMaster.qKey, + s_work_req_ready => workReqSlave.ready, + s_data_stream_tvalid => obUdpRoceMaster_tValid, + s_data_stream_tdata => obUdpRoceMaster_tData, + s_data_stream_tkeep => obUdpRoceMaster_tKeep, + s_data_stream_tfirst => obUdpRoceMaster_tFirst, + s_data_stream_tlast => obUdpRoceMaster_tLast, + s_data_stream_tready => obUdpRoceSlave_tReady, + m_data_stream_tvalid => ibUdpRoceMaster_tValid, + m_data_stream_tdata => ibUdpRoceMaster_tData, + m_data_stream_tkeep => ibUdpRoceMaster_tKeep, + m_data_stream_tfirst => ibUdpRoceMaster_tFirst, + m_data_stream_tlast => ibUdpRoceMaster_tLast, + m_data_stream_tready => ibUdpRoceSlave_tReady, + m_work_comp_sq_valid => workCompMaster.valid, + m_work_comp_sq_id => workCompMaster.id, + m_work_comp_sq_op_code => workCompMaster.opCode, + m_work_comp_sq_flags => workCompMaster.flags, + m_work_comp_sq_status => workCompMaster.status, + m_work_comp_sq_len => workCompMaster.len, + m_work_comp_sq_pkey => workCompMaster.pKey, + m_work_comp_sq_qpn => workCompMaster.qpn, + m_work_comp_sq_imm_dt => workCompMaster.immDt, + m_work_comp_sq_rkey_to_inv => workCompMaster.rkeyToInv, + m_work_comp_sq_ready => workCompSlave.ready, + s_meta_data_tvalid => s_axisMetaDataReqMasterMux.tValid, + s_meta_data_tdata => s_axisMetaDataReqMasterMux.tData(302 downto 0), + s_meta_data_tready => s_axisMetaDataReqSlaveMux.tReady, + m_meta_data_tvalid => s_axisMetaDataRespMasterMux.tValid, + m_meta_data_tdata => s_axisMetaDataRespMasterMux.tData(275 downto 0), + m_meta_data_tready => s_axisMetaDataRespSlaveMux.tReady, + m_dma_read_valid => dmaReadReqMaster.valid, + m_dma_read_initiator => dmaReadReqMaster.initiator, + m_dma_read_sqpn => dmaReadReqMaster.sQpn, + m_dma_read_wr_id => dmaReadReqMaster.wrId, + m_dma_read_start_addr => dmaReadReqMaster.startAddr, + m_dma_read_len => dmaReadReqMaster.len, + m_dma_read_mr_idx => dmaReadReqMaster.mrIdx, + m_dma_read_ready => dmaReadReqSlave.ready, + s_dma_read_valid => dmaReadRespMaster.valid, + s_dma_read_initiator => dmaReadRespMaster.initiator, + s_dma_read_sqpn => dmaReadRespMaster.sQpn, + s_dma_read_wr_id => dmaReadRespMaster.wrId, + s_dma_read_is_resp_err => dmaReadRespMaster.isRespErr, + s_dma_read_data_stream => dmaReadRespMaster.dataStream, + s_dma_read_ready => dmaReadRespSlave.ready); + + ----------------------------------------------------------------------------- + -- RoCE Metadata Configurator + ----------------------------------------------------------------------------- + ROCE_EXT_CONFIG_GEN : if EXT_ROCE_CONFIG_G generate + s_axisMetaDataReqMaster <= AXI_STREAM_MASTER_INIT_C; + s_axisMetaDataRespSlave <= AXI_STREAM_SLAVE_FORCE_C; + axilReadSlave <= AXI_LITE_READ_SLAVE_EMPTY_DECERR_C; + axilWriteSlave <= AXI_LITE_WRITE_SLAVE_EMPTY_DECERR_C; + end generate ROCE_EXT_CONFIG_GEN; + + ROCE_INT_CONFIG_GEN : if not EXT_ROCE_CONFIG_G generate + RoceConfigurator_1 : entity surf.RoceConfigurator + generic map ( + TPD_G => TPD_G) + port map ( + clk => clk, + rst => rst, + mAxisMetaDataReqMaster => s_axisMetaDataReqMaster, + mAxisMetaDataReqSlave => s_axisMetaDataReqSlave, + sAxisMetaDataRespMaster => s_axisMetaDataRespMaster, + sAxisMetaDataRespSlave => s_axisMetaDataRespSlave, + axilReadMaster => axilReadMaster, + axilReadSlave => axilReadSlave, + axilWriteMaster => axilWriteMaster, + axilWriteSlave => axilWriteSlave); + end generate ROCE_INT_CONFIG_GEN; + + ----------------------------------------------------------------------------- + -- Axi-Stream Metadata source selection + ----------------------------------------------------------------------------- + metaSel : process (mAxisMetaDataSlave, sAxisMetaDataMaster, + s_axisMetaDataReqMaster, s_axisMetaDataReqSlaveMux, + s_axisMetaDataRespMasterMux, s_axisMetaDataRespSlave) is + begin -- process metadata_source_select + if EXT_ROCE_CONFIG_G then + s_axisMetaDataReqMasterMux <= sAxisMetaDataMaster; + sAxisMetaDataSlave <= s_axisMetaDataReqSlaveMux; + mAxisMetaDataMaster <= s_axisMetaDataRespMasterMux; + s_axisMetaDataRespSlaveMux <= mAxisMetaDataSlave; + else + s_axisMetaDataReqMasterMux <= s_axisMetaDataReqMaster; + s_axisMetaDataReqSlave <= s_axisMetaDataReqSlaveMux; + s_axisMetaDataRespMaster <= s_axisMetaDataRespMasterMux; + s_axisMetaDataRespSlaveMux <= s_axisMetaDataRespSlave; + end if; + end process metaSel; + +end mapping; diff --git a/ethernet/RoCEv2/rtl/RocePkg.vhd b/ethernet/RoCEv2/rtl/RocePkg.vhd new file mode 100644 index 0000000000..cc8338becb --- /dev/null +++ b/ethernet/RoCEv2/rtl/RocePkg.vhd @@ -0,0 +1,473 @@ +------------------------------------------------------------------------------- +-- Company : SLAC National Accelerator Laboratory +------------------------------------------------------------------------------- +-- Description: RoCEv2 Package File +------------------------------------------------------------------------------- +-- This file is part of 'SLAC Firmware Standard Library'. +-- It is subject to the license terms in the LICENSE.txt file found in the +-- top-level directory of this distribution and at: +-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. +-- No part of 'SLAC Firmware Standard Library', including this file, +-- may be copied, modified, propagated, or distributed except according to +-- the terms contained in the LICENSE.txt file. +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use ieee.std_logic_arith.all; + +library surf; +use surf.StdRtlPkg.all; +use surf.AxiStreamPkg.all; +use surf.SsiPkg.all; + +package RocePkg is + + -- Types + constant TDATA_ROCE_NUM_BYTES_C : natural range 1 to 128 := 32; + constant TDATA_UDP_NUM_BYTES_C : natural range 1 to 128 := 16; + + constant BLUE_DATA_STREAM_CONFIG_C : AxiStreamConfigType := ssiAxiStreamConfig( + dataBytes => TDATA_ROCE_NUM_BYTES_C, + tDestBits => 0 + ); + + constant SURF_DATA_STREAM_CONFIG_C : AxiStreamConfigType := ssiAxiStreamConfig( + dataBytes => TDATA_UDP_NUM_BYTES_C, + tDestBits => 0 + ); + + type RoceWorkReqMasterType is record + valid : sl; + id : slv(63 downto 0); + opCode : slv(3 downto 0); + flags : slv(4 downto 0); + rAddr : slv(63 downto 0); + rKey : slv(31 downto 0); + len : slv(31 downto 0); + lAddr : slv(63 downto 0); + lKey : slv(31 downto 0); + sQpn : slv(23 downto 0); + solicited : sl; + comp : slv(64 downto 0); + swap : slv(64 downto 0); + immDt : slv(32 downto 0); + rKeyToInv : slv(32 downto 0); + srqn : slv(24 downto 0); + dQpn : slv(24 downto 0); + qKey : slv(32 downto 0); + end record RoceWorkReqMasterType; + + constant ROCE_WORK_REQ_MASTER_INIT_C : RoceWorkReqMasterType := ( + valid => '0', + id => (others => '0'), + opCode => (others => '0'), + flags => (others => '0'), + rAddr => (others => '0'), + rKey => (others => '0'), + len => (others => '0'), + lAddr => (others => '0'), + lKey => (others => '0'), + sQpn => (others => '0'), + solicited => '0', + comp => (others => '0'), + swap => (others => '0'), + immDt => (others => '0'), + rKeyToInv => (others => '0'), + srqn => (others => '0'), + dQpn => (others => '0'), + qKey => (others => '0') + ); + + type RoceWorkReqSlaveType is record + ready : sl; + end record RoceWorkReqSlaveType; + + constant ROCE_WORK_REQ_SLAVE_INIT_C : RoceWorkReqSlaveType := ( + ready => '0'); + + constant ROCE_WORK_REQ_SLAVE_FORCE_C : RoceWorkReqSlaveType := ( + ready => '1'); + + type RoceWorkCompMasterType is record + valid : sl; + id : slv(63 downto 0); + opCode : slv(7 downto 0); + flags : slv(6 downto 0); + status : slv(4 downto 0); + len : slv(31 downto 0); + pKey : slv(15 downto 0); + qpn : slv(23 downto 0); + immDt : slv(32 downto 0); + rKeyToInv : slv(32 downto 0); + end record RoceWorkCompMasterType; + + constant ROCE_WORK_COMP_MASTER_INIT_C : RoceWorkCompMasterType := ( + valid => '0', + id => (others => '0'), + opCode => (others => '0'), + flags => (others => '0'), + status => (others => '0'), + len => (others => '0'), + pKey => (others => '0'), + qpn => (others => '0'), + immDt => (others => '0'), + rKeyToInv => (others => '0') + ); + + type RoceWorkCompSlaveType is record + ready : sl; + end record RoceWorkCompSlaveType; + + constant ROCE_WORK_COMP_SLAVE_INIT_C : RoceWorkCompSlaveType := ( + ready => '0'); + + constant ROCE_WORK_COMP_SLAVE_FORCE_C : RoceWorkCompSlaveType := ( + ready => '1'); + + type RoceDmaReadReqMasterType is record + valid : sl; + initiator : slv(3 downto 0); + sQpn : slv(23 downto 0); + wrId : slv(63 downto 0); + startAddr : slv(63 downto 0); + len : slv(12 downto 0); + mrIdx : sl; + end record RoceDmaReadReqMasterType; + + constant ROCE_DMA_READ_REQ_MASTER_INIT_C : RoceDmaReadReqMasterType := ( + valid => '0', + initiator => (others => '0'), + sQpn => (others => '0'), + wrId => (others => '0'), + startAddr => (others => '0'), + len => (others => '0'), + mrIdx => '0' + ); + + type RoceDmaReadReqSlaveType is record + ready : sl; + end record RoceDmaReadReqSlaveType; + + constant ROCE_DMA_READ_REQ_SLAVE_INIT_C : RoceDmaReadReqSlaveType := ( + ready => '0'); + + constant ROCE_DMA_READ_REQ_SLAVE_FORCE_C : RoceDmaReadReqSlaveType := ( + ready => '1'); + + type RoceDmaReadRespMasterType is record + valid : sl; + initiator : slv(3 downto 0); + sQpn : slv(23 downto 0); + wrId : slv(63 downto 0); + isRespErr : sl; + dataStream : slv(289 downto 0); + end record RoceDmaReadRespMasterType; + + constant ROCE_DMA_READ_RESP_MASTER_INIT_C : RoceDmaReadRespMasterType := ( + valid => '0', + initiator => (others => '0'), + sQpn => (others => '0'), + wrId => (others => '0'), + isRespErr => '0', + dataStream => (others => '0') + ); + + type RoceDmaReadRespSlaveType is record + ready : sl; + end record RoceDmaReadRespSlaveType; + + constant ROCE_DMA_READ_RESP_SLAVE_INIT_C : RoceDmaReadRespSlaveType := ( + ready => '0'); + + constant ROCE_DMA_READ_RESP_SLAVE_FORCE_C : RoceDmaReadRespSlaveType := ( + ready => '1'); + + -- Functions + function ToRoceWorkReqMasterType ( + valid : sl; + id : slv(63 downto 0); + opCode : slv(3 downto 0); + flags : slv(4 downto 0); + rAddr : slv(63 downto 0); + rKey : slv(31 downto 0); + len : slv(31 downto 0); + lAddr : slv(63 downto 0); + lKey : slv(31 downto 0); + sQpn : slv(23 downto 0); + solicited : sl; + comp : slv(64 downto 0); + swap : slv(64 downto 0); + immDt : slv(32 downto 0); + rKeyToInv : slv(32 downto 0); + srqn : slv(24 downto 0); + dQpn : slv(24 downto 0); + qKey : slv(32 downto 0)) + return RoceWorkReqMasterType; + + function toRoceWorkCompSlaveType ( + ready : sl) + return RoceWorkCompSlaveType; + + function ToAxisMetadataMasterType ( + valid : sl; + data : slv(302 downto 0)) + return AxiStreamMasterType; + + function ToAxisMetadataSlaveType ( + ready : sl) + return AxiStreamSlaveType; + + function ToDmaReadRespMasterType ( + valid : sl; + initiator : slv(3 downto 0); + sqpn : slv(23 downto 0); + wrId : slv(63 downto 0); + isRespErr : sl; + dataStream : slv(289 downto 0)) + return RoceDmaReadRespMasterType; + + function ToDmaReadReqSlaveType ( + ready : sl) + return RoceDmaReadReqSlaveType; + + function DmaReadReqToAxiStreamMaster ( + wrIn : RoceDmaReadReqMasterType) + return AxiStreamMasterType; + + function DmaReadReqToAxiStreamSlave ( + wrIn : RoceDmaReadReqSlaveType) + return AxiStreamSlaveType; + + function AxiStreamToDmaReadReqMaster ( + wrIn : AxiStreamMasterType) + return RoceDmaReadReqMasterType; + + function AxiStreamToDmaReadReqSlave ( + wrIn : AxiStreamSlaveType) + return RoceDmaReadReqSlaveType; + + -- function WorkReqToAxiStreamMaster ( + -- wrIn : RoceWorkReqMasterType) + -- return AxiStreamMasterType; + + -- function AxiStreamToWorkReqMaster ( + -- wrIn : AxiStreamMasterType) + -- return RoceWorkReqMasterType; + + -- function WorkReqToAxiStreamSlave ( + -- wrIn : RoceWorkReqSlaveType) + -- return AxiStreamSlaveType; + + -- function AxiStreamToWorkReqSlave ( + -- wrIn : AxiStreamSlaveType) + -- return RoceWorkReqSlaveType; + + -- function FromRoceWorkReqSlaveType ( + -- roceWorkReqSlave : RoceWorkReqSlaveType) + -- return sl; + + -- function ToRoceWorkCompMasterType ( + -- valid : sl; + -- id : slv(63 downto 0); + -- opCode : slv(7 downto 0); + -- flags : slv(6 downto 0); + -- status : slv(4 downto 0); + -- len : slv(31 downto 0); + -- pKey : slv(15 downto 0); + -- qpn : slv(23 downto 0); + -- immDt : slv(32 downto 0); + -- rKeyToInv : slv(32 downto 0)) + -- return RoceWorkCompMasterType; + +end package RocePkg; + +package body RocePkg is + + function ToRoceWorkReqMasterType ( + valid : sl; + id : slv(63 downto 0); + opCode : slv(3 downto 0); + flags : slv(4 downto 0); + rAddr : slv(63 downto 0); + rKey : slv(31 downto 0); + len : slv(31 downto 0); + lAddr : slv(63 downto 0); + lKey : slv(31 downto 0); + sQpn : slv(23 downto 0); + solicited : sl; + comp : slv(64 downto 0); + swap : slv(64 downto 0); + immDt : slv(32 downto 0); + rKeyToInv : slv(32 downto 0); + srqn : slv(24 downto 0); + dQpn : slv(24 downto 0); + qKey : slv(32 downto 0)) + return RoceWorkReqMasterType is + variable ret : RoceWorkReqMasterType; + begin -- function ToRoceWorkReqMasterType + ret.valid := valid; + ret.id := id; + ret.opCode := opCode; + ret.flags := flags; + ret.rAddr := rAddr; + ret.rKey := rKey; + ret.len := len; + ret.lAddr := lAddr; + ret.lKey := lKey; + ret.sQpn := sQpn; + ret.solicited := solicited; + ret.comp := comp; + ret.swap := swap; + ret.immDt := immDt; + ret.rKeyToInv := rKeyToInv; + ret.srqn := srqn; + ret.dQpn := dQpn; + ret.qKey := qKey; + return ret; + end function ToRoceWorkReqMasterType; + + function ToRoceWorkCompSlaveType ( + ready : sl) + return RoceWorkCompSlaveType is + variable ret : RoceWorkCompSlaveType; + begin + ret.ready := ready; + return ret; + end function ToRoceWorkCompSlaveType; + + function ToAxisMetadataMasterType ( + valid : sl; + data : slv(302 downto 0)) + return AxiStreamMasterType is + variable ret : AxiStreamMasterType; + begin + ret := AXI_STREAM_MASTER_INIT_C; + ret.tValid := valid; + ret.tData(302 downto 0) := data; + return ret; + end function ToAxisMetadataMasterType; + + function ToAxisMetadataSlaveType ( + ready : sl) + return AxiStreamSlaveType is + variable ret : AxiStreamSlaveType; + begin + ret.tReady := ready; + return ret; + end function ToAxisMetadataSlaveType; + + function ToDmaReadRespMasterType ( + valid : sl; + initiator : slv(3 downto 0); + sqpn : slv(23 downto 0); + wrId : slv(63 downto 0); + isRespErr : sl; + dataStream : slv(289 downto 0)) + return RoceDmaReadRespMasterType is + variable ret : RoceDmaReadRespMasterType; + begin + ret.valid := valid; + ret.initiator := initiator; + ret.sqpn := sqpn; + ret.wrId := wrId; + ret.isRespErr := isRespErr; + ret.dataStream := dataStream; + return ret; + end function ToDmaReadRespMasterType; + + function ToDmaReadReqSlaveType ( + ready : sl) + return RoceDmaReadReqSlaveType is + variable ret : RoceDmaReadReqSlaveType; + begin + ret.ready := ready; + return ret; + end function ToDmaReadReqSlaveType; + + function DmaReadReqToAxiStreamMaster ( + wrIn : RoceDmaReadReqMasterType) + return AxiStreamMasterType is + variable ret : AxiStreamMasterType; + begin -- function RoceWorkReqToAxiStream + ret := AXI_STREAM_MASTER_INIT_C; + ret.tValid := wrIn.valid; + ret.tData(169 downto 0) := wrIn.initiator & + wrIn.sQpn & + wrIn.wrId & + wrIn.startAddr & + wrIn.len & + wrIn.mrIdx; + return ret; + end function DmaReadReqToAxiStreamMaster; + + function DmaReadReqToAxiStreamSlave ( + wrIn : RoceDmaReadReqSlaveType) + return AxiStreamSlaveType is + variable ret : AxiStreamSlaveType; + begin -- function RoceWorkReqToAxiStream + ret.tReady := wrIn.ready; + return ret; + end function DmaReadReqToAxiStreamSlave; + + function AxiStreamToDmaReadReqMaster ( + wrIn : AxiStreamMasterType) + return RoceDmaReadReqMasterType is + variable ret : RoceDmaReadReqMasterType; + begin -- function AxiStreamToRoceWorkReq + ret.valid := wrIn.tValid; + ret.mrIdx := wrIn.tData(0); + ret.len := wrIn.tData(13 downto 1); + ret.startAddr := wrIn.tData(77 downto 14); + ret.wrId := wrIn.tData(141 downto 78); + ret.sQpn := wrIn.tData(165 downto 142); + ret.initiator := wrIn.tData(169 downto 166); + return ret; + end function AxiStreamToDmaReadReqMaster; + + function AxiStreamToDmaReadReqSlave ( + wrIn : AxiStreamSlaveType) + return RoceDmaReadReqSlaveType is + variable ret : RoceDmaReadReqSlaveType; + begin -- function AxiStreamToRoceWorkReq + ret.ready := wrIn.tReady; + return ret; + end function AxiStreamToDmaReadReqSlave; + + -- function FromRoceWorkReqSlaveType ( + -- roceWorkReqSlave : RoceWorkReqSlaveType) + -- return sl is + -- begin + -- return roceWorkReqSlave.tReady; + -- end function FromRoceWorkReqSlaveType; + + -- function ToRoceWorkCompMasterType ( + -- valid : sl; + -- id : slv(63 downto 0); + -- opCode : slv(7 downto 0); + -- flags : slv(6 downto 0); + -- status : slv(4 downto 0); + -- len : slv(31 downto 0); + -- pKey : slv(15 downto 0); + -- qpn : slv(23 downto 0); + -- immDt : slv(32 downto 0); + -- rKeyToInv : slv(32 downto 0)) + -- return RoceWorkCompMasterType is + -- variable ret : RoceWorkCompMasterType; + -- begin -- function ToRoceWorkCompMasterType + -- ret.valid := valid; + -- ret.id := id; + -- ret.opCode := opCode; + -- ret.flags := flags; + -- ret.status := status; + -- ret.len := len; + -- ret.pKey := pKey; + -- ret.qpn := qpn; + -- ret.immDt := immDt; + -- ret.rKeyToInv := rKeyToInv; + -- return ret; + -- end function ToRoceWorkCompMasterType; + + +end package body RocePkg; diff --git a/ethernet/RoCEv2/rtl/RoceResizeAndSwap.vhd b/ethernet/RoCEv2/rtl/RoceResizeAndSwap.vhd new file mode 100644 index 0000000000..dc36da81a3 --- /dev/null +++ b/ethernet/RoCEv2/rtl/RoceResizeAndSwap.vhd @@ -0,0 +1,355 @@ +------------------------------------------------------------------------------- +-- Company : SLAC National Accelerator Laboratory +------------------------------------------------------------------------------- +-- Description: Modified version of AxiStreamResize.vhd +-- that will be optimized for RoCEv2 in the future +------------------------------------------------------------------------------- +-- This file is part of 'SLAC Firmware Standard Library'. +-- It is subject to the license terms in the LICENSE.txt file found in the +-- top-level directory of this distribution and at: +-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. +-- No part of 'SLAC Firmware Standard Library', including this file, +-- may be copied, modified, propagated, or distributed except according to +-- the terms contained in the LICENSE.txt file. +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use ieee.std_logic_arith.all; + +library surf; +use surf.StdRtlPkg.all; +use surf.AxiStreamPkg.all; + +entity RoceResizeAndSwap is + generic ( + -- General Configurations + TPD_G : time := 1 ns; + RST_ASYNC_G : boolean := false; + READY_EN_G : boolean := true; + PIPE_STAGES_G : natural := 0; + SIDE_BAND_WIDTH_G : positive := 1; -- General purpose sideband + SWAP_ENDIAN_G : boolean := false; + LITTLE_ENDIAN_G : boolean := true; + + -- AXI Stream Port Configurations + SLAVE_AXI_CONFIG_G : AxiStreamConfigType; + MASTER_AXI_CONFIG_G : AxiStreamConfigType); + port ( + + -- Clock and reset + axisClk : in sl; + axisRst : in sl; + + -- Slave Port + sAxisMaster : in AxiStreamMasterType; + sSideBand : in slv(SIDE_BAND_WIDTH_G-1 downto 0) := (others => '0'); + sAxisSlave : out AxiStreamSlaveType; + + -- Master Port + mAxisMaster : out AxiStreamMasterType; + mSideBand : out slv(SIDE_BAND_WIDTH_G-1 downto 0); + mAxisSlave : in AxiStreamSlaveType); +end RoceResizeAndSwap; + +architecture rtl of RoceResizeAndSwap is + + constant SLV_BYTES_C : positive := SLAVE_AXI_CONFIG_G.TDATA_BYTES_C; + constant MST_BYTES_C : positive := MASTER_AXI_CONFIG_G.TDATA_BYTES_C; + + constant SLV_USER_C : positive := ite(SLAVE_AXI_CONFIG_G.TUSER_BITS_C /= 0, SLAVE_AXI_CONFIG_G.TUSER_BITS_C, 1); + constant MST_USER_C : positive := ite(MASTER_AXI_CONFIG_G.TUSER_BITS_C /= 0, MASTER_AXI_CONFIG_G.TUSER_BITS_C, 1); + + constant COUNT_C : positive := ite(SLV_BYTES_C > MST_BYTES_C, SLV_BYTES_C / MST_BYTES_C, MST_BYTES_C / SLV_BYTES_C); + + type RegType is record + count : slv(bitSize(COUNT_C)-1 downto 0); + obMaster : AxiStreamMasterType; + sideBand : slv(SIDE_BAND_WIDTH_G-1 downto 0); + ibSlave : AxiStreamSlaveType; + end record RegType; + + constant REG_INIT_C : RegType := ( + count => (others => '0'), + obMaster => axiStreamMasterInit(MASTER_AXI_CONFIG_G), + sideBand => (others => '0'), + ibSlave => AXI_STREAM_SLAVE_INIT_C + ); + + signal r : RegType := REG_INIT_C; + signal rin : RegType; + + signal pipeAxisMaster : AxiStreamMasterType; + signal pipeSideBand : slv(SIDE_BAND_WIDTH_G-1 downto 0); + signal pipeAxisSlave : AxiStreamSlaveType; + + function ChangeEndian(vec : std_logic_vector) return std_logic_vector is + variable vRet : std_logic_vector(vec'range); + variable j : natural; + constant cNumBytes : natural := vec'length / 8; + begin + for i in 0 to cNumBytes-1 loop + j := cNumBytes-1-i; + vRet(((8*j)+7+vec'low) downto (8*j+vec'low)) := vec(((8*i)+7+vec'low) downto (8*i+vec'low)); + end loop; -- i + return vRet; + end function ChangeEndian; + + function ReverseBits(vec : std_logic_vector) return std_logic_vector is + variable vRet : std_logic_vector(vec'range); + variable j : natural; + constant cNumBits : natural := vec'length; + begin + for i in 0 to cNumBits-1 loop + j := cNumBits-1-i; + vRet(j+vec'low) := vec(i+vec'low); + end loop; -- i + return vRet; + end function ReverseBits; + +begin + + -- Make sure data widths are appropriate. + assert ((SLV_BYTES_C >= MST_BYTES_C and SLV_BYTES_C mod MST_BYTES_C = 0) or + (MST_BYTES_C >= SLV_BYTES_C and MST_BYTES_C mod SLV_BYTES_C = 0)) + report "Data widths must be even number multiples of each other" severity failure; + + -- When going from a large bus to a small bus, ready is necessary + assert (SLV_BYTES_C <= MST_BYTES_C or READY_EN_G = true) + report "READY_EN_G must be true if slave width is great than master" severity failure; + + -- Cant use tkeep_fixed on master side when resizing or if not on slave side + assert (not (MASTER_AXI_CONFIG_G.TKEEP_MODE_C = TKEEP_FIXED_C and + SLAVE_AXI_CONFIG_G.TKEEP_MODE_C /= TKEEP_FIXED_C)) + report "AxiStreamResize: Can't have TKEEP_MODE = TKEEP_FIXED on master side if not on slave side" + severity error; + + comb : process (pipeAxisSlave, r, sAxisMaster, sSideBand) is + variable v : RegType; + variable ibM : AxiStreamMasterType; + variable ibSide : slv(SIDE_BAND_WIDTH_G-1 downto 0); + variable idx : integer; -- index version of counter + variable idx_resize : integer; -- index version of counter + variable byteCnt : integer; -- Number of valid bytes in incoming bus + variable bytes : integer; -- byte version of counter + begin + v := r; + idx := conv_integer(r.count); + idx_resize := COUNT_C - 1 - idx; + bytes := (idx+1) * MST_BYTES_C; + if (SLAVE_AXI_CONFIG_G.TKEEP_MODE_C = TKEEP_COUNT_C) then + byteCnt := conv_integer(sAxisMaster.tKeep(bitSize(SLAVE_AXI_CONFIG_G.TDATA_BYTES_C)-1 downto 0)); + else + byteCnt := getTKeep(sAxisMaster.tKeep, SLAVE_AXI_CONFIG_G); + end if; + + -- Init ready + v.ibSlave.tReady := '0'; + + -- Choose ready source and clear valid + if READY_EN_G = false or pipeAxisSlave.tReady = '1' then + v.obMaster.tValid := '0'; + end if; + + -- Inbound data with normalized user bits (8 user bits) + ibM := sAxisMaster; + ibSide := sSideBand; + ibM.tUser := (others => '0'); + if (SLAVE_AXI_CONFIG_G.TKEEP_MODE_C = TKEEP_COUNT_C) then + ibM.tKeep := genTKeep(byteCnt); + end if; + + -- Check that both master and slave using tUser + if (SLAVE_AXI_CONFIG_G.TUSER_BITS_C /= 0) and + (MASTER_AXI_CONFIG_G.TUSER_BITS_C /= 0) and + (SLAVE_AXI_CONFIG_G.TUSER_MODE_C /= TUSER_NONE_C) and + (MASTER_AXI_CONFIG_G.TUSER_MODE_C /= TUSER_NONE_C) then + -- Loop through the tUser bit field + for i in 0 to AXI_STREAM_MAX_TKEEP_WIDTH_C-1 loop + ibM.tUser((i*8)+(SLV_USER_C-1) downto (i*8)) := sAxisMaster.tUser((i*SLV_USER_C)+(SLV_USER_C-1) downto (i*SLV_USER_C)); + end loop; + end if; + + -- Pipeline advance + if v.obMaster.tValid = '0' then + + -- Increasing size + if MST_BYTES_C > SLV_BYTES_C then + v.ibSlave.tReady := '1'; + + -- init when count = 0 + if (r.count = 0) then + v.obMaster := axiStreamMasterInit(MASTER_AXI_CONFIG_G); + v.obMaster.tKeep := (others => '0'); + v.obMaster.tStrb := (others => '0'); + end if; + + if SWAP_ENDIAN_G then + if LITTLE_ENDIAN_G then + v.obMaster.tData((SLV_BYTES_C*8*idx)+((SLV_BYTES_C*8)-1) downto (SLV_BYTES_C*8*idx)) := ChangeEndian(ibM.tData((SLV_BYTES_C*8)-1 downto 0)); + v.obMaster.tKeep((SLV_BYTES_C*idx)+(SLV_BYTES_C-1) downto (SLV_BYTES_C*idx)) := ReverseBits(ibM.tKeep(SLV_BYTES_C-1 downto 0)); + else + v.obMaster.tData((SLV_BYTES_C*8*idx_resize)+((SLV_BYTES_C*8)-1) downto (SLV_BYTES_C*8*idx_resize)) := ChangeEndian(ibM.tData((SLV_BYTES_C*8)-1 downto 0)); + v.obMaster.tKeep((SLV_BYTES_C*idx_resize)+(SLV_BYTES_C-1) downto (SLV_BYTES_C*idx_resize)) := ReverseBits(ibM.tKeep(SLV_BYTES_C-1 downto 0)); + end if; + else + if LITTLE_ENDIAN_G then + v.obMaster.tData((SLV_BYTES_C*8*idx)+((SLV_BYTES_C*8)-1) downto (SLV_BYTES_C*8*idx)) := ibM.tData((SLV_BYTES_C*8)-1 downto 0); + v.obMaster.tKeep((SLV_BYTES_C*idx)+(SLV_BYTES_C-1) downto (SLV_BYTES_C*idx)) := ibM.tKeep(SLV_BYTES_C-1 downto 0); + else + v.obMaster.tData((SLV_BYTES_C*8*idx_resize)+((SLV_BYTES_C*8)-1) downto (SLV_BYTES_C*8*idx_resize)) := ibM.tData((SLV_BYTES_C*8)-1 downto 0); + v.obMaster.tKeep((SLV_BYTES_C*idx_resize)+(SLV_BYTES_C-1) downto (SLV_BYTES_C*idx_resize)) := ibM.tKeep(SLV_BYTES_C-1 downto 0); + end if; + end if; + v.obMaster.tUser((SLV_BYTES_C*8*idx)+((SLV_BYTES_C*8)-1) downto (SLV_BYTES_C*8*idx)) := ibM.tUser((SLV_BYTES_C*8)-1 downto 0); + v.obMaster.tStrb((SLV_BYTES_C*idx)+(SLV_BYTES_C-1) downto (SLV_BYTES_C*idx)) := ibM.tStrb(SLV_BYTES_C-1 downto 0); + + v.obMaster.tId := ibM.tId; + v.obMaster.tDest := ibM.tDest; + v.obMaster.tLast := ibM.tLast; + v.sideBand := ibSide; + + -- Determine if we move data + if ibM.tValid = '1' then + if r.count = (COUNT_C-1) or ibM.tLast = '1' then + v.obMaster.tValid := '1'; + v.count := (others => '0'); + else + v.count := r.count + 1; + end if; + end if; + + -- Decreasing size + else + + v.obMaster := axiStreamMasterInit(MASTER_AXI_CONFIG_G); + + if SWAP_ENDIAN_G then + if LITTLE_ENDIAN_G then + v.obMaster.tData((MST_BYTES_C*8)-1 downto 0) := ChangeEndian(ibM.tData((MST_BYTES_C*8*idx)+((MST_BYTES_C*8)-1) downto (MST_BYTES_C*8*idx))); + v.obMaster.tKeep(MST_BYTES_C-1 downto 0) := ReverseBits(ibM.tKeep((MST_BYTES_C*idx)+(MST_BYTES_C-1) downto (MST_BYTES_C*idx))); + else + v.obMaster.tData((MST_BYTES_C*8)-1 downto 0) := ChangeEndian(ibM.tData((MST_BYTES_C*8*idx_resize)+((MST_BYTES_C*8)-1) downto (MST_BYTES_C*8*idx_resize))); + v.obMaster.tKeep(MST_BYTES_C-1 downto 0) := ReverseBits(ibM.tKeep((MST_BYTES_C*idx_resize)+(MST_BYTES_C-1) downto (MST_BYTES_C*idx_resize))); + end if; + else + if LITTLE_ENDIAN_G then + v.obMaster.tData((MST_BYTES_C*8)-1 downto 0) := ibM.tData((MST_BYTES_C*8*idx)+((MST_BYTES_C*8)-1) downto (MST_BYTES_C*8*idx)); + v.obMaster.tKeep(MST_BYTES_C-1 downto 0) := ibM.tKeep((MST_BYTES_C*idx)+(MST_BYTES_C-1) downto (MST_BYTES_C*idx)); + else + v.obMaster.tData((MST_BYTES_C*8)-1 downto 0) := ibM.tData((MST_BYTES_C*8*idx_resize)+((MST_BYTES_C*8)-1) downto (MST_BYTES_C*8*idx_resize)); + v.obMaster.tKeep(MST_BYTES_C-1 downto 0) := ibM.tKeep((MST_BYTES_C*idx_resize)+(MST_BYTES_C-1) downto (MST_BYTES_C*idx_resize)); + end if; + end if; + v.obMaster.tUser((MST_BYTES_C*8)-1 downto 0) := ibM.tUser((MST_BYTES_C*8*idx)+((MST_BYTES_C*8)-1) downto (MST_BYTES_C*8*idx)); + v.obMaster.tStrb(MST_BYTES_C-1 downto 0) := ibM.tStrb((MST_BYTES_C*idx)+(MST_BYTES_C-1) downto (MST_BYTES_C*idx)); + + v.obMaster.tId := ibM.tId; + v.obMaster.tDest := ibM.tDest; + v.sideBand := ibSide; + + -- Determine if we move data + if ibM.tValid = '1' then + if (r.count = (COUNT_C-1)) or ((bytes >= byteCnt) and (ibM.tLast = '1')) then + v.count := (others => '0'); + v.ibSlave.tReady := '1'; + v.obMaster.tLast := ibM.tLast; + else + v.count := r.count + 1; + v.ibSlave.tReady := '0'; + v.obMaster.tLast := '0'; + end if; + end if; + + -- Drop transfers with no tKeep bits set, except on tLast + v.obMaster.tValid := ibM.tValid and (uOr(v.obMaster.tKeep(COUNT_C-1 downto 0)) or v.obMaster.tLast); + + end if; + end if; + + -- Resize disabled + if SLV_BYTES_C = MST_BYTES_C then + sAxisSlave <= pipeAxisSlave; + pipeAxisMaster <= sAxisMaster; + pipeSideBand <= sSideBand; + + -- Check for TKEEP_COUNT_C mode on either side + if (SLAVE_AXI_CONFIG_G.TKEEP_MODE_C = TKEEP_COUNT_C) or (MASTER_AXI_CONFIG_G.TKEEP_MODE_C = TKEEP_COUNT_C) then + + -- Check for TKEEP_COUNT_C mode on slave side only + if (SLAVE_AXI_CONFIG_G.TKEEP_MODE_C = TKEEP_COUNT_C) and (MASTER_AXI_CONFIG_G.TKEEP_MODE_C /= TKEEP_COUNT_C) then + pipeAxisMaster.tkeep <= genTKeep(conv_integer(sAxisMaster.tkeep(bitSize(SLAVE_AXI_CONFIG_G.TDATA_BYTES_C)-1 downto 0))); + + -- Check for TKEEP_COUNT_C mode on master side only + elsif (SLAVE_AXI_CONFIG_G.TKEEP_MODE_C /= TKEEP_COUNT_C) and (MASTER_AXI_CONFIG_G.TKEEP_MODE_C = TKEEP_COUNT_C) then + pipeAxisMaster.tkeep <= toSlv(getTKeep(sAxisMaster.tKeep, SLAVE_AXI_CONFIG_G), AXI_STREAM_MAX_TKEEP_WIDTH_C); + + -- Else both sides are TKEEP_COUNT_C mode + else + null; + end if; + end if; + + -- Outbound data with proper user bits + pipeAxisMaster.tUser <= (others => '0'); + for i in 0 to AXI_STREAM_MAX_TKEEP_WIDTH_C-1 loop + if (SLV_USER_C > MST_USER_C) then + pipeAxisMaster.tUser((i*MST_USER_C)+(MST_USER_C-1) downto (i*MST_USER_C)) <= ibM.tUser((i*8)+(MST_USER_C-1) downto (i*8)); + else + pipeAxisMaster.tUser((i*MST_USER_C)+(SLV_USER_C-1) downto (i*MST_USER_C)) <= ibM.tUser((i*8)+(SLV_USER_C-1) downto (i*8)); + end if; + end loop; + + else + sAxisSlave <= v.ibSlave; + + -- Outbound data with proper user bits + pipeAxisMaster <= r.obMaster; + pipeSideBand <= r.sideBand; + pipeAxisMaster.tUser <= (others => '0'); + if (MASTER_AXI_CONFIG_G.TKEEP_MODE_C = TKEEP_COUNT_C) then + pipeAxisMaster.tKeep <= toSlv(getTKeep(r.obMaster.tKeep, MASTER_AXI_CONFIG_G), AXI_STREAM_MAX_TKEEP_WIDTH_C); + end if; + + for i in 0 to AXI_STREAM_MAX_TKEEP_WIDTH_C-1 loop + pipeAxisMaster.tUser((i*MST_USER_C)+(MST_USER_C-1) downto (i*MST_USER_C)) <= r.obMaster.tUser((i*8)+(MST_USER_C-1) downto (i*8)); + end loop; + end if; + + rin <= v; + + end process comb; + + seq : process (axisClk, axisRst) is + begin + if (RST_ASYNC_G) and (axisRst = '1' or (SLV_BYTES_C = MST_BYTES_C)) then + r <= REG_INIT_C after TPD_G; + elsif (rising_edge(axisClk)) then + if (RST_ASYNC_G = false) and (axisRst = '1' or (SLV_BYTES_C = MST_BYTES_C)) then + r <= REG_INIT_C after TPD_G; + else + r <= rin after TPD_G; + end if; + end if; + end process seq; + + -- Optional output pipeline registers to ease timing + AxiStreamPipeline_1 : entity surf.AxiStreamPipeline + generic map ( + TPD_G => TPD_G, + RST_ASYNC_G => RST_ASYNC_G, + SIDE_BAND_WIDTH_G => SIDE_BAND_WIDTH_G, + PIPE_STAGES_G => PIPE_STAGES_G) + port map ( + axisClk => axisClk, + axisRst => axisRst, + sAxisMaster => pipeAxisMaster, + sSideBand => pipeSideBand, + sAxisSlave => pipeAxisSlave, + mAxisMaster => mAxisMaster, + mSideBand => mSideBand, + mAxisSlave => mAxisSlave); + +end rtl; + diff --git a/ethernet/RoCEv2/ruckus.tcl b/ethernet/RoCEv2/ruckus.tcl new file mode 100644 index 0000000000..174b123244 --- /dev/null +++ b/ethernet/RoCEv2/ruckus.tcl @@ -0,0 +1,13 @@ +# Load RUCKUS library +source $::env(RUCKUS_PROC_TCL) + +# Load Source Code +loadSource -lib surf -dir "$::DIR_PATH/rtl" +loadSource -lib surf -dir "$::DIR_PATH/blue-crc" +loadSource -lib surf -dir "$::DIR_PATH/blue-rdma" +loadSource -lib surf -dir "$::DIR_PATH/blue-lib" + +# Load mem files +for {set i 0} {$i <= 35} {incr i} { + add_files -norecurse "$::DIR_PATH/blue-crc/tab/crc_tab_$i.mem" +} diff --git a/ethernet/TenGigEthCore/gth7/rtl/TenGigEthGth7.vhd b/ethernet/TenGigEthCore/gth7/rtl/TenGigEthGth7.vhd index 3b3b2ebb1e..6d9a093879 100644 --- a/ethernet/TenGigEthCore/gth7/rtl/TenGigEthGth7.vhd +++ b/ethernet/TenGigEthCore/gth7/rtl/TenGigEthGth7.vhd @@ -28,6 +28,7 @@ entity TenGigEthGth7 is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -211,6 +212,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, PHY_TYPE_G => "XGMII", PRIM_CONFIG_G => AXIS_CONFIG_G) port map ( diff --git a/ethernet/TenGigEthCore/gth7/rtl/TenGigEthGth7Wrapper.vhd b/ethernet/TenGigEthCore/gth7/rtl/TenGigEthGth7Wrapper.vhd index 6e00f4454d..4b4169d1b9 100644 --- a/ethernet/TenGigEthCore/gth7/rtl/TenGigEthGth7Wrapper.vhd +++ b/ethernet/TenGigEthCore/gth7/rtl/TenGigEthGth7Wrapper.vhd @@ -30,6 +30,7 @@ entity TenGigEthGth7Wrapper is NUM_LANE_G : natural range 1 to 4 := 1; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- QUAD PLL Configurations USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk REFCLK_DIV2_G : boolean := false; -- FALSE: gtClkP/N = 156.25 MHz, TRUE: gtClkP/N = 312.5 MHz @@ -129,6 +130,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/TenGigEthCore/gthUltraScale+/rtl/TenGigEthGthUltraScale.vhd b/ethernet/TenGigEthCore/gthUltraScale+/rtl/TenGigEthGthUltraScale.vhd index 4a5e2b891d..36f363973b 100644 --- a/ethernet/TenGigEthCore/gthUltraScale+/rtl/TenGigEthGthUltraScale.vhd +++ b/ethernet/TenGigEthCore/gthUltraScale+/rtl/TenGigEthGthUltraScale.vhd @@ -27,6 +27,7 @@ entity TenGigEthGthUltraScale is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -237,6 +238,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, FIFO_ADDR_WIDTH_G => 12, -- single 4K UltraRAM SYNTH_MODE_G => "xpm", MEMORY_TYPE_G => "ultra", diff --git a/ethernet/TenGigEthCore/gthUltraScale+/rtl/TenGigEthGthUltraScaleWrapper.vhd b/ethernet/TenGigEthCore/gthUltraScale+/rtl/TenGigEthGthUltraScaleWrapper.vhd index 33945f6105..935d3e73c1 100644 --- a/ethernet/TenGigEthCore/gthUltraScale+/rtl/TenGigEthGthUltraScaleWrapper.vhd +++ b/ethernet/TenGigEthCore/gthUltraScale+/rtl/TenGigEthGthUltraScaleWrapper.vhd @@ -30,6 +30,7 @@ entity TenGigEthGthUltraScaleWrapper is NUM_LANE_G : natural range 1 to 4 := 1; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- QUAD PLL Configurations EXT_REF_G : boolean := false; QPLL_REFCLK_SEL_G : slv(2 downto 0) := "001"; @@ -146,6 +147,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/TenGigEthCore/gthUltraScale/rtl/TenGigEthGthUltraScale.vhd b/ethernet/TenGigEthCore/gthUltraScale/rtl/TenGigEthGthUltraScale.vhd index 3eab811909..fc00a440a4 100644 --- a/ethernet/TenGigEthCore/gthUltraScale/rtl/TenGigEthGthUltraScale.vhd +++ b/ethernet/TenGigEthCore/gthUltraScale/rtl/TenGigEthGthUltraScale.vhd @@ -28,6 +28,7 @@ entity TenGigEthGthUltraScale is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -257,6 +258,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, PHY_TYPE_G => "XGMII", PRIM_CONFIG_G => AXIS_CONFIG_G) port map ( diff --git a/ethernet/TenGigEthCore/gthUltraScale/rtl/TenGigEthGthUltraScaleWrapper.vhd b/ethernet/TenGigEthCore/gthUltraScale/rtl/TenGigEthGthUltraScaleWrapper.vhd index 3c1f7f72f5..435a58b67d 100644 --- a/ethernet/TenGigEthCore/gthUltraScale/rtl/TenGigEthGthUltraScaleWrapper.vhd +++ b/ethernet/TenGigEthCore/gthUltraScale/rtl/TenGigEthGthUltraScaleWrapper.vhd @@ -30,6 +30,7 @@ entity TenGigEthGthUltraScaleWrapper is NUM_LANE_G : natural range 1 to 4 := 1; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- QUAD PLL Configurations EXT_REF_G : boolean := false; QPLL_REFCLK_SEL_G : slv(2 downto 0) := "001"; @@ -149,6 +150,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/TenGigEthCore/gtx7/rtl/TenGigEthGtx7.vhd b/ethernet/TenGigEthCore/gtx7/rtl/TenGigEthGtx7.vhd index d21ee53c66..16ed640eca 100644 --- a/ethernet/TenGigEthCore/gtx7/rtl/TenGigEthGtx7.vhd +++ b/ethernet/TenGigEthCore/gtx7/rtl/TenGigEthGtx7.vhd @@ -28,6 +28,7 @@ entity TenGigEthGtx7 is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -237,6 +238,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, PHY_TYPE_G => "XGMII", PRIM_CONFIG_G => AXIS_CONFIG_G) port map ( diff --git a/ethernet/TenGigEthCore/gtx7/rtl/TenGigEthGtx7Wrapper.vhd b/ethernet/TenGigEthCore/gtx7/rtl/TenGigEthGtx7Wrapper.vhd index afe0f8903b..d3613c332e 100644 --- a/ethernet/TenGigEthCore/gtx7/rtl/TenGigEthGtx7Wrapper.vhd +++ b/ethernet/TenGigEthCore/gtx7/rtl/TenGigEthGtx7Wrapper.vhd @@ -30,6 +30,7 @@ entity TenGigEthGtx7Wrapper is NUM_LANE_G : natural range 1 to 4 := 1; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- QUAD PLL Configurations USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk REFCLK_DIV2_G : boolean := false; -- FALSE: gtClkP/N = 156.25 MHz, TRUE: gtClkP/N = 312.5 MHz @@ -137,6 +138,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/TenGigEthCore/gtyUltraScale+/rtl/TenGigEthGtyUltraScale.vhd b/ethernet/TenGigEthCore/gtyUltraScale+/rtl/TenGigEthGtyUltraScale.vhd index dc8ebe3697..6e21678b2e 100644 --- a/ethernet/TenGigEthCore/gtyUltraScale+/rtl/TenGigEthGtyUltraScale.vhd +++ b/ethernet/TenGigEthCore/gtyUltraScale+/rtl/TenGigEthGtyUltraScale.vhd @@ -27,6 +27,7 @@ entity TenGigEthGtyUltraScale is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -237,6 +238,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, FIFO_ADDR_WIDTH_G => 12, -- single 4K UltraRAM SYNTH_MODE_G => "xpm", MEMORY_TYPE_G => "ultra", diff --git a/ethernet/TenGigEthCore/gtyUltraScale+/rtl/TenGigEthGtyUltraScaleWrapper.vhd b/ethernet/TenGigEthCore/gtyUltraScale+/rtl/TenGigEthGtyUltraScaleWrapper.vhd index 948d3e528d..09a3a33468 100644 --- a/ethernet/TenGigEthCore/gtyUltraScale+/rtl/TenGigEthGtyUltraScaleWrapper.vhd +++ b/ethernet/TenGigEthCore/gtyUltraScale+/rtl/TenGigEthGtyUltraScaleWrapper.vhd @@ -31,6 +31,7 @@ entity TenGigEthGtyUltraScaleWrapper is NUM_LANE_G : natural range 1 to 4 := 1; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- QUAD PLL Configurations QPLL_REFCLK_SEL_G : slv(2 downto 0) := "001"; -- AXI-Lite Configurations @@ -143,6 +144,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/UdpEngine/rtl/UdpEngineWrapper.vhd b/ethernet/UdpEngine/rtl/UdpEngineWrapper.vhd index 7763736090..d3d346563a 100644 --- a/ethernet/UdpEngine/rtl/UdpEngineWrapper.vhd +++ b/ethernet/UdpEngine/rtl/UdpEngineWrapper.vhd @@ -133,7 +133,8 @@ begin CLIENT_SIZE_G => CLIENT_SIZE_G, CLK_FREQ_G => CLK_FREQ_G, IGMP_G => IGMP_G, - IGMP_GRP_SIZE => IGMP_GRP_SIZE) + IGMP_GRP_SIZE => IGMP_GRP_SIZE, + TTL_G => TTL_G) port map ( -- Local Configurations localMac => localMac, diff --git a/ethernet/XauiCore/gth7/rtl/XauiGth7.vhd b/ethernet/XauiCore/gth7/rtl/XauiGth7.vhd index 13e17834c0..6ad3f04689 100644 --- a/ethernet/XauiCore/gth7/rtl/XauiGth7.vhd +++ b/ethernet/XauiCore/gth7/rtl/XauiGth7.vhd @@ -28,6 +28,7 @@ entity XauiGth7 is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -95,6 +96,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, PHY_TYPE_G => "XGMII", PRIM_CONFIG_G => AXIS_CONFIG_G) port map ( diff --git a/ethernet/XauiCore/gth7/rtl/XauiGth7Wrapper.vhd b/ethernet/XauiCore/gth7/rtl/XauiGth7Wrapper.vhd index 53fd5fc385..f04d64c72a 100644 --- a/ethernet/XauiCore/gth7/rtl/XauiGth7Wrapper.vhd +++ b/ethernet/XauiCore/gth7/rtl/XauiGth7Wrapper.vhd @@ -31,6 +31,7 @@ entity XauiGth7Wrapper is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- QUAD PLL Configurations USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk REFCLK_DIV2_G : boolean := false; -- FALSE: gtClkP/N = 156.25 MHz, TRUE: gtClkP/N = 312.5 MHz @@ -98,6 +99,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/XauiCore/gthUltraScale+/rtl/XauiGthUltraScale.vhd b/ethernet/XauiCore/gthUltraScale+/rtl/XauiGthUltraScale.vhd index a5ea828b06..93ba5b5386 100644 --- a/ethernet/XauiCore/gthUltraScale+/rtl/XauiGthUltraScale.vhd +++ b/ethernet/XauiCore/gthUltraScale+/rtl/XauiGthUltraScale.vhd @@ -30,6 +30,7 @@ entity XauiGthUltraScale is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- XAUI Configurations REF_CLK_FREQ_G : real := 156.25E+6; -- Support 156.25MHz or 312.5MHz -- AXI-Lite Configurations @@ -205,6 +206,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, FIFO_ADDR_WIDTH_G => 12, -- single 4K UltraRAM SYNTH_MODE_G => "xpm", MEMORY_TYPE_G => "ultra", diff --git a/ethernet/XauiCore/gthUltraScale+/rtl/XauiGthUltraScaleWrapper.vhd b/ethernet/XauiCore/gthUltraScale+/rtl/XauiGthUltraScaleWrapper.vhd index 8e984b8284..4968a9d014 100644 --- a/ethernet/XauiCore/gthUltraScale+/rtl/XauiGthUltraScaleWrapper.vhd +++ b/ethernet/XauiCore/gthUltraScale+/rtl/XauiGthUltraScaleWrapper.vhd @@ -31,6 +31,7 @@ entity XauiGthUltraScaleWrapper is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; EN_WDT_G : boolean := false; EXT_REF_G : boolean := false; STABLE_CLK_FREQ_G : real := 156.25E+6; -- Support 156.25MHz or 312.5MHz @@ -142,6 +143,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/XauiCore/gthUltraScale/rtl/XauiGthUltraScale.vhd b/ethernet/XauiCore/gthUltraScale/rtl/XauiGthUltraScale.vhd index 7e1e90cdec..0492da303f 100644 --- a/ethernet/XauiCore/gthUltraScale/rtl/XauiGthUltraScale.vhd +++ b/ethernet/XauiCore/gthUltraScale/rtl/XauiGthUltraScale.vhd @@ -31,6 +31,7 @@ entity XauiGthUltraScale is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -198,6 +199,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, PHY_TYPE_G => "XGMII", PRIM_CONFIG_G => AXIS_CONFIG_G) port map ( diff --git a/ethernet/XauiCore/gthUltraScale/rtl/XauiGthUltraScaleWrapper.vhd b/ethernet/XauiCore/gthUltraScale/rtl/XauiGthUltraScaleWrapper.vhd index a560bdf56c..5d04c70a57 100644 --- a/ethernet/XauiCore/gthUltraScale/rtl/XauiGthUltraScaleWrapper.vhd +++ b/ethernet/XauiCore/gthUltraScale/rtl/XauiGthUltraScaleWrapper.vhd @@ -31,6 +31,7 @@ entity XauiGthUltraScaleWrapper is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; EN_WDT_G : boolean := false; EXT_REF_G : boolean := false; STABLE_CLK_FREQ_G : real := 156.25E+6; -- Support 156.25MHz or 312.5MHz @@ -142,6 +143,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/XauiCore/gtx7/rtl/XauiGtx7.vhd b/ethernet/XauiCore/gtx7/rtl/XauiGtx7.vhd index 0ff5e63c0e..405035dd81 100644 --- a/ethernet/XauiCore/gtx7/rtl/XauiGtx7.vhd +++ b/ethernet/XauiCore/gtx7/rtl/XauiGtx7.vhd @@ -28,6 +28,7 @@ entity XauiGtx7 is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -95,6 +96,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, PHY_TYPE_G => "XGMII", PRIM_CONFIG_G => AXIS_CONFIG_G) port map ( diff --git a/ethernet/XauiCore/gtx7/rtl/XauiGtx7Wrapper.vhd b/ethernet/XauiCore/gtx7/rtl/XauiGtx7Wrapper.vhd index f7ffdd41cf..cbca797ad3 100644 --- a/ethernet/XauiCore/gtx7/rtl/XauiGtx7Wrapper.vhd +++ b/ethernet/XauiCore/gtx7/rtl/XauiGtx7Wrapper.vhd @@ -31,6 +31,7 @@ entity XauiGtx7Wrapper is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- QUAD PLL Configurations USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk REFCLK_DIV2_G : boolean := false; -- FALSE: gtClkP/N = 156.25 MHz, TRUE: gtClkP/N = 312.5 MHz @@ -98,6 +99,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/XauiCore/gtyUltraScale+/rtl/XauiGtyUltraScale.vhd b/ethernet/XauiCore/gtyUltraScale+/rtl/XauiGtyUltraScale.vhd index aa62e3f26e..80f89319b1 100644 --- a/ethernet/XauiCore/gtyUltraScale+/rtl/XauiGtyUltraScale.vhd +++ b/ethernet/XauiCore/gtyUltraScale+/rtl/XauiGtyUltraScale.vhd @@ -30,6 +30,7 @@ entity XauiGtyUltraScale is TPD_G : time := 1 ns; JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- XAUI Configurations REF_CLK_FREQ_G : real := 156.25E+6; -- Support 156.25MHz or 312.5MHz -- AXI-Lite Configurations @@ -205,6 +206,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, FIFO_ADDR_WIDTH_G => 12, -- single 4K UltraRAM SYNTH_MODE_G => "xpm", MEMORY_TYPE_G => "ultra", diff --git a/ethernet/XauiCore/gtyUltraScale+/rtl/XauiGtyUltraScaleWrapper.vhd b/ethernet/XauiCore/gtyUltraScale+/rtl/XauiGtyUltraScaleWrapper.vhd index 4100ce704d..7d46424337 100644 --- a/ethernet/XauiCore/gtyUltraScale+/rtl/XauiGtyUltraScaleWrapper.vhd +++ b/ethernet/XauiCore/gtyUltraScale+/rtl/XauiGtyUltraScaleWrapper.vhd @@ -33,6 +33,7 @@ entity XauiGtyUltraScaleWrapper is STABLE_CLK_FREQ_G : real := 156.25E+6; -- Support 156.25MHz or 312.5MHz JUMBO_G : boolean := true; PAUSE_EN_G : boolean := true; + ROCEV2_EN_G : boolean := false; -- AXI-Lite Configurations EN_AXI_REG_G : boolean := false; -- AXI Streaming Configurations @@ -137,6 +138,7 @@ begin TPD_G => TPD_G, JUMBO_G => JUMBO_G, PAUSE_EN_G => PAUSE_EN_G, + ROCEV2_EN_G => ROCEV2_EN_G, -- AXI-Lite Configurations EN_AXI_REG_G => EN_AXI_REG_G, -- AXI Streaming Configurations diff --git a/ethernet/ruckus.tcl b/ethernet/ruckus.tcl index 6c849b376c..f9d0ea94d0 100644 --- a/ethernet/ruckus.tcl +++ b/ethernet/ruckus.tcl @@ -13,4 +13,5 @@ if { $::env(VIVADO_VERSION) > 0.0} { loadRuckusTcl "$::DIR_PATH/XauiCore" loadRuckusTcl "$::DIR_PATH/XlauiCore" loadRuckusTcl "$::DIR_PATH/Caui4Core" -} \ No newline at end of file + loadRuckusTcl "$::DIR_PATH/RoCEv2" +} diff --git a/protocols/coaxpress/core/rtl/CoaXPressAxiL.vhd b/protocols/coaxpress/core/rtl/CoaXPressAxiL.vhd index 50763d51b1..a0fa595e43 100644 --- a/protocols/coaxpress/core/rtl/CoaXPressAxiL.vhd +++ b/protocols/coaxpress/core/rtl/CoaXPressAxiL.vhd @@ -30,7 +30,7 @@ entity CoaXPressAxiL is TPD_G : time := 1 ns; NUM_LANES_G : positive := 1; STATUS_CNT_WIDTH_G : positive range 1 to 32 := 12; - RX_FSM_CNT_WIDTH_C : positive range 1 to 24 := 16; -- Optimize this down w.r.t camera to help make timing in CoaXPressRxHsFsm.vhd + RX_FSM_CNT_WIDTH_G : positive range 1 to 24 := 16; -- Optimize this down w.r.t camera to help make timing in CoaXPressRxHsFsm.vhd AXIL_CLK_FREQ_G : real := 156.25E+6; -- axilClk frequency (units of Hz) AXIS_CLK_FREQ_G : real := 156.25E+6; -- dataClk frequency (units of Hz) AXIS_CONFIG_G : AxiStreamConfigType); @@ -212,7 +212,7 @@ begin axiSlaveRegisterR(axilEp, x"FE0", 0, toSlv(NUM_LANES_G, 8)); axiSlaveRegisterR(axilEp, x"FE0", 8, toSlv(STATUS_CNT_WIDTH_G, 8)); - axiSlaveRegisterR(axilEp, x"FE0", 16, toSlv(RX_FSM_CNT_WIDTH_C, 8)); + axiSlaveRegisterR(axilEp, x"FE0", 16, toSlv(RX_FSM_CNT_WIDTH_G, 8)); axiSlaveRegister (axilEp, X"FE8", 0, v.rxFsmRst); axiSlaveRegister (axilEp, X"FEC", 0, v.txPulseWidth); diff --git a/protocols/coaxpress/core/rtl/CoaXPressCore.vhd b/protocols/coaxpress/core/rtl/CoaXPressCore.vhd index 1305a804cf..97509cce0d 100644 --- a/protocols/coaxpress/core/rtl/CoaXPressCore.vhd +++ b/protocols/coaxpress/core/rtl/CoaXPressCore.vhd @@ -28,7 +28,7 @@ entity CoaXPressCore is TPD_G : time := 1 ns; NUM_LANES_G : positive range 1 to 8 := 1; STATUS_CNT_WIDTH_G : positive range 1 to 32 := 12; - RX_FSM_CNT_WIDTH_C : positive range 1 to 24 := 16; -- Optimize this down w.r.t camera to help make timing in CoaXPressRxHsFsm.vhd + RX_FSM_CNT_WIDTH_G : positive range 1 to 24 := 16; -- Optimize this down w.r.t camera to help make timing in CoaXPressRxHsFsm.vhd AXIL_CLK_FREQ_G : real := 156.25E+6; -- axilClk frequency (units of Hz) AXIS_CLK_FREQ_G : real := 156.25E+6; -- dataClk frequency (units of Hz) DATA_AXIS_CONFIG_G : AxiStreamConfigType; @@ -162,7 +162,7 @@ begin generic map ( TPD_G => TPD_G, NUM_LANES_G => NUM_LANES_G, - RX_FSM_CNT_WIDTH_C => RX_FSM_CNT_WIDTH_C, + RX_FSM_CNT_WIDTH_G => RX_FSM_CNT_WIDTH_G, AXIS_CONFIG_G => DATA_AXIS_CONFIG_G) port map ( -- Data Interface (dataClk domain) @@ -199,7 +199,7 @@ begin TPD_G => TPD_G, NUM_LANES_G => NUM_LANES_G, STATUS_CNT_WIDTH_G => STATUS_CNT_WIDTH_G, - RX_FSM_CNT_WIDTH_C => RX_FSM_CNT_WIDTH_C, + RX_FSM_CNT_WIDTH_G => RX_FSM_CNT_WIDTH_G, AXIL_CLK_FREQ_G => AXIL_CLK_FREQ_G, AXIS_CLK_FREQ_G => AXIS_CLK_FREQ_G, AXIS_CONFIG_G => DATA_AXIS_CONFIG_G) diff --git a/protocols/coaxpress/core/rtl/CoaXPressRx.vhd b/protocols/coaxpress/core/rtl/CoaXPressRx.vhd index d1537271a0..62371849bd 100644 --- a/protocols/coaxpress/core/rtl/CoaXPressRx.vhd +++ b/protocols/coaxpress/core/rtl/CoaXPressRx.vhd @@ -29,7 +29,7 @@ entity CoaXPressRx is generic ( TPD_G : time := 1 ns; NUM_LANES_G : positive := 1; - RX_FSM_CNT_WIDTH_C : positive range 1 to 24 := 16; -- Optimize this down w.r.t camera to help make timing in CoaXPressRxHsFsm.vhd + RX_FSM_CNT_WIDTH_G : positive range 1 to 24 := 16; -- Optimize this down w.r.t camera to help make timing in CoaXPressRxHsFsm.vhd AXIS_CONFIG_G : AxiStreamConfigType); port ( -- Data Interface (dataClk domain) @@ -180,7 +180,7 @@ begin U_Fsm : entity surf.CoaXPressRxHsFsm generic map ( TPD_G => TPD_G, - RX_FSM_CNT_WIDTH_C => RX_FSM_CNT_WIDTH_C, + RX_FSM_CNT_WIDTH_G => RX_FSM_CNT_WIDTH_G, NUM_LANES_G => NUM_LANES_G) port map ( -- Clock and Reset diff --git a/protocols/coaxpress/core/rtl/CoaXPressRxHsFsm.vhd b/protocols/coaxpress/core/rtl/CoaXPressRxHsFsm.vhd index 06b55738b5..ee862dd7cb 100644 --- a/protocols/coaxpress/core/rtl/CoaXPressRxHsFsm.vhd +++ b/protocols/coaxpress/core/rtl/CoaXPressRxHsFsm.vhd @@ -28,7 +28,7 @@ use surf.CoaXPressPkg.all; entity CoaXPressRxHsFsm is generic ( TPD_G : time := 1 ns; - RX_FSM_CNT_WIDTH_C : positive range 1 to 24 := 16; -- Optimize this down w.r.t camera to help make timing in CoaXPressRxHsFsm.vhd + RX_FSM_CNT_WIDTH_G : positive range 1 to 24 := 16; -- Optimize this down w.r.t camera to help make timing in CoaXPressRxHsFsm.vhd NUM_LANES_G : positive := 1); port ( -- Clock and Reset @@ -93,8 +93,8 @@ architecture rtl of CoaXPressRxHsFsm is type RegType is record endOfLine : sl; - yCnt : slv(RX_FSM_CNT_WIDTH_C-1 downto 0); - dCnt : slv(RX_FSM_CNT_WIDTH_C-1 downto 0); + yCnt : slv(RX_FSM_CNT_WIDTH_G-1 downto 0); + dCnt : slv(RX_FSM_CNT_WIDTH_G-1 downto 0); hdrCnt : natural range 0 to 25; hdr : ImageHdrType; dbg : DebugType; @@ -194,7 +194,7 @@ begin v.yCnt := (others => '0'); -- Check for out of sync header - if (r.yCnt /= r.hdr.ySize(RX_FSM_CNT_WIDTH_C-1 downto 0)) then + if (r.yCnt /= r.hdr.ySize(RX_FSM_CNT_WIDTH_G-1 downto 0)) then -- Set the flag v.dbg.errDet := '1'; end if; @@ -273,7 +273,7 @@ begin v.dCnt := v.dCnt + 1; -- Check for max count - if (v.dCnt = r.hdr.dsizeL(RX_FSM_CNT_WIDTH_C-1 downto 0)) then + if (v.dCnt = r.hdr.dsizeL(RX_FSM_CNT_WIDTH_G-1 downto 0)) then -- Set the "end of line" flag v.endOfLine := '1'; @@ -350,7 +350,7 @@ begin v.yCnt := v.yCnt + 1; -- Check for max count - if (v.yCnt = r.hdr.ySize(RX_FSM_CNT_WIDTH_C-1 downto 0)) then + if (v.yCnt = r.hdr.ySize(RX_FSM_CNT_WIDTH_G-1 downto 0)) then -- Terminate the frame v.dataMasters(1).tLast := '1'; end if; diff --git a/protocols/coaxpress/gthUs/rtl/CoaxpressOverFiberGthUs.vhd b/protocols/coaxpress/gthUs/rtl/CoaxpressOverFiberGthUs.vhd index f4a9d0fd8f..21ad629254 100644 --- a/protocols/coaxpress/gthUs/rtl/CoaxpressOverFiberGthUs.vhd +++ b/protocols/coaxpress/gthUs/rtl/CoaxpressOverFiberGthUs.vhd @@ -30,7 +30,7 @@ entity CoaxpressOverFiberGthUs is TPD_G : time := 1 ns; NUM_LANES_G : positive := 1; STATUS_CNT_WIDTH_G : positive range 1 to 32 := 12; - RX_FSM_CNT_WIDTH_C : positive range 1 to 24 := 16; -- Optimize this down w.r.t camera to help make timing in CoaXPressRxHsFsm.vhd + RX_FSM_CNT_WIDTH_G : positive range 1 to 24 := 16; -- Optimize this down w.r.t camera to help make timing in CoaXPressRxHsFsm.vhd AXIL_BASE_ADDR_G : slv(31 downto 0); AXIL_CLK_FREQ_G : real; -- axilClk frequency (units of Hz) AXIS_CLK_FREQ_G : real; -- dataClk frequency (units of Hz) @@ -132,7 +132,7 @@ begin TPD_G => TPD_G, NUM_LANES_G => NUM_LANES_G, STATUS_CNT_WIDTH_G => STATUS_CNT_WIDTH_G, - RX_FSM_CNT_WIDTH_C => RX_FSM_CNT_WIDTH_C, + RX_FSM_CNT_WIDTH_G => RX_FSM_CNT_WIDTH_G, DATA_AXIS_CONFIG_G => DATA_AXIS_CONFIG_G, CFG_AXIS_CONFIG_G => CFG_AXIS_CONFIG_G, AXIS_CLK_FREQ_G => AXIS_CLK_FREQ_G, diff --git a/protocols/coaxpress/gtyUs+/rtl/CoaxpressOverFiberGtyUs.vhd b/protocols/coaxpress/gtyUs+/rtl/CoaxpressOverFiberGtyUs.vhd index be822eedb2..08f9d3c0b8 100644 --- a/protocols/coaxpress/gtyUs+/rtl/CoaxpressOverFiberGtyUs.vhd +++ b/protocols/coaxpress/gtyUs+/rtl/CoaxpressOverFiberGtyUs.vhd @@ -30,7 +30,7 @@ entity CoaxpressOverFiberGtyUs is TPD_G : time := 1 ns; NUM_LANES_G : positive := 1; STATUS_CNT_WIDTH_G : positive range 1 to 32 := 12; - RX_FSM_CNT_WIDTH_C : positive range 1 to 24 := 16; -- Optimize this down w.r.t camera to help make timing in CoaXPressRxHsFsm.vhd + RX_FSM_CNT_WIDTH_G : positive range 1 to 24 := 16; -- Optimize this down w.r.t camera to help make timing in CoaXPressRxHsFsm.vhd AXIL_BASE_ADDR_G : slv(31 downto 0); AXIL_CLK_FREQ_G : real; -- axilClk frequency (units of Hz) AXIS_CLK_FREQ_G : real; -- dataClk frequency (units of Hz) @@ -132,7 +132,7 @@ begin TPD_G => TPD_G, NUM_LANES_G => NUM_LANES_G, STATUS_CNT_WIDTH_G => STATUS_CNT_WIDTH_G, - RX_FSM_CNT_WIDTH_C => RX_FSM_CNT_WIDTH_C, + RX_FSM_CNT_WIDTH_G => RX_FSM_CNT_WIDTH_G, DATA_AXIS_CONFIG_G => DATA_AXIS_CONFIG_G, CFG_AXIS_CONFIG_G => CFG_AXIS_CONFIG_G, AXIS_CLK_FREQ_G => AXIS_CLK_FREQ_G, diff --git a/protocols/mdio/rtl/MdioCore.vhd b/protocols/mdio/rtl/MdioCore.vhd index 5c84d282ce..03707701dd 100644 --- a/protocols/mdio/rtl/MdioCore.vhd +++ b/protocols/mdio/rtl/MdioCore.vhd @@ -43,6 +43,7 @@ entity MdioCore is -- MDIO interface mdc : out sl; mdo : out sl; + mdTri : out sl; mdi : in sl ); end entity MdioCore; @@ -58,6 +59,7 @@ architecture MdioCoreImpl of MdioCore is din : slv(15 downto 0); count : slv( 5 downto 0); div : slv(DIV_BITS_C - 1 downto 0); + tri : sl; mdc : sl; don : sl; state : State; @@ -68,6 +70,7 @@ architecture MdioCoreImpl of MdioCore is din => ( others => '0' ), count => ( others => '1' ), div => ( others => '0' ), + tri => '1', mdc => '0', don => '0', state => IDLE @@ -76,10 +79,14 @@ architecture MdioCoreImpl of MdioCore is signal r : RegType := REG_INIT_C; signal rin : RegType; + -- attribute dont_touch : string; + -- attribute dont_touch of r : signal is "TRUE"; + begin mdo <= r.dataOut(32); mdc <= r.mdc; + mdTri <= r.tri; don <= r.don; din <= r.din; @@ -93,6 +100,7 @@ begin v.div := slv( unsigned(r.div) - 1 ); if ( r.state = IDLE ) then + v.tri := '1'; if ( trg /= '0' ) then v.state := RUN; v.dataOut(31 downto 30) := "01"; -- start @@ -103,6 +111,7 @@ begin v.dataOut(16) := cmd.rdNotWr; v.dataOut(15 downto 0) := cmd.dataOut; v.div := toSlv(DIV_G - 1, DIV_BITS_C); + v.tri := '0'; end if; else if ( unsigned(r.div) = 0 ) then @@ -119,6 +128,9 @@ begin v.dataOut( 32 downto 1 ) := r.dataOut(31 downto 0); v.dataOut( 0 ) := '1'; end if; + if r.count = b"01_0010" then + v.tri := cmd.rdNotWr; + end if; else v.din( 15 downto 1 ) := r.din (14 downto 0); v.din( 0 ) := mdi; diff --git a/protocols/mdio/rtl/MdioLinkIrqHandler.vhd b/protocols/mdio/rtl/MdioLinkIrqHandler.vhd index b00e9fa4bd..d53c2c7bd8 100644 --- a/protocols/mdio/rtl/MdioLinkIrqHandler.vhd +++ b/protocols/mdio/rtl/MdioLinkIrqHandler.vhd @@ -62,6 +62,7 @@ entity MdioLinkIrqHandler is -- MDIO interface mdc : out sl; + mdTri : out sl; mdo : out sl; mdi : in sl; @@ -113,6 +114,12 @@ architecture MdioLinkIrqHandlerImpl of MdioLinkIrqHandler is signal mdioDone : sl; signal mdioData : slv(15 downto 0); + -- attribute dont_touch : string; + -- attribute dont_touch of r : signal is "TRUE"; + -- attribute dont_touch of mdioRead : signal is "TRUE"; + -- attribute dont_touch of mdioDone : signal is "TRUE"; + -- attribute dont_touch of mdioData : signal is "TRUE"; + begin initDone <= r.initDone; @@ -136,6 +143,7 @@ begin don => mdioDone, mdc => mdc, + mdTri => mdTri, mdi => mdi, mdo => mdo ); diff --git a/protocols/mdio/rtl/MdioSeqCore.vhd b/protocols/mdio/rtl/MdioSeqCore.vhd index 84861cd5bd..3203ade66d 100644 --- a/protocols/mdio/rtl/MdioSeqCore.vhd +++ b/protocols/mdio/rtl/MdioSeqCore.vhd @@ -75,6 +75,7 @@ entity MdioSeqCore is -- MDIO interface mdc : out sl; + mdTri : out sl; mdo : out sl; mdi : in sl ); @@ -123,6 +124,7 @@ begin don => oneDone, mdc => mdc, + mdTri => mdTri, mdi => mdi, mdo => mdo ); diff --git a/python/surf/ethernet/roce/_RoceEngine.py b/python/surf/ethernet/roce/_RoceEngine.py new file mode 100644 index 0000000000..27cf6071c6 --- /dev/null +++ b/python/surf/ethernet/roce/_RoceEngine.py @@ -0,0 +1,46 @@ +#----------------------------------------------------------------------------- +# This file is part of the 'SLAC Firmware Standard Library'. It is subject to +# the license terms in the LICENSE.txt file found in the top-level directory +# of this distribution and at: +# https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. +# No part of the 'SLAC Firmware Standard Library', including this file, may be +# copied, modified, propagated, or distributed except according to the terms +# contained in the LICENSE.txt file. +#----------------------------------------------------------------------------- + +import pyrogue as pr + +class RoceEngine(pr.Device): + def __init__( self, + **kwargs): + super().__init__(**kwargs) + + self.add(pr.RemoteVariable( + name = 'SendMetaData', + offset = 0xF00, + bitSize = 1, + mode = 'RW', + )) + + self.add(pr.RemoteVariable( + name = 'MetaDataTx', + offset = 0xF04, + bitSize = 303, + mode = 'RW', + )) + + + self.add(pr.RemoteVariable( + name = 'RecvMetaData', + offset = 0xF00, + bitSize = 1, + bitOffset = 1, + mode = 'RO', + )) + + self.add(pr.RemoteVariable( + name = 'MetaDataRx', + offset = 0xF2C, + bitSize = 276, + mode = 'RO', + )) diff --git a/python/surf/ethernet/roce/__init__.py b/python/surf/ethernet/roce/__init__.py new file mode 100644 index 0000000000..f5209a15ec --- /dev/null +++ b/python/surf/ethernet/roce/__init__.py @@ -0,0 +1,10 @@ +############################################################################## +## This file is part of 'SLAC Firmware Standard Library'. +## It is subject to the license terms in the LICENSE.txt file found in the +## top-level directory of this distribution and at: +## https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. +## No part of 'SLAC Firmware Standard Library', including this file, +## may be copied, modified, propagated, or distributed except according to +## the terms contained in the LICENSE.txt file. +############################################################################## +from surf.ethernet.roce._RoceEngine import * diff --git a/python/surf/protocols/coaxpress/_CoaXPressAxiL.py b/python/surf/protocols/coaxpress/_CoaXPressAxiL.py index 6ee19823d4..214ab695d2 100644 --- a/python/surf/protocols/coaxpress/_CoaXPressAxiL.py +++ b/python/surf/protocols/coaxpress/_CoaXPressAxiL.py @@ -193,7 +193,7 @@ def __init__( self, )) self.add(pr.RemoteVariable( - name = 'RX_FSM_CNT_WIDTH_C', + name = 'RX_FSM_CNT_WIDTH_G', offset = 0xFE0, bitSize = 8, bitOffset = 16,