diff --git a/litex/tools/simout2/gateware/dut.v b/litex/tools/simout2/gateware/dut.v deleted file mode 100644 index e95d8549eb..0000000000 --- a/litex/tools/simout2/gateware/dut.v +++ /dev/null @@ -1,4788 +0,0 @@ -//-------------------------------------------------------------------------------- -// Auto-generated by Migen (57a7311) & LiteX (2be3450) on 2019-10-10 17:58:20 -//-------------------------------------------------------------------------------- -module dut( - input sys_clk, - output serial_source_valid, - input serial_source_ready, - output [7:0] serial_source_data, - input serial_sink_valid, - output serial_sink_ready, - input [7:0] serial_sink_data -); - -wire simsoc_ctrl_reset_reset_re; -wire simsoc_ctrl_reset_reset_r; -wire simsoc_ctrl_reset_reset_we; -reg simsoc_ctrl_reset_reset_w = 1'd0; -reg [31:0] simsoc_ctrl_storage = 32'd305419896; -reg simsoc_ctrl_re = 1'd0; -wire [31:0] simsoc_ctrl_bus_errors_status; -wire simsoc_ctrl_bus_errors_we; -wire simsoc_ctrl_reset; -wire simsoc_ctrl_bus_error; -reg [31:0] simsoc_ctrl_bus_errors = 32'd0; -wire simsoc_blackparrotrv64_reset; -reg [3:0] simsoc_blackparrotrv64_interrupt = 4'd0; -wire simsoc_blackparrotrv64_mem_axi_aw_valid; -reg simsoc_blackparrotrv64_mem_axi_aw_ready = 1'd0; -reg simsoc_blackparrotrv64_mem_axi_aw_first = 1'd0; -reg simsoc_blackparrotrv64_mem_axi_aw_last = 1'd0; -wire [31:0] simsoc_blackparrotrv64_mem_axi_aw_payload_addr; -wire [1:0] simsoc_blackparrotrv64_mem_axi_aw_payload_burst; -wire [7:0] simsoc_blackparrotrv64_mem_axi_aw_payload_len; -wire [3:0] simsoc_blackparrotrv64_mem_axi_aw_payload_size; -wire [1:0] simsoc_blackparrotrv64_mem_axi_aw_payload_lock; -wire [2:0] simsoc_blackparrotrv64_mem_axi_aw_payload_prot; -wire [3:0] simsoc_blackparrotrv64_mem_axi_aw_payload_cache; -wire [3:0] simsoc_blackparrotrv64_mem_axi_aw_payload_qos; -wire [3:0] simsoc_blackparrotrv64_mem_axi_aw_payload_id; -wire simsoc_blackparrotrv64_mem_axi_w_valid; -reg simsoc_blackparrotrv64_mem_axi_w_ready = 1'd0; -wire simsoc_blackparrotrv64_mem_axi_w_last; -wire [63:0] simsoc_blackparrotrv64_mem_axi_w_payload_data; -wire [7:0] simsoc_blackparrotrv64_mem_axi_w_payload_strb; -reg simsoc_blackparrotrv64_mem_axi_b_valid = 1'd0; -wire simsoc_blackparrotrv64_mem_axi_b_ready; -reg [1:0] simsoc_blackparrotrv64_mem_axi_b_payload_resp = 2'd0; -reg [3:0] simsoc_blackparrotrv64_mem_axi_b_payload_id = 4'd0; -wire simsoc_blackparrotrv64_mem_axi_ar_valid; -reg simsoc_blackparrotrv64_mem_axi_ar_ready = 1'd0; -reg simsoc_blackparrotrv64_mem_axi_ar_first = 1'd0; -reg simsoc_blackparrotrv64_mem_axi_ar_last = 1'd0; -wire [31:0] simsoc_blackparrotrv64_mem_axi_ar_payload_addr; -wire [1:0] simsoc_blackparrotrv64_mem_axi_ar_payload_burst; -wire [7:0] simsoc_blackparrotrv64_mem_axi_ar_payload_len; -wire [3:0] simsoc_blackparrotrv64_mem_axi_ar_payload_size; -wire [1:0] simsoc_blackparrotrv64_mem_axi_ar_payload_lock; -wire [2:0] simsoc_blackparrotrv64_mem_axi_ar_payload_prot; -wire [3:0] simsoc_blackparrotrv64_mem_axi_ar_payload_cache; -wire [3:0] simsoc_blackparrotrv64_mem_axi_ar_payload_qos; -wire [3:0] simsoc_blackparrotrv64_mem_axi_ar_payload_id; -reg simsoc_blackparrotrv64_mem_axi_r_valid = 1'd0; -wire simsoc_blackparrotrv64_mem_axi_r_ready; -reg simsoc_blackparrotrv64_mem_axi_r_last = 1'd0; -reg [1:0] simsoc_blackparrotrv64_mem_axi_r_payload_resp = 2'd0; -reg [63:0] simsoc_blackparrotrv64_mem_axi_r_payload_data = 64'd0; -reg [3:0] simsoc_blackparrotrv64_mem_axi_r_payload_id = 4'd0; -wire simsoc_blackparrotrv64_mmio_axi_aw_valid; -reg simsoc_blackparrotrv64_mmio_axi_aw_ready = 1'd0; -reg simsoc_blackparrotrv64_mmio_axi_aw_first = 1'd0; -reg simsoc_blackparrotrv64_mmio_axi_aw_last = 1'd0; -wire [31:0] simsoc_blackparrotrv64_mmio_axi_aw_payload_addr; -wire [1:0] simsoc_blackparrotrv64_mmio_axi_aw_payload_burst; -wire [7:0] simsoc_blackparrotrv64_mmio_axi_aw_payload_len; -wire [3:0] simsoc_blackparrotrv64_mmio_axi_aw_payload_size; -wire [1:0] simsoc_blackparrotrv64_mmio_axi_aw_payload_lock; -wire [2:0] simsoc_blackparrotrv64_mmio_axi_aw_payload_prot; -wire [3:0] simsoc_blackparrotrv64_mmio_axi_aw_payload_cache; -wire [3:0] simsoc_blackparrotrv64_mmio_axi_aw_payload_qos; -wire [3:0] simsoc_blackparrotrv64_mmio_axi_aw_payload_id; -wire simsoc_blackparrotrv64_mmio_axi_w_valid; -reg simsoc_blackparrotrv64_mmio_axi_w_ready = 1'd0; -wire simsoc_blackparrotrv64_mmio_axi_w_last; -wire [63:0] simsoc_blackparrotrv64_mmio_axi_w_payload_data; -wire [7:0] simsoc_blackparrotrv64_mmio_axi_w_payload_strb; -reg simsoc_blackparrotrv64_mmio_axi_b_valid = 1'd0; -wire simsoc_blackparrotrv64_mmio_axi_b_ready; -reg [1:0] simsoc_blackparrotrv64_mmio_axi_b_payload_resp = 2'd0; -reg [3:0] simsoc_blackparrotrv64_mmio_axi_b_payload_id = 4'd0; -wire simsoc_blackparrotrv64_mmio_axi_ar_valid; -reg simsoc_blackparrotrv64_mmio_axi_ar_ready = 1'd0; -reg simsoc_blackparrotrv64_mmio_axi_ar_first = 1'd0; -reg simsoc_blackparrotrv64_mmio_axi_ar_last = 1'd0; -wire [31:0] simsoc_blackparrotrv64_mmio_axi_ar_payload_addr; -wire [1:0] simsoc_blackparrotrv64_mmio_axi_ar_payload_burst; -wire [7:0] simsoc_blackparrotrv64_mmio_axi_ar_payload_len; -wire [3:0] simsoc_blackparrotrv64_mmio_axi_ar_payload_size; -wire [1:0] simsoc_blackparrotrv64_mmio_axi_ar_payload_lock; -wire [2:0] simsoc_blackparrotrv64_mmio_axi_ar_payload_prot; -wire [3:0] simsoc_blackparrotrv64_mmio_axi_ar_payload_cache; -wire [3:0] simsoc_blackparrotrv64_mmio_axi_ar_payload_qos; -wire [3:0] simsoc_blackparrotrv64_mmio_axi_ar_payload_id; -reg simsoc_blackparrotrv64_mmio_axi_r_valid = 1'd0; -wire simsoc_blackparrotrv64_mmio_axi_r_ready; -reg simsoc_blackparrotrv64_mmio_axi_r_last = 1'd0; -reg [1:0] simsoc_blackparrotrv64_mmio_axi_r_payload_resp = 2'd0; -reg [63:0] simsoc_blackparrotrv64_mmio_axi_r_payload_data = 64'd0; -reg [3:0] simsoc_blackparrotrv64_mmio_axi_r_payload_id = 4'd0; -reg [28:0] simsoc_blackparrotrv64_mem_wb_adr = 29'd0; -reg [63:0] simsoc_blackparrotrv64_mem_wb_dat_w = 64'd0; -wire [63:0] simsoc_blackparrotrv64_mem_wb_dat_r; -reg [7:0] simsoc_blackparrotrv64_mem_wb_sel = 8'd0; -reg simsoc_blackparrotrv64_mem_wb_cyc = 1'd0; -reg simsoc_blackparrotrv64_mem_wb_stb = 1'd0; -reg simsoc_blackparrotrv64_mem_wb_ack = 1'd0; -reg simsoc_blackparrotrv64_mem_wb_we = 1'd0; -reg [28:0] simsoc_blackparrotrv64_mmio_wb_adr = 29'd0; -reg [63:0] simsoc_blackparrotrv64_mmio_wb_dat_w = 64'd0; -wire [63:0] simsoc_blackparrotrv64_mmio_wb_dat_r; -reg [7:0] simsoc_blackparrotrv64_mmio_wb_sel = 8'd0; -reg simsoc_blackparrotrv64_mmio_wb_cyc = 1'd0; -reg simsoc_blackparrotrv64_mmio_wb_stb = 1'd0; -reg simsoc_blackparrotrv64_mmio_wb_ack = 1'd0; -reg simsoc_blackparrotrv64_mmio_wb_we = 1'd0; -wire [29:0] simsoc_blackparrotrv64_ibus_adr; -reg [31:0] simsoc_blackparrotrv64_ibus_dat_w = 32'd0; -wire [31:0] simsoc_blackparrotrv64_ibus_dat_r; -reg [3:0] simsoc_blackparrotrv64_ibus_sel = 4'd0; -reg simsoc_blackparrotrv64_ibus_cyc = 1'd0; -reg simsoc_blackparrotrv64_ibus_stb = 1'd0; -wire simsoc_blackparrotrv64_ibus_ack; -reg simsoc_blackparrotrv64_ibus_we = 1'd0; -reg [2:0] simsoc_blackparrotrv64_ibus_cti = 3'd0; -reg [1:0] simsoc_blackparrotrv64_ibus_bte = 2'd0; -wire simsoc_blackparrotrv64_ibus_err; -wire [29:0] simsoc_blackparrotrv64_dbus_adr; -reg [31:0] simsoc_blackparrotrv64_dbus_dat_w = 32'd0; -wire [31:0] simsoc_blackparrotrv64_dbus_dat_r; -reg [3:0] simsoc_blackparrotrv64_dbus_sel = 4'd0; -reg simsoc_blackparrotrv64_dbus_cyc = 1'd0; -reg simsoc_blackparrotrv64_dbus_stb = 1'd0; -wire simsoc_blackparrotrv64_dbus_ack; -reg simsoc_blackparrotrv64_dbus_we = 1'd0; -reg [2:0] simsoc_blackparrotrv64_dbus_cti = 3'd0; -reg [1:0] simsoc_blackparrotrv64_dbus_bte = 2'd0; -wire simsoc_blackparrotrv64_dbus_err; -reg simsoc_blackparrotrv64_axi2wishbone0_aw_valid = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_aw_ready = 1'd0; -reg [31:0] simsoc_blackparrotrv64_axi2wishbone0_aw_payload_addr = 32'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_w_valid = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_w_ready = 1'd0; -reg [63:0] simsoc_blackparrotrv64_axi2wishbone0_w_payload_data = 64'd0; -reg [7:0] simsoc_blackparrotrv64_axi2wishbone0_w_payload_strb = 8'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_b_valid = 1'd0; -wire simsoc_blackparrotrv64_axi2wishbone0_b_ready; -reg [1:0] simsoc_blackparrotrv64_axi2wishbone0_b_payload_resp = 2'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_ar_valid = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_ar_ready = 1'd0; -reg [31:0] simsoc_blackparrotrv64_axi2wishbone0_ar_payload_addr = 32'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_r_valid = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_r_ready = 1'd0; -reg [1:0] simsoc_blackparrotrv64_axi2wishbone0_r_payload_resp = 2'd0; -reg [63:0] simsoc_blackparrotrv64_axi2wishbone0_r_payload_data = 64'd0; -wire simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_valid; -wire simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_ready; -wire simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_first; -wire simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_last; -wire [31:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_addr; -wire [1:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_burst; -wire [7:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_len; -wire [3:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_size; -wire [1:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_lock; -wire [2:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_prot; -wire [3:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_cache; -wire [3:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_qos; -wire [3:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_id; -wire simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_valid; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_ready = 1'd0; -wire simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_first; -wire simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_last; -reg [31:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_addr = 32'd0; -reg [1:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_burst = 2'd0; -reg [7:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_len = 8'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_size = 4'd0; -reg [1:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_lock = 2'd0; -reg [2:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_prot = 3'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_cache = 4'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_qos = 4'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_id = 4'd0; -wire simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_pipe_ce; -wire simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_busy; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_valid_n = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_first_n = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_n = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_valid = 1'd0; -wire simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_ready; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_first = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_last = 1'd0; -reg [31:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_addr = 32'd0; -reg [1:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_burst = 2'd0; -reg [7:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_len = 8'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_size = 4'd0; -reg [1:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_lock = 2'd0; -reg [2:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_prot = 3'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_cache = 4'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_qos = 4'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_id = 4'd0; -wire simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_valid; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_ready = 1'd0; -wire simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_first; -wire simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_last; -wire [31:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_payload_addr; -wire [3:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_payload_id; -reg [7:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_count = 8'd0; -wire [11:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_size; -reg [11:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_offset = 12'd0; -wire [11:0] simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_wrap; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n = 1'd0; -reg [63:0] simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_data = 64'd0; -wire [31:0] simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_r_addr; -wire [31:0] simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_w_addr; -reg simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n = 1'd0; -wire simsoc_blackparrotrv64_mem_a2w_reset; -reg simsoc_blackparrotrv64_axi2wishbone1_aw_valid = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_aw_ready = 1'd0; -reg [31:0] simsoc_blackparrotrv64_axi2wishbone1_aw_payload_addr = 32'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_w_valid = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_w_ready = 1'd0; -reg [63:0] simsoc_blackparrotrv64_axi2wishbone1_w_payload_data = 64'd0; -reg [7:0] simsoc_blackparrotrv64_axi2wishbone1_w_payload_strb = 8'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_b_valid = 1'd0; -wire simsoc_blackparrotrv64_axi2wishbone1_b_ready; -reg [1:0] simsoc_blackparrotrv64_axi2wishbone1_b_payload_resp = 2'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_ar_valid = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_ar_ready = 1'd0; -reg [31:0] simsoc_blackparrotrv64_axi2wishbone1_ar_payload_addr = 32'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_r_valid = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_r_ready = 1'd0; -reg [1:0] simsoc_blackparrotrv64_axi2wishbone1_r_payload_resp = 2'd0; -reg [63:0] simsoc_blackparrotrv64_axi2wishbone1_r_payload_data = 64'd0; -wire simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_valid; -wire simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_ready; -wire simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_first; -wire simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_last; -wire [31:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_addr; -wire [1:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_burst; -wire [7:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_len; -wire [3:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_size; -wire [1:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_lock; -wire [2:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_prot; -wire [3:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_cache; -wire [3:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_qos; -wire [3:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_id; -wire simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_valid; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_ready = 1'd0; -wire simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_first; -wire simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_last; -reg [31:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_addr = 32'd0; -reg [1:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_burst = 2'd0; -reg [7:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_len = 8'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_size = 4'd0; -reg [1:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_lock = 2'd0; -reg [2:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_prot = 3'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_cache = 4'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_qos = 4'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_id = 4'd0; -wire simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_pipe_ce; -wire simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_busy; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_valid_n = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_first_n = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_n = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_valid = 1'd0; -wire simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_ready; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_first = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_last = 1'd0; -reg [31:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_addr = 32'd0; -reg [1:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_burst = 2'd0; -reg [7:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_len = 8'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_size = 4'd0; -reg [1:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_lock = 2'd0; -reg [2:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_prot = 3'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_cache = 4'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_qos = 4'd0; -reg [3:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_id = 4'd0; -wire simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_valid; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_ready = 1'd0; -wire simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_first; -wire simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_last; -wire [31:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_payload_addr; -wire [3:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_payload_id; -reg [7:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_count = 8'd0; -wire [11:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_size; -reg [11:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_offset = 12'd0; -wire [11:0] simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_wrap; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n = 1'd0; -reg [63:0] simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_data = 64'd0; -wire [31:0] simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_r_addr; -wire [31:0] simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_w_addr; -reg simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n = 1'd0; -wire simsoc_blackparrotrv64_mmio_a2w_reset; -reg simsoc_blackparrotrv64_mem_dc_read = 1'd0; -reg simsoc_blackparrotrv64_mem_dc_write = 1'd0; -reg simsoc_blackparrotrv64_mem_dc_counter = 1'd0; -reg simsoc_blackparrotrv64_mem_dc_counter_reset = 1'd0; -reg simsoc_blackparrotrv64_mem_dc_counter_ce = 1'd0; -wire simsoc_blackparrotrv64_mem_dc_counter_done; -reg [63:0] simsoc_blackparrotrv64_mem_dc_cached_data = 64'd0; -reg simsoc_blackparrotrv64_mmio_dc_read = 1'd0; -reg simsoc_blackparrotrv64_mmio_dc_write = 1'd0; -reg simsoc_blackparrotrv64_mmio_dc_counter = 1'd0; -reg simsoc_blackparrotrv64_mmio_dc_counter_reset = 1'd0; -reg simsoc_blackparrotrv64_mmio_dc_counter_ce = 1'd0; -wire simsoc_blackparrotrv64_mmio_dc_counter_done; -reg [63:0] simsoc_blackparrotrv64_mmio_dc_cached_data = 64'd0; -wire [29:0] simsoc_rom_bus_adr; -wire [31:0] simsoc_rom_bus_dat_w; -wire [31:0] simsoc_rom_bus_dat_r; -wire [3:0] simsoc_rom_bus_sel; -wire simsoc_rom_bus_cyc; -wire simsoc_rom_bus_stb; -reg simsoc_rom_bus_ack = 1'd0; -wire simsoc_rom_bus_we; -wire [2:0] simsoc_rom_bus_cti; -wire [1:0] simsoc_rom_bus_bte; -reg simsoc_rom_bus_err = 1'd0; -wire [12:0] simsoc_rom_adr; -wire [31:0] simsoc_rom_dat_r; -wire [29:0] simsoc_sram_bus_adr; -wire [31:0] simsoc_sram_bus_dat_w; -wire [31:0] simsoc_sram_bus_dat_r; -wire [3:0] simsoc_sram_bus_sel; -wire simsoc_sram_bus_cyc; -wire simsoc_sram_bus_stb; -reg simsoc_sram_bus_ack = 1'd0; -wire simsoc_sram_bus_we; -wire [2:0] simsoc_sram_bus_cti; -wire [1:0] simsoc_sram_bus_bte; -reg simsoc_sram_bus_err = 1'd0; -wire [9:0] simsoc_sram_adr; -wire [31:0] simsoc_sram_dat_r; -reg [3:0] simsoc_sram_we = 4'd0; -wire [31:0] simsoc_sram_dat_w; -wire [29:0] simsoc_main_ram_bus_adr; -wire [31:0] simsoc_main_ram_bus_dat_w; -wire [31:0] simsoc_main_ram_bus_dat_r; -wire [3:0] simsoc_main_ram_bus_sel; -wire simsoc_main_ram_bus_cyc; -wire simsoc_main_ram_bus_stb; -reg simsoc_main_ram_bus_ack = 1'd0; -wire simsoc_main_ram_bus_we; -wire [2:0] simsoc_main_ram_bus_cti; -wire [1:0] simsoc_main_ram_bus_bte; -reg simsoc_main_ram_bus_err = 1'd0; -wire [25:0] simsoc_main_ram_adr; -wire [31:0] simsoc_main_ram_dat_r; -reg [3:0] simsoc_main_ram_we = 4'd0; -wire [31:0] simsoc_main_ram_dat_w; -reg [31:0] simsoc_load_storage = 32'd0; -reg simsoc_load_re = 1'd0; -reg [31:0] simsoc_reload_storage = 32'd0; -reg simsoc_reload_re = 1'd0; -reg simsoc_en_storage = 1'd0; -reg simsoc_en_re = 1'd0; -reg simsoc_update_value_storage = 1'd0; -reg simsoc_update_value_re = 1'd0; -reg [31:0] simsoc_value_status = 32'd0; -wire simsoc_value_we; -wire simsoc_irq; -wire simsoc_zero_status; -reg simsoc_zero_pending = 1'd0; -wire simsoc_zero_trigger; -reg simsoc_zero_clear = 1'd0; -reg simsoc_zero_old_trigger = 1'd0; -wire simsoc_eventmanager_status_re; -wire simsoc_eventmanager_status_r; -wire simsoc_eventmanager_status_we; -wire simsoc_eventmanager_status_w; -wire simsoc_eventmanager_pending_re; -wire simsoc_eventmanager_pending_r; -wire simsoc_eventmanager_pending_we; -wire simsoc_eventmanager_pending_w; -reg simsoc_eventmanager_storage = 1'd0; -reg simsoc_eventmanager_re = 1'd0; -reg [31:0] simsoc_value = 32'd0; -reg [13:0] simsoc_interface_adr = 14'd0; -reg simsoc_interface_we = 1'd0; -wire [7:0] simsoc_interface_dat_w; -wire [7:0] simsoc_interface_dat_r; -wire [29:0] simsoc_bus_wishbone_adr; -wire [31:0] simsoc_bus_wishbone_dat_w; -wire [31:0] simsoc_bus_wishbone_dat_r; -wire [3:0] simsoc_bus_wishbone_sel; -wire simsoc_bus_wishbone_cyc; -wire simsoc_bus_wishbone_stb; -reg simsoc_bus_wishbone_ack = 1'd0; -wire simsoc_bus_wishbone_we; -wire [2:0] simsoc_bus_wishbone_cti; -wire [1:0] simsoc_bus_wishbone_bte; -reg simsoc_bus_wishbone_err = 1'd0; -wire sys_clk_1; -wire sys_rst; -wire por_clk; -reg int_rst = 1'd1; -wire sink_valid; -wire sink_ready; -wire sink_first; -wire sink_last; -wire [7:0] sink_payload_data; -wire source_valid; -wire source_ready; -reg source_first = 1'd0; -reg source_last = 1'd0; -wire [7:0] source_payload_data; -wire uart_rxtx_re; -wire [7:0] uart_rxtx_r; -wire uart_rxtx_we; -wire [7:0] uart_rxtx_w; -wire uart_txfull_status; -wire uart_txfull_we; -wire uart_rxempty_status; -wire uart_rxempty_we; -wire uart_irq; -wire uart_tx_status; -reg uart_tx_pending = 1'd0; -wire uart_tx_trigger; -reg uart_tx_clear = 1'd0; -reg uart_tx_old_trigger = 1'd0; -wire uart_rx_status; -reg uart_rx_pending = 1'd0; -wire uart_rx_trigger; -reg uart_rx_clear = 1'd0; -reg uart_rx_old_trigger = 1'd0; -wire uart_eventmanager_status_re; -wire [1:0] uart_eventmanager_status_r; -wire uart_eventmanager_status_we; -reg [1:0] uart_eventmanager_status_w = 2'd0; -wire uart_eventmanager_pending_re; -wire [1:0] uart_eventmanager_pending_r; -wire uart_eventmanager_pending_we; -reg [1:0] uart_eventmanager_pending_w = 2'd0; -reg [1:0] uart_eventmanager_storage = 2'd0; -reg uart_eventmanager_re = 1'd0; -wire uart_tx_fifo_sink_valid; -wire uart_tx_fifo_sink_ready; -reg uart_tx_fifo_sink_first = 1'd0; -reg uart_tx_fifo_sink_last = 1'd0; -wire [7:0] uart_tx_fifo_sink_payload_data; -wire uart_tx_fifo_source_valid; -wire uart_tx_fifo_source_ready; -wire uart_tx_fifo_source_first; -wire uart_tx_fifo_source_last; -wire [7:0] uart_tx_fifo_source_payload_data; -wire uart_tx_fifo_re; -reg uart_tx_fifo_readable = 1'd0; -wire uart_tx_fifo_syncfifo_we; -wire uart_tx_fifo_syncfifo_writable; -wire uart_tx_fifo_syncfifo_re; -wire uart_tx_fifo_syncfifo_readable; -wire [9:0] uart_tx_fifo_syncfifo_din; -wire [9:0] uart_tx_fifo_syncfifo_dout; -reg [4:0] uart_tx_fifo_level0 = 5'd0; -reg uart_tx_fifo_replace = 1'd0; -reg [3:0] uart_tx_fifo_produce = 4'd0; -reg [3:0] uart_tx_fifo_consume = 4'd0; -reg [3:0] uart_tx_fifo_wrport_adr = 4'd0; -wire [9:0] uart_tx_fifo_wrport_dat_r; -wire uart_tx_fifo_wrport_we; -wire [9:0] uart_tx_fifo_wrport_dat_w; -wire uart_tx_fifo_do_read; -wire [3:0] uart_tx_fifo_rdport_adr; -wire [9:0] uart_tx_fifo_rdport_dat_r; -wire uart_tx_fifo_rdport_re; -wire [4:0] uart_tx_fifo_level1; -wire [7:0] uart_tx_fifo_fifo_in_payload_data; -wire uart_tx_fifo_fifo_in_first; -wire uart_tx_fifo_fifo_in_last; -wire [7:0] uart_tx_fifo_fifo_out_payload_data; -wire uart_tx_fifo_fifo_out_first; -wire uart_tx_fifo_fifo_out_last; -wire uart_rx_fifo_sink_valid; -wire uart_rx_fifo_sink_ready; -wire uart_rx_fifo_sink_first; -wire uart_rx_fifo_sink_last; -wire [7:0] uart_rx_fifo_sink_payload_data; -wire uart_rx_fifo_source_valid; -wire uart_rx_fifo_source_ready; -wire uart_rx_fifo_source_first; -wire uart_rx_fifo_source_last; -wire [7:0] uart_rx_fifo_source_payload_data; -wire uart_rx_fifo_re; -reg uart_rx_fifo_readable = 1'd0; -wire uart_rx_fifo_syncfifo_we; -wire uart_rx_fifo_syncfifo_writable; -wire uart_rx_fifo_syncfifo_re; -wire uart_rx_fifo_syncfifo_readable; -wire [9:0] uart_rx_fifo_syncfifo_din; -wire [9:0] uart_rx_fifo_syncfifo_dout; -reg [4:0] uart_rx_fifo_level0 = 5'd0; -reg uart_rx_fifo_replace = 1'd0; -reg [3:0] uart_rx_fifo_produce = 4'd0; -reg [3:0] uart_rx_fifo_consume = 4'd0; -reg [3:0] uart_rx_fifo_wrport_adr = 4'd0; -wire [9:0] uart_rx_fifo_wrport_dat_r; -wire uart_rx_fifo_wrport_we; -wire [9:0] uart_rx_fifo_wrport_dat_w; -wire uart_rx_fifo_do_read; -wire [3:0] uart_rx_fifo_rdport_adr; -wire [9:0] uart_rx_fifo_rdport_dat_r; -wire uart_rx_fifo_rdport_re; -wire [4:0] uart_rx_fifo_level1; -wire [7:0] uart_rx_fifo_fifo_in_payload_data; -wire uart_rx_fifo_fifo_in_first; -wire uart_rx_fifo_fifo_in_last; -wire [7:0] uart_rx_fifo_fifo_out_payload_data; -wire uart_rx_fifo_fifo_out_first; -wire uart_rx_fifo_fifo_out_last; -reg [1:0] axi2axilite0_state = 2'd0; -reg [1:0] axi2axilite0_next_state = 2'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done_axi2axilite0_next_value0 = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done_axi2axilite0_next_value_ce0 = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value1 = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value_ce1 = 1'd0; -reg [2:0] axilite2wishbone0_state = 3'd0; -reg [2:0] axilite2wishbone0_next_state = 3'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value0 = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value_ce0 = 1'd0; -reg [63:0] simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_data_axilite2wishbone0_next_value1 = 64'd0; -reg simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_data_axilite2wishbone0_next_value_ce1 = 1'd0; -reg [1:0] converter0_state = 2'd0; -reg [1:0] converter0_next_state = 2'd0; -reg [1:0] axi2axilite1_state = 2'd0; -reg [1:0] axi2axilite1_next_state = 2'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done_axi2axilite1_next_value0 = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done_axi2axilite1_next_value_ce0 = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value1 = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value_ce1 = 1'd0; -reg [2:0] axilite2wishbone1_state = 3'd0; -reg [2:0] axilite2wishbone1_next_state = 3'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value0 = 1'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value_ce0 = 1'd0; -reg [63:0] simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_data_axilite2wishbone1_next_value1 = 64'd0; -reg simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_data_axilite2wishbone1_next_value_ce1 = 1'd0; -reg [1:0] converter1_state = 2'd0; -reg [1:0] converter1_next_state = 2'd0; -reg state = 1'd0; -reg next_state = 1'd0; -wire [29:0] shared_adr; -wire [31:0] shared_dat_w; -reg [31:0] shared_dat_r = 32'd0; -wire [3:0] shared_sel; -wire shared_cyc; -wire shared_stb; -reg shared_ack = 1'd0; -wire shared_we; -wire [2:0] shared_cti; -wire [1:0] shared_bte; -wire shared_err; -wire [1:0] request; -reg grant = 1'd0; -reg [3:0] slave_sel = 4'd0; -reg [3:0] slave_sel_r = 4'd0; -reg error = 1'd0; -wire wait_1; -wire done; -reg [19:0] count = 20'd1000000; -wire [13:0] csrbankarray_interface0_bank_bus_adr; -wire csrbankarray_interface0_bank_bus_we; -wire [7:0] csrbankarray_interface0_bank_bus_dat_w; -reg [7:0] csrbankarray_interface0_bank_bus_dat_r = 8'd0; -wire csrbankarray_csrbank0_scratch3_re; -wire [7:0] csrbankarray_csrbank0_scratch3_r; -wire csrbankarray_csrbank0_scratch3_we; -wire [7:0] csrbankarray_csrbank0_scratch3_w; -wire csrbankarray_csrbank0_scratch2_re; -wire [7:0] csrbankarray_csrbank0_scratch2_r; -wire csrbankarray_csrbank0_scratch2_we; -wire [7:0] csrbankarray_csrbank0_scratch2_w; -wire csrbankarray_csrbank0_scratch1_re; -wire [7:0] csrbankarray_csrbank0_scratch1_r; -wire csrbankarray_csrbank0_scratch1_we; -wire [7:0] csrbankarray_csrbank0_scratch1_w; -wire csrbankarray_csrbank0_scratch0_re; -wire [7:0] csrbankarray_csrbank0_scratch0_r; -wire csrbankarray_csrbank0_scratch0_we; -wire [7:0] csrbankarray_csrbank0_scratch0_w; -wire csrbankarray_csrbank0_bus_errors3_re; -wire [7:0] csrbankarray_csrbank0_bus_errors3_r; -wire csrbankarray_csrbank0_bus_errors3_we; -wire [7:0] csrbankarray_csrbank0_bus_errors3_w; -wire csrbankarray_csrbank0_bus_errors2_re; -wire [7:0] csrbankarray_csrbank0_bus_errors2_r; -wire csrbankarray_csrbank0_bus_errors2_we; -wire [7:0] csrbankarray_csrbank0_bus_errors2_w; -wire csrbankarray_csrbank0_bus_errors1_re; -wire [7:0] csrbankarray_csrbank0_bus_errors1_r; -wire csrbankarray_csrbank0_bus_errors1_we; -wire [7:0] csrbankarray_csrbank0_bus_errors1_w; -wire csrbankarray_csrbank0_bus_errors0_re; -wire [7:0] csrbankarray_csrbank0_bus_errors0_r; -wire csrbankarray_csrbank0_bus_errors0_we; -wire [7:0] csrbankarray_csrbank0_bus_errors0_w; -wire csrbankarray_csrbank0_sel; -wire [13:0] csrbankarray_sram_bus_adr; -wire csrbankarray_sram_bus_we; -wire [7:0] csrbankarray_sram_bus_dat_w; -reg [7:0] csrbankarray_sram_bus_dat_r = 8'd0; -wire [5:0] csrbankarray_adr; -wire [7:0] csrbankarray_dat_r; -wire csrbankarray_sel; -reg csrbankarray_sel_r = 1'd0; -wire [13:0] csrbankarray_interface1_bank_bus_adr; -wire csrbankarray_interface1_bank_bus_we; -wire [7:0] csrbankarray_interface1_bank_bus_dat_w; -reg [7:0] csrbankarray_interface1_bank_bus_dat_r = 8'd0; -wire csrbankarray_csrbank1_load3_re; -wire [7:0] csrbankarray_csrbank1_load3_r; -wire csrbankarray_csrbank1_load3_we; -wire [7:0] csrbankarray_csrbank1_load3_w; -wire csrbankarray_csrbank1_load2_re; -wire [7:0] csrbankarray_csrbank1_load2_r; -wire csrbankarray_csrbank1_load2_we; -wire [7:0] csrbankarray_csrbank1_load2_w; -wire csrbankarray_csrbank1_load1_re; -wire [7:0] csrbankarray_csrbank1_load1_r; -wire csrbankarray_csrbank1_load1_we; -wire [7:0] csrbankarray_csrbank1_load1_w; -wire csrbankarray_csrbank1_load0_re; -wire [7:0] csrbankarray_csrbank1_load0_r; -wire csrbankarray_csrbank1_load0_we; -wire [7:0] csrbankarray_csrbank1_load0_w; -wire csrbankarray_csrbank1_reload3_re; -wire [7:0] csrbankarray_csrbank1_reload3_r; -wire csrbankarray_csrbank1_reload3_we; -wire [7:0] csrbankarray_csrbank1_reload3_w; -wire csrbankarray_csrbank1_reload2_re; -wire [7:0] csrbankarray_csrbank1_reload2_r; -wire csrbankarray_csrbank1_reload2_we; -wire [7:0] csrbankarray_csrbank1_reload2_w; -wire csrbankarray_csrbank1_reload1_re; -wire [7:0] csrbankarray_csrbank1_reload1_r; -wire csrbankarray_csrbank1_reload1_we; -wire [7:0] csrbankarray_csrbank1_reload1_w; -wire csrbankarray_csrbank1_reload0_re; -wire [7:0] csrbankarray_csrbank1_reload0_r; -wire csrbankarray_csrbank1_reload0_we; -wire [7:0] csrbankarray_csrbank1_reload0_w; -wire csrbankarray_csrbank1_en0_re; -wire csrbankarray_csrbank1_en0_r; -wire csrbankarray_csrbank1_en0_we; -wire csrbankarray_csrbank1_en0_w; -wire csrbankarray_csrbank1_update_value0_re; -wire csrbankarray_csrbank1_update_value0_r; -wire csrbankarray_csrbank1_update_value0_we; -wire csrbankarray_csrbank1_update_value0_w; -wire csrbankarray_csrbank1_value3_re; -wire [7:0] csrbankarray_csrbank1_value3_r; -wire csrbankarray_csrbank1_value3_we; -wire [7:0] csrbankarray_csrbank1_value3_w; -wire csrbankarray_csrbank1_value2_re; -wire [7:0] csrbankarray_csrbank1_value2_r; -wire csrbankarray_csrbank1_value2_we; -wire [7:0] csrbankarray_csrbank1_value2_w; -wire csrbankarray_csrbank1_value1_re; -wire [7:0] csrbankarray_csrbank1_value1_r; -wire csrbankarray_csrbank1_value1_we; -wire [7:0] csrbankarray_csrbank1_value1_w; -wire csrbankarray_csrbank1_value0_re; -wire [7:0] csrbankarray_csrbank1_value0_r; -wire csrbankarray_csrbank1_value0_we; -wire [7:0] csrbankarray_csrbank1_value0_w; -wire csrbankarray_csrbank1_ev_enable0_re; -wire csrbankarray_csrbank1_ev_enable0_r; -wire csrbankarray_csrbank1_ev_enable0_we; -wire csrbankarray_csrbank1_ev_enable0_w; -wire csrbankarray_csrbank1_sel; -wire [13:0] csrbankarray_interface2_bank_bus_adr; -wire csrbankarray_interface2_bank_bus_we; -wire [7:0] csrbankarray_interface2_bank_bus_dat_w; -reg [7:0] csrbankarray_interface2_bank_bus_dat_r = 8'd0; -wire csrbankarray_csrbank2_txfull_re; -wire csrbankarray_csrbank2_txfull_r; -wire csrbankarray_csrbank2_txfull_we; -wire csrbankarray_csrbank2_txfull_w; -wire csrbankarray_csrbank2_rxempty_re; -wire csrbankarray_csrbank2_rxempty_r; -wire csrbankarray_csrbank2_rxempty_we; -wire csrbankarray_csrbank2_rxempty_w; -wire csrbankarray_csrbank2_ev_enable0_re; -wire [1:0] csrbankarray_csrbank2_ev_enable0_r; -wire csrbankarray_csrbank2_ev_enable0_we; -wire [1:0] csrbankarray_csrbank2_ev_enable0_w; -wire csrbankarray_csrbank2_sel; -wire [13:0] csrcon_adr; -wire csrcon_we; -wire [7:0] csrcon_dat_w; -wire [7:0] csrcon_dat_r; -reg [29:0] array_muxed0 = 30'd0; -reg [31:0] array_muxed1 = 32'd0; -reg [3:0] array_muxed2 = 4'd0; -reg array_muxed3 = 1'd0; -reg array_muxed4 = 1'd0; -reg array_muxed5 = 1'd0; -reg [2:0] array_muxed6 = 3'd0; -reg [1:0] array_muxed7 = 2'd0; - -assign csrbankarray_csrbank0_bus_errors3_w = simsoc_ctrl_bus_errors_status[31:24]; -assign simsoc_ctrl_reset_reset_re = ((csrbankarray_csrbank0_sel & csrbankarray_interface0_bank_bus_we) & (csrbankarray_interface0_bank_bus_adr[3:0] == 1'd0)); -assign simsoc_ctrl_reset_reset_r = csrbankarray_interface0_bank_bus_dat_w[0]; -assign simsoc_ctrl_reset_reset_we = ((csrbankarray_csrbank0_sel & (~csrbankarray_interface0_bank_bus_we)) & (csrbankarray_interface0_bank_bus_adr[3:0] == 1'd0)); -assign csrbankarray_csrbank0_bus_errors2_we = ((csrbankarray_csrbank0_sel & (~csrbankarray_interface0_bank_bus_we)) & (csrbankarray_interface0_bank_bus_adr[3:0] == 3'd6)); -assign csrbankarray_csrbank0_bus_errors2_w = simsoc_ctrl_bus_errors_status[23:16]; -assign csrbankarray_csrbank1_value3_w = simsoc_value_status[31:24]; -assign csrbankarray_csrbank0_bus_errors1_re = ((csrbankarray_csrbank0_sel & csrbankarray_interface0_bank_bus_we) & (csrbankarray_interface0_bank_bus_adr[3:0] == 3'd7)); -assign simsoc_ctrl_bus_errors_status = simsoc_ctrl_bus_errors; -assign csrbankarray_csrbank0_bus_errors1_we = ((csrbankarray_csrbank0_sel & (~csrbankarray_interface0_bank_bus_we)) & (csrbankarray_interface0_bank_bus_adr[3:0] == 3'd7)); -assign simsoc_ctrl_reset = simsoc_ctrl_reset_reset_re; -assign simsoc_ctrl_bus_error = error; -assign csrbankarray_csrbank0_bus_errors0_re = ((csrbankarray_csrbank0_sel & csrbankarray_interface0_bank_bus_we) & (csrbankarray_interface0_bank_bus_adr[3:0] == 4'd8)); -assign simsoc_main_ram_bus_adr = shared_adr; -assign simsoc_bus_wishbone_adr = shared_adr; -assign simsoc_main_ram_bus_dat_w = shared_dat_w; -assign csrbankarray_csrbank0_bus_errors0_we = ((csrbankarray_csrbank0_sel & (~csrbankarray_interface0_bank_bus_we)) & (csrbankarray_interface0_bank_bus_adr[3:0] == 4'd8)); -assign simsoc_main_ram_bus_dat_r = simsoc_main_ram_dat_r; -assign simsoc_blackparrotrv64_reset = simsoc_ctrl_reset; -assign simsoc_main_ram_bus_sel = shared_sel; -always @(*) begin - simsoc_blackparrotrv64_interrupt = 4'd0; - simsoc_blackparrotrv64_interrupt[0] = simsoc_irq; - simsoc_blackparrotrv64_interrupt[1] = uart_irq; -end -assign simsoc_main_ram_bus_cyc = (shared_cyc & slave_sel[2]); -assign simsoc_main_ram_bus_stb = shared_stb; -always @(*) begin - simsoc_blackparrotrv64_mem_axi_aw_ready = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_mem_axi_aw_ready = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_ready; - end else begin - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_mem_axi_aw_ready = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_ready; - end - end - end - end - endcase -end -assign simsoc_bus_wishbone_dat_w = shared_dat_w; -assign simsoc_main_ram_bus_we = shared_we; -assign simsoc_main_ram_bus_cti = shared_cti; -assign csrbankarray_csrbank0_bus_errors2_r = csrbankarray_interface0_bank_bus_dat_w[7:0]; -assign simsoc_main_ram_bus_bte = shared_bte; -assign csrbankarray_csrbank1_reload0_w = simsoc_reload_storage[7:0]; -assign simsoc_main_ram_adr = simsoc_main_ram_bus_adr[25:0]; -assign csrbankarray_interface2_bank_bus_we = csrcon_we; -always @(*) begin - simsoc_main_ram_we = 4'd0; - simsoc_main_ram_we[0] = (((simsoc_main_ram_bus_cyc & simsoc_main_ram_bus_stb) & simsoc_main_ram_bus_we) & simsoc_main_ram_bus_sel[0]); - simsoc_main_ram_we[1] = (((simsoc_main_ram_bus_cyc & simsoc_main_ram_bus_stb) & simsoc_main_ram_bus_we) & simsoc_main_ram_bus_sel[1]); - simsoc_main_ram_we[2] = (((simsoc_main_ram_bus_cyc & simsoc_main_ram_bus_stb) & simsoc_main_ram_bus_we) & simsoc_main_ram_bus_sel[2]); - simsoc_main_ram_we[3] = (((simsoc_main_ram_bus_cyc & simsoc_main_ram_bus_stb) & simsoc_main_ram_bus_we) & simsoc_main_ram_bus_sel[3]); -end -assign simsoc_main_ram_dat_w = simsoc_main_ram_bus_dat_w; -assign csrbankarray_csrbank1_update_value0_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd9)); -always @(*) begin - simsoc_blackparrotrv64_mem_axi_w_ready = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_mem_axi_w_ready = simsoc_blackparrotrv64_axi2wishbone0_w_ready; - end - 2'd3: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank0_sel = (csrbankarray_interface0_bank_bus_adr[13:9] == 1'd0); -always @(*) begin - simsoc_blackparrotrv64_mem_axi_b_valid = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mem_axi_b_valid = 1'd1; - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mem_axi_b_payload_resp = 2'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mem_axi_b_payload_resp = 1'd0; - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mem_axi_b_payload_id = 4'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mem_axi_b_payload_id = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_payload_id; - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mem_axi_ar_ready = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - end else begin - simsoc_blackparrotrv64_mem_axi_ar_ready = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_ready; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_mem_axi_ar_ready = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_ready; - end else begin - end - end - end - endcase -end -assign csrbankarray_csrbank0_bus_errors1_r = csrbankarray_interface0_bank_bus_dat_w[7:0]; -assign simsoc_value_we = csrbankarray_csrbank1_value0_we; -assign simsoc_irq = (simsoc_eventmanager_pending_w & simsoc_eventmanager_storage); -always @(*) begin - simsoc_blackparrotrv64_mem_axi_r_valid = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - simsoc_blackparrotrv64_mem_axi_r_valid = simsoc_blackparrotrv64_axi2wishbone0_r_valid; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -assign simsoc_zero_status = simsoc_zero_trigger; -assign simsoc_ctrl_bus_errors_we = csrbankarray_csrbank0_bus_errors0_we; -assign simsoc_zero_trigger = (simsoc_value != 1'd0); -always @(*) begin - simsoc_blackparrotrv64_mem_axi_r_last = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - simsoc_blackparrotrv64_mem_axi_r_last = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_zero_clear = 1'd0; - if ((simsoc_eventmanager_pending_re & simsoc_eventmanager_pending_r)) begin - simsoc_zero_clear = 1'd1; - end -end -always @(*) begin - simsoc_blackparrotrv64_mem_axi_r_payload_resp = 2'd0; - case (axi2axilite0_state) - 1'd1: begin - simsoc_blackparrotrv64_mem_axi_r_payload_resp = 1'd0; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mem_axi_r_payload_data = 64'd0; - case (axi2axilite0_state) - 1'd1: begin - simsoc_blackparrotrv64_mem_axi_r_payload_data = simsoc_blackparrotrv64_axi2wishbone0_r_payload_data; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mem_axi_r_payload_id = 4'd0; - case (axi2axilite0_state) - 1'd1: begin - simsoc_blackparrotrv64_mem_axi_r_payload_id = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_payload_id; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank0_bus_errors1_w = simsoc_ctrl_bus_errors_status[15:8]; -always @(*) begin - simsoc_blackparrotrv64_mmio_axi_aw_ready = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_mmio_axi_aw_ready = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_ready; - end else begin - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_mmio_axi_aw_ready = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_ready; - end - end - end - end - endcase -end -assign simsoc_eventmanager_status_r = csrbankarray_interface1_bank_bus_dat_w[0]; -assign simsoc_eventmanager_status_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd14)); -assign simsoc_eventmanager_status_w = simsoc_zero_status; -assign simsoc_eventmanager_pending_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd15)); -assign simsoc_eventmanager_pending_r = csrbankarray_interface1_bank_bus_dat_w[0]; -assign simsoc_eventmanager_pending_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd15)); -assign simsoc_eventmanager_pending_w = simsoc_zero_pending; -assign csrbankarray_csrbank1_load3_w = simsoc_load_storage[31:24]; -always @(*) begin - simsoc_blackparrotrv64_mmio_axi_w_ready = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_mmio_axi_w_ready = simsoc_blackparrotrv64_axi2wishbone1_w_ready; - end - 2'd3: begin - end - default: begin - end - endcase -end -assign csrbankarray_sram_bus_adr = csrcon_adr; -assign csrbankarray_sram_bus_we = csrcon_we; -assign csrbankarray_sram_bus_dat_w = csrcon_dat_w; -assign csrbankarray_csrbank0_bus_errors0_r = csrbankarray_interface0_bank_bus_dat_w[7:0]; -always @(*) begin - csrbankarray_sram_bus_dat_r = 8'd0; - if (csrbankarray_sel_r) begin - csrbankarray_sram_bus_dat_r = csrbankarray_dat_r; - end -end -assign csrbankarray_csrbank1_load1_w = simsoc_load_storage[15:8]; -assign csrbankarray_adr = csrbankarray_sram_bus_adr[5:0]; -always @(*) begin - simsoc_blackparrotrv64_mmio_axi_b_valid = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mmio_axi_b_valid = 1'd1; - end - default: begin - end - endcase -end -always @(*) begin - simsoc_interface_we = 1'd0; - case (state) - 1'd1: begin - end - default: begin - if ((simsoc_bus_wishbone_cyc & simsoc_bus_wishbone_stb)) begin - simsoc_interface_we = simsoc_bus_wishbone_we; - end - end - endcase -end -assign simsoc_interface_dat_w = simsoc_bus_wishbone_dat_w; -assign csrbankarray_sel = (csrbankarray_sram_bus_adr[13:9] == 2'd2); -assign simsoc_interface_dat_r = csrcon_dat_r; -always @(*) begin - simsoc_blackparrotrv64_mmio_axi_b_payload_resp = 2'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mmio_axi_b_payload_resp = 1'd0; - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mmio_axi_b_payload_id = 4'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mmio_axi_b_payload_id = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_payload_id; - end - default: begin - end - endcase -end -assign simsoc_bus_wishbone_dat_r = simsoc_interface_dat_r; -assign csrbankarray_interface1_bank_bus_adr = csrcon_adr; -always @(*) begin - simsoc_blackparrotrv64_mmio_axi_ar_ready = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - end else begin - simsoc_blackparrotrv64_mmio_axi_ar_ready = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_ready; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_mmio_axi_ar_ready = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_ready; - end else begin - end - end - end - endcase -end -assign csrbankarray_interface1_bank_bus_we = csrcon_we; -assign simsoc_bus_wishbone_cyc = (shared_cyc & slave_sel[3]); -assign csrbankarray_interface1_bank_bus_dat_w = csrcon_dat_w; -assign simsoc_bus_wishbone_stb = shared_stb; -always @(*) begin - simsoc_bus_wishbone_ack = 1'd0; - case (state) - 1'd1: begin - simsoc_bus_wishbone_ack = 1'd1; - end - default: begin - end - endcase -end -assign simsoc_bus_wishbone_we = shared_we; -assign simsoc_bus_wishbone_cti = shared_cti; -always @(*) begin - simsoc_blackparrotrv64_ibus_cti = 3'd0; - if (simsoc_blackparrotrv64_mem_dc_counter_done) begin - simsoc_blackparrotrv64_ibus_cti = 3'd7; - end else begin - simsoc_blackparrotrv64_ibus_cti = 2'd2; - end -end -assign simsoc_bus_wishbone_bte = shared_bte; -always @(*) begin - axi2axilite1_next_state = 2'd0; - axi2axilite1_next_state = axi2axilite1_state; - case (axi2axilite1_state) - 1'd1: begin - if (((simsoc_blackparrotrv64_mmio_axi_r_valid & simsoc_blackparrotrv64_mmio_axi_r_last) & simsoc_blackparrotrv64_mmio_axi_r_ready)) begin - axi2axilite1_next_state = 1'd0; - end - end - 2'd2: begin - if (((simsoc_blackparrotrv64_mmio_axi_w_valid & simsoc_blackparrotrv64_mmio_axi_w_last) & simsoc_blackparrotrv64_mmio_axi_w_ready)) begin - axi2axilite1_next_state = 2'd3; - end - end - 2'd3: begin - if (simsoc_blackparrotrv64_mmio_axi_b_ready) begin - axi2axilite1_next_state = 1'd0; - end - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - axi2axilite1_next_state = 2'd2; - end else begin - axi2axilite1_next_state = 1'd1; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - axi2axilite1_next_state = 1'd1; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - axi2axilite1_next_state = 2'd2; - end - end - end - end - endcase -end -assign csrbankarray_csrbank1_en0_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd8)); -assign csrbankarray_csrbank1_load2_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 1'd1)); -assign csrbankarray_csrbank1_load2_r = csrbankarray_interface1_bank_bus_dat_w[7:0]; -assign csrbankarray_csrbank1_load2_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 1'd1)); -always @(*) begin - simsoc_blackparrotrv64_mmio_axi_r_valid = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - simsoc_blackparrotrv64_mmio_axi_r_valid = simsoc_blackparrotrv64_axi2wishbone1_r_valid; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank1_load2_w = simsoc_load_storage[23:16]; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done_axi2axilite1_next_value0 = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - if ((simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_last)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_ar_ready) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done_axi2axilite1_next_value0 = 1'd1; - end - end - end - 2'd2: begin - if ((simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_last)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_aw_ready) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done_axi2axilite1_next_value0 = 1'd1; - end - end - end - 2'd3: begin - end - default: begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done_axi2axilite1_next_value0 = 1'd0; - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mmio_axi_r_last = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - simsoc_blackparrotrv64_mmio_axi_r_last = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mmio_axi_r_payload_resp = 2'd0; - case (axi2axilite1_state) - 1'd1: begin - simsoc_blackparrotrv64_mmio_axi_r_payload_resp = 1'd0; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mmio_axi_r_payload_data = 64'd0; - case (axi2axilite1_state) - 1'd1: begin - simsoc_blackparrotrv64_mmio_axi_r_payload_data = simsoc_blackparrotrv64_axi2wishbone1_r_payload_data; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mmio_axi_r_payload_id = 4'd0; - case (axi2axilite1_state) - 1'd1: begin - simsoc_blackparrotrv64_mmio_axi_r_payload_id = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_payload_id; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done_axi2axilite1_next_value_ce0 = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - if ((simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_last)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_ar_ready) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done_axi2axilite1_next_value_ce0 = 1'd1; - end - end - end - 2'd2: begin - if ((simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_last)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_aw_ready) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done_axi2axilite1_next_value_ce0 = 1'd1; - end - end - end - 2'd3: begin - end - default: begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done_axi2axilite1_next_value_ce0 = 1'd1; - end - endcase -end -assign csrbankarray_csrbank0_bus_errors2_re = ((csrbankarray_csrbank0_sel & csrbankarray_interface0_bank_bus_we) & (csrbankarray_interface0_bank_bus_adr[3:0] == 3'd6)); -always @(*) begin - simsoc_blackparrotrv64_mem_wb_dat_w = 64'd0; - case (axilite2wishbone0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mem_wb_dat_w = simsoc_blackparrotrv64_axi2wishbone0_w_payload_data; - end - 3'd4: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank1_load0_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 2'd3)); -assign sys_clk_1 = sys_clk; -assign csrbankarray_csrbank1_load0_r = csrbankarray_interface1_bank_bus_dat_w[7:0]; -assign sys_rst = int_rst; -assign csrbankarray_csrbank1_load0_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 2'd3)); -always @(*) begin - simsoc_blackparrotrv64_mem_wb_cyc = 1'd0; - case (axilite2wishbone0_state) - 1'd1: begin - simsoc_blackparrotrv64_mem_wb_cyc = 1'd1; - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mem_wb_cyc = simsoc_blackparrotrv64_axi2wishbone0_w_valid; - end - 3'd4: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank1_load0_w = simsoc_load_storage[7:0]; -always @(*) begin - simsoc_blackparrotrv64_mem_wb_stb = 1'd0; - case (axilite2wishbone0_state) - 1'd1: begin - simsoc_blackparrotrv64_mem_wb_stb = 1'd1; - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mem_wb_stb = simsoc_blackparrotrv64_axi2wishbone0_w_valid; - end - 3'd4: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mem_wb_ack = 1'd0; - case (converter0_state) - 1'd1: begin - if ((simsoc_blackparrotrv64_mem_wb_stb & simsoc_blackparrotrv64_mem_wb_cyc)) begin - if (simsoc_blackparrotrv64_ibus_ack) begin - if (simsoc_blackparrotrv64_mem_dc_counter_done) begin - simsoc_blackparrotrv64_mem_wb_ack = 1'd1; - end - end - end else begin - end - end - 2'd2: begin - if ((simsoc_blackparrotrv64_mem_wb_stb & simsoc_blackparrotrv64_mem_wb_cyc)) begin - if (simsoc_blackparrotrv64_ibus_ack) begin - if (simsoc_blackparrotrv64_mem_dc_counter_done) begin - simsoc_blackparrotrv64_mem_wb_ack = 1'd1; - end - end - end else begin - end - end - default: begin - end - endcase -end -assign serial_source_valid = sink_valid; -always @(*) begin - simsoc_blackparrotrv64_mem_wb_we = 1'd0; - case (axilite2wishbone0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mem_wb_we = 1'd1; - end - 3'd4: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank1_reload3_r = csrbankarray_interface1_bank_bus_dat_w[7:0]; -assign serial_source_data = sink_payload_data; -assign csrbankarray_csrbank1_reload3_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 3'd4)); -assign csrbankarray_csrbank1_reload3_w = simsoc_reload_storage[31:24]; -assign serial_sink_ready = source_ready; -always @(*) begin - simsoc_blackparrotrv64_mmio_wb_adr = 29'd0; - case (axilite2wishbone1_state) - 1'd1: begin - simsoc_blackparrotrv64_mmio_wb_adr = simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_r_addr[31:3]; - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mmio_wb_adr = simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_w_addr[31:3]; - end - 3'd4: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mmio_wb_dat_w = 64'd0; - case (axilite2wishbone1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mmio_wb_dat_w = simsoc_blackparrotrv64_axi2wishbone1_w_payload_data; - end - 3'd4: begin - end - default: begin - end - endcase -end -assign sink_valid = uart_tx_fifo_source_valid; -assign simsoc_blackparrotrv64_mmio_wb_dat_r = {simsoc_blackparrotrv64_dbus_dat_r, simsoc_blackparrotrv64_mmio_dc_cached_data[63:32]}; -assign sink_ready = serial_source_ready; -always @(*) begin - simsoc_blackparrotrv64_mmio_wb_sel = 8'd0; - case (axilite2wishbone1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mmio_wb_sel = simsoc_blackparrotrv64_axi2wishbone1_w_payload_strb; - end - 3'd4: begin - end - default: begin - end - endcase -end -assign sink_first = uart_tx_fifo_source_first; -always @(*) begin - simsoc_blackparrotrv64_mmio_wb_cyc = 1'd0; - case (axilite2wishbone1_state) - 1'd1: begin - simsoc_blackparrotrv64_mmio_wb_cyc = 1'd1; - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mmio_wb_cyc = simsoc_blackparrotrv64_axi2wishbone1_w_valid; - end - 3'd4: begin - end - default: begin - end - endcase -end -assign sink_last = uart_tx_fifo_source_last; -always @(*) begin - simsoc_blackparrotrv64_mmio_wb_stb = 1'd0; - case (axilite2wishbone1_state) - 1'd1: begin - simsoc_blackparrotrv64_mmio_wb_stb = 1'd1; - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mmio_wb_stb = simsoc_blackparrotrv64_axi2wishbone1_w_valid; - end - 3'd4: begin - end - default: begin - end - endcase -end -assign sink_payload_data = uart_tx_fifo_source_payload_data; -always @(*) begin - simsoc_blackparrotrv64_mmio_wb_ack = 1'd0; - case (converter1_state) - 1'd1: begin - if ((simsoc_blackparrotrv64_mmio_wb_stb & simsoc_blackparrotrv64_mmio_wb_cyc)) begin - if (simsoc_blackparrotrv64_dbus_ack) begin - if (simsoc_blackparrotrv64_mmio_dc_counter_done) begin - simsoc_blackparrotrv64_mmio_wb_ack = 1'd1; - end - end - end else begin - end - end - 2'd2: begin - if ((simsoc_blackparrotrv64_mmio_wb_stb & simsoc_blackparrotrv64_mmio_wb_cyc)) begin - if (simsoc_blackparrotrv64_dbus_ack) begin - if (simsoc_blackparrotrv64_mmio_dc_counter_done) begin - simsoc_blackparrotrv64_mmio_wb_ack = 1'd1; - end - end - end else begin - end - end - default: begin - end - endcase -end -assign source_valid = serial_sink_valid; -always @(*) begin - simsoc_blackparrotrv64_mmio_wb_we = 1'd0; - case (axilite2wishbone1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mmio_wb_we = 1'd1; - end - 3'd4: begin - end - default: begin - end - endcase -end -assign source_ready = uart_rx_fifo_sink_ready; -assign csrbankarray_csrbank1_reload1_r = csrbankarray_interface1_bank_bus_dat_w[7:0]; -assign csrbankarray_csrbank1_reload1_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 3'd6)); -assign csrbankarray_csrbank1_reload1_w = simsoc_reload_storage[15:8]; -assign source_payload_data = serial_sink_data; -assign simsoc_blackparrotrv64_ibus_adr = {simsoc_blackparrotrv64_mem_wb_adr, simsoc_blackparrotrv64_mem_dc_counter}; -always @(*) begin - simsoc_blackparrotrv64_ibus_dat_w = 32'd0; - case (simsoc_blackparrotrv64_mem_dc_counter) - 1'd0: begin - simsoc_blackparrotrv64_ibus_dat_w = simsoc_blackparrotrv64_mem_wb_dat_w[31:0]; - end - 1'd1: begin - simsoc_blackparrotrv64_ibus_dat_w = simsoc_blackparrotrv64_mem_wb_dat_w[63:32]; - end - endcase -end -assign csrbankarray_csrbank1_reload0_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 3'd7)); -assign simsoc_blackparrotrv64_ibus_dat_r = shared_dat_r; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_len = 8'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_len = simsoc_blackparrotrv64_mem_axi_aw_payload_len; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_len = simsoc_blackparrotrv64_mem_axi_ar_payload_len; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_len = simsoc_blackparrotrv64_mem_axi_ar_payload_len; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_len = simsoc_blackparrotrv64_mem_axi_aw_payload_len; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_ibus_sel = 4'd0; - case (simsoc_blackparrotrv64_mem_dc_counter) - 1'd0: begin - simsoc_blackparrotrv64_ibus_sel = simsoc_blackparrotrv64_mem_wb_sel[7:0]; - end - 1'd1: begin - simsoc_blackparrotrv64_ibus_sel = simsoc_blackparrotrv64_mem_wb_sel[7:4]; - end - endcase -end -assign csrbankarray_csrbank1_reload0_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 3'd7)); -always @(*) begin - simsoc_blackparrotrv64_ibus_cyc = 1'd0; - case (converter0_state) - 1'd1: begin - simsoc_blackparrotrv64_ibus_cyc = 1'd1; - end - 2'd2: begin - simsoc_blackparrotrv64_ibus_cyc = 1'd1; - end - default: begin - end - endcase -end -assign csrbankarray_csrbank1_en0_r = csrbankarray_interface1_bank_bus_dat_w[0]; -always @(*) begin - simsoc_blackparrotrv64_ibus_stb = 1'd0; - case (converter0_state) - 1'd1: begin - if ((simsoc_blackparrotrv64_mem_wb_stb & simsoc_blackparrotrv64_mem_wb_cyc)) begin - simsoc_blackparrotrv64_ibus_stb = 1'd1; - end else begin - end - end - 2'd2: begin - if ((simsoc_blackparrotrv64_mem_wb_stb & simsoc_blackparrotrv64_mem_wb_cyc)) begin - simsoc_blackparrotrv64_ibus_stb = 1'd1; - end else begin - end - end - default: begin - end - endcase -end -assign uart_txfull_status = (~uart_tx_fifo_sink_ready); -assign simsoc_blackparrotrv64_ibus_ack = (shared_ack & (grant == 1'd0)); -assign uart_txfull_we = csrbankarray_csrbank2_txfull_we; -always @(*) begin - simsoc_blackparrotrv64_ibus_we = 1'd0; - case (converter0_state) - 1'd1: begin - simsoc_blackparrotrv64_ibus_we = 1'd1; - end - 2'd2: begin - end - default: begin - end - endcase -end -assign uart_rxempty_status = (~uart_rx_fifo_source_valid); -assign csrbankarray_csrbank1_en0_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd8)); -assign simsoc_blackparrotrv64_ibus_err = (shared_err & (grant == 1'd0)); -assign uart_rxempty_we = csrbankarray_csrbank2_rxempty_we; -assign simsoc_blackparrotrv64_dbus_adr = {simsoc_blackparrotrv64_mmio_wb_adr, simsoc_blackparrotrv64_mmio_dc_counter}; -assign uart_tx_status = uart_tx_trigger; -always @(*) begin - simsoc_blackparrotrv64_dbus_dat_w = 32'd0; - case (simsoc_blackparrotrv64_mmio_dc_counter) - 1'd0: begin - simsoc_blackparrotrv64_dbus_dat_w = simsoc_blackparrotrv64_mmio_wb_dat_w[31:0]; - end - 1'd1: begin - simsoc_blackparrotrv64_dbus_dat_w = simsoc_blackparrotrv64_mmio_wb_dat_w[63:32]; - end - endcase -end -assign csrbankarray_csrbank1_update_value0_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd9)); -assign simsoc_blackparrotrv64_dbus_dat_r = shared_dat_r; -assign uart_tx_trigger = (~uart_tx_fifo_sink_ready); -always @(*) begin - simsoc_blackparrotrv64_dbus_sel = 4'd0; - case (simsoc_blackparrotrv64_mmio_dc_counter) - 1'd0: begin - simsoc_blackparrotrv64_dbus_sel = simsoc_blackparrotrv64_mmio_wb_sel[7:0]; - end - 1'd1: begin - simsoc_blackparrotrv64_dbus_sel = simsoc_blackparrotrv64_mmio_wb_sel[7:4]; - end - endcase -end -always @(*) begin - uart_tx_clear = 1'd0; - if ((uart_eventmanager_pending_re & uart_eventmanager_pending_r[0])) begin - uart_tx_clear = 1'd1; - end -end -always @(*) begin - simsoc_blackparrotrv64_dbus_cyc = 1'd0; - case (converter1_state) - 1'd1: begin - simsoc_blackparrotrv64_dbus_cyc = 1'd1; - end - 2'd2: begin - simsoc_blackparrotrv64_dbus_cyc = 1'd1; - end - default: begin - end - endcase -end -assign csrbankarray_csrbank1_update_value0_w = simsoc_update_value_storage; -always @(*) begin - simsoc_blackparrotrv64_dbus_stb = 1'd0; - case (converter1_state) - 1'd1: begin - if ((simsoc_blackparrotrv64_mmio_wb_stb & simsoc_blackparrotrv64_mmio_wb_cyc)) begin - simsoc_blackparrotrv64_dbus_stb = 1'd1; - end else begin - end - end - 2'd2: begin - if ((simsoc_blackparrotrv64_mmio_wb_stb & simsoc_blackparrotrv64_mmio_wb_cyc)) begin - simsoc_blackparrotrv64_dbus_stb = 1'd1; - end else begin - end - end - default: begin - end - endcase -end -assign simsoc_blackparrotrv64_dbus_ack = (shared_ack & (grant == 1'd1)); -always @(*) begin - simsoc_blackparrotrv64_dbus_we = 1'd0; - case (converter1_state) - 1'd1: begin - simsoc_blackparrotrv64_dbus_we = 1'd1; - end - 2'd2: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_dbus_cti = 3'd0; - if (simsoc_blackparrotrv64_mmio_dc_counter_done) begin - simsoc_blackparrotrv64_dbus_cti = 3'd7; - end else begin - simsoc_blackparrotrv64_dbus_cti = 2'd2; - end -end -assign csrbankarray_csrbank1_value3_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd10)); -assign uart_rx_trigger = (~uart_rx_fifo_source_valid); -always @(*) begin - uart_rx_clear = 1'd0; - if ((uart_eventmanager_pending_re & uart_eventmanager_pending_r[1])) begin - uart_rx_clear = 1'd1; - end -end -assign csrbankarray_csrbank1_value2_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd11)); -assign csrbankarray_csrbank1_value2_r = csrbankarray_interface1_bank_bus_dat_w[7:0]; -assign csrbankarray_csrbank1_value2_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd11)); -assign simsoc_blackparrotrv64_mem_wb_dat_r = {simsoc_blackparrotrv64_ibus_dat_r, simsoc_blackparrotrv64_mem_dc_cached_data[63:32]}; -assign uart_eventmanager_status_re = ((csrbankarray_csrbank2_sel & csrbankarray_interface2_bank_bus_we) & (csrbankarray_interface2_bank_bus_adr[2:0] == 2'd3)); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_aw_valid = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone0_aw_valid = (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_valid & (~simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done)); - end - 2'd3: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank1_value1_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd12)); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_aw_ready = 1'd0; - case (axilite2wishbone0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (simsoc_blackparrotrv64_mem_wb_ack) begin - simsoc_blackparrotrv64_axi2wishbone0_aw_ready = 1'd1; - end - end - 3'd4: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank1_value1_r = csrbankarray_interface1_bank_bus_dat_w[7:0]; -always @(*) begin - uart_eventmanager_status_w = 2'd0; - uart_eventmanager_status_w[0] = uart_tx_status; - uart_eventmanager_status_w[1] = uart_rx_status; -end -assign csrbankarray_csrbank1_value1_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd12)); -assign csrbankarray_csrbank1_value1_w = simsoc_value_status[15:8]; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_aw_payload_addr = 32'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone0_aw_payload_addr = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_payload_addr; - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mem_wb_sel = 8'd0; - case (axilite2wishbone0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mem_wb_sel = simsoc_blackparrotrv64_axi2wishbone0_w_payload_strb; - end - 3'd4: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_w_valid = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone0_w_valid = simsoc_blackparrotrv64_mem_axi_w_valid; - end - 2'd3: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank1_value0_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd13)); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_w_ready = 1'd0; - case (axilite2wishbone0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (simsoc_blackparrotrv64_mem_wb_ack) begin - simsoc_blackparrotrv64_axi2wishbone0_w_ready = 1'd1; - end - end - 3'd4: begin - end - default: begin - end - endcase -end -always @(*) begin - uart_eventmanager_pending_w = 2'd0; - uart_eventmanager_pending_w[0] = uart_tx_pending; - uart_eventmanager_pending_w[1] = uart_rx_pending; -end -assign csrbankarray_csrbank1_value0_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd13)); -assign csrbankarray_csrbank1_value0_w = simsoc_value_status[7:0]; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_w_payload_data = 64'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone0_w_payload_data = simsoc_blackparrotrv64_mem_axi_w_payload_data; - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_w_payload_strb = 8'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone0_w_payload_strb = simsoc_blackparrotrv64_mem_axi_w_payload_strb; - end - 2'd3: begin - end - default: begin - end - endcase -end -assign por_clk = sys_clk; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_b_valid = 1'd0; - case (axilite2wishbone0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - simsoc_blackparrotrv64_axi2wishbone0_b_valid = 1'd1; - end - default: begin - end - endcase -end -assign csrbankarray_csrbank1_ev_enable0_r = csrbankarray_interface1_bank_bus_dat_w[0]; -assign simsoc_blackparrotrv64_axi2wishbone0_b_ready = 1'd1; -assign csrbankarray_csrbank1_ev_enable0_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 5'd16)); -assign csrbankarray_csrbank1_ev_enable0_w = simsoc_eventmanager_storage; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_b_payload_resp = 2'd0; - case (axilite2wishbone0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - simsoc_blackparrotrv64_axi2wishbone0_b_payload_resp = 1'd0; - end - default: begin - end - endcase -end -assign csrbankarray_csrbank1_sel = (csrbankarray_interface1_bank_bus_adr[13:9] == 2'd3); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_ar_valid = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - simsoc_blackparrotrv64_axi2wishbone0_ar_valid = (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_valid & (~simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done)); - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_ar_ready = 1'd0; - case (axilite2wishbone0_state) - 1'd1: begin - if (simsoc_blackparrotrv64_mem_wb_ack) begin - simsoc_blackparrotrv64_axi2wishbone0_ar_ready = 1'd1; - end - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - end - endcase -end -assign simsoc_bus_wishbone_sel = shared_sel; -assign uart_tx_fifo_sink_valid = uart_rxtx_re; -assign uart_tx_fifo_sink_ready = uart_tx_fifo_syncfifo_writable; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_ar_payload_addr = 32'd0; - case (axi2axilite0_state) - 1'd1: begin - simsoc_blackparrotrv64_axi2wishbone0_ar_payload_addr = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_payload_addr; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_r_valid = 1'd0; - case (axilite2wishbone0_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone0_r_valid = 1'd1; - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_r_ready = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - simsoc_blackparrotrv64_axi2wishbone0_r_ready = simsoc_blackparrotrv64_mem_axi_r_ready; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -assign uart_tx_fifo_sink_payload_data = uart_rxtx_r; -assign uart_tx_fifo_source_valid = uart_tx_fifo_readable; -assign uart_tx_fifo_source_ready = sink_ready; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_r_payload_resp = 2'd0; - case (axilite2wishbone0_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone0_r_payload_resp = 1'd0; - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - end - endcase -end -assign uart_tx_fifo_source_first = uart_tx_fifo_fifo_out_first; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_r_payload_data = 64'd0; - case (axilite2wishbone0_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone0_r_payload_data = simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_data; - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - end - endcase -end -assign uart_tx_fifo_source_last = uart_tx_fifo_fifo_out_last; -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_valid = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_valid; -assign uart_tx_fifo_source_payload_data = uart_tx_fifo_fifo_out_payload_data; -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_ready = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_pipe_ce; -assign csrbankarray_csrbank1_reload3_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 3'd4)); -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_first = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_first; -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_last = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_last; -assign uart_tx_fifo_re = uart_tx_fifo_source_ready; -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_addr = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_addr; -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_burst = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_burst; -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_len = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_len; -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_size = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_size; -assign uart_tx_fifo_syncfifo_we = uart_tx_fifo_sink_valid; -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_lock = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_lock; -assign uart_tx_fifo_syncfifo_writable = (uart_tx_fifo_level0 != 5'd16); -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_prot = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_prot; -assign uart_tx_fifo_syncfifo_re = (uart_tx_fifo_syncfifo_readable & ((~uart_tx_fifo_readable) | uart_tx_fifo_re)); -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_cache = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_cache; -assign uart_tx_fifo_syncfifo_readable = (uart_tx_fifo_level0 != 1'd0); -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_qos = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_qos; -assign uart_tx_fifo_syncfifo_din = {uart_tx_fifo_fifo_in_last, uart_tx_fifo_fifo_in_first, uart_tx_fifo_fifo_in_payload_data}; -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_id = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_id; -assign uart_tx_fifo_syncfifo_dout = uart_tx_fifo_rdport_dat_r; -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_valid = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_valid_n; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_ready = 1'd0; - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_ready) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_last) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_ready = 1'd1; - end - end -end -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_first = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_first_n; -assign csrbankarray_csrbank0_scratch1_we = ((csrbankarray_csrbank0_sel & (~csrbankarray_interface0_bank_bus_we)) & (csrbankarray_interface0_bank_bus_adr[3:0] == 2'd3)); -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_last = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_n; -always @(*) begin - uart_tx_fifo_wrport_adr = 4'd0; - if (uart_tx_fifo_replace) begin - uart_tx_fifo_wrport_adr = (uart_tx_fifo_produce - 1'd1); - end else begin - uart_tx_fifo_wrport_adr = uart_tx_fifo_produce; - end -end -assign uart_tx_fifo_wrport_we = (uart_tx_fifo_syncfifo_we & (uart_tx_fifo_syncfifo_writable | uart_tx_fifo_replace)); -assign csrbankarray_csrbank1_value3_r = csrbankarray_interface1_bank_bus_dat_w[7:0]; -assign uart_tx_fifo_wrport_dat_w = uart_tx_fifo_syncfifo_din; -assign csrbankarray_interface2_bank_bus_dat_w = csrcon_dat_w; -assign csrbankarray_csrbank1_load1_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 2'd2)); -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_pipe_ce = (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_ready | (~simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_valid_n)); -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_busy = (1'd0 | simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_valid_n); -assign uart_tx_fifo_do_read = (uart_tx_fifo_syncfifo_readable & uart_tx_fifo_syncfifo_re); -assign uart_tx_fifo_rdport_adr = uart_tx_fifo_consume; -assign uart_tx_fifo_rdport_re = uart_tx_fifo_do_read; -always @(*) begin - simsoc_blackparrotrv64_mem_wb_adr = 29'd0; - case (axilite2wishbone0_state) - 1'd1: begin - simsoc_blackparrotrv64_mem_wb_adr = simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_r_addr[31:3]; - end - 2'd2: begin - end - 2'd3: begin - simsoc_blackparrotrv64_mem_wb_adr = simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_w_addr[31:3]; - end - 3'd4: begin - end - default: begin - end - endcase -end -assign uart_rx_fifo_fifo_in_last = uart_rx_fifo_sink_last; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_valid = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_valid = simsoc_blackparrotrv64_mem_axi_aw_valid; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_valid = simsoc_blackparrotrv64_mem_axi_ar_valid; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_valid = simsoc_blackparrotrv64_mem_axi_ar_valid; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_valid = simsoc_blackparrotrv64_mem_axi_aw_valid; - end - end - end - end - endcase -end -assign csrbankarray_csrbank1_reload2_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 3'd5)); -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_ready = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_ready; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_first = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_first = simsoc_blackparrotrv64_mem_axi_aw_first; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_first = simsoc_blackparrotrv64_mem_axi_ar_first; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_first = simsoc_blackparrotrv64_mem_axi_ar_first; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_first = simsoc_blackparrotrv64_mem_axi_aw_first; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_last = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_last = simsoc_blackparrotrv64_mem_axi_aw_last; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_last = simsoc_blackparrotrv64_mem_axi_ar_last; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_last = simsoc_blackparrotrv64_mem_axi_ar_last; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_last = simsoc_blackparrotrv64_mem_axi_aw_last; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_addr = 32'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_addr = simsoc_blackparrotrv64_mem_axi_aw_payload_addr; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_addr = simsoc_blackparrotrv64_mem_axi_ar_payload_addr; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_addr = simsoc_blackparrotrv64_mem_axi_ar_payload_addr; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_addr = simsoc_blackparrotrv64_mem_axi_aw_payload_addr; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_burst = 2'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_burst = simsoc_blackparrotrv64_mem_axi_aw_payload_burst; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_burst = simsoc_blackparrotrv64_mem_axi_ar_payload_burst; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_burst = simsoc_blackparrotrv64_mem_axi_ar_payload_burst; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_burst = simsoc_blackparrotrv64_mem_axi_aw_payload_burst; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_aw_valid = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone1_aw_valid = (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_valid & (~simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done)); - end - 2'd3: begin - end - default: begin - end - endcase -end -assign uart_tx_fifo_level1 = (uart_tx_fifo_level0 + uart_tx_fifo_readable); -assign csrbankarray_csrbank1_reload2_r = csrbankarray_interface1_bank_bus_dat_w[7:0]; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_size = 4'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_size = simsoc_blackparrotrv64_mem_axi_aw_payload_size; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_size = simsoc_blackparrotrv64_mem_axi_ar_payload_size; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_size = simsoc_blackparrotrv64_mem_axi_ar_payload_size; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_size = simsoc_blackparrotrv64_mem_axi_aw_payload_size; - end - end - end - end - endcase -end -assign csrbankarray_csrbank1_en0_w = simsoc_en_storage; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_lock = 2'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_lock = simsoc_blackparrotrv64_mem_axi_aw_payload_lock; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_lock = simsoc_blackparrotrv64_mem_axi_ar_payload_lock; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_lock = simsoc_blackparrotrv64_mem_axi_ar_payload_lock; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_lock = simsoc_blackparrotrv64_mem_axi_aw_payload_lock; - end - end - end - end - endcase -end -always @(*) begin - array_muxed2 = 4'd0; - case (grant) - 1'd0: begin - array_muxed2 = simsoc_blackparrotrv64_ibus_sel; - end - default: begin - array_muxed2 = simsoc_blackparrotrv64_dbus_sel; - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_prot = 3'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_prot = simsoc_blackparrotrv64_mem_axi_aw_payload_prot; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_prot = simsoc_blackparrotrv64_mem_axi_ar_payload_prot; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_prot = simsoc_blackparrotrv64_mem_axi_ar_payload_prot; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_prot = simsoc_blackparrotrv64_mem_axi_aw_payload_prot; - end - end - end - end - endcase -end -assign uart_tx_fifo_fifo_in_payload_data = uart_tx_fifo_sink_payload_data; -assign uart_tx_fifo_fifo_in_first = uart_tx_fifo_sink_first; -assign {uart_rx_fifo_fifo_out_last, uart_rx_fifo_fifo_out_first, uart_rx_fifo_fifo_out_payload_data} = uart_rx_fifo_syncfifo_dout; -assign uart_tx_fifo_fifo_in_last = uart_tx_fifo_sink_last; -assign csrbankarray_csrbank1_reload2_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 3'd5)); -assign {uart_tx_fifo_fifo_out_last, uart_tx_fifo_fifo_out_first, uart_tx_fifo_fifo_out_payload_data} = uart_tx_fifo_syncfifo_dout; -assign {uart_tx_fifo_fifo_out_last, uart_tx_fifo_fifo_out_first, uart_tx_fifo_fifo_out_payload_data} = uart_tx_fifo_syncfifo_dout; -assign {uart_tx_fifo_fifo_out_last, uart_tx_fifo_fifo_out_first, uart_tx_fifo_fifo_out_payload_data} = uart_tx_fifo_syncfifo_dout; -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_last = (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_count == simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_len); -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_payload_addr = (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_addr + simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_offset); -assign uart_rx_fifo_sink_valid = source_valid; -assign csrbankarray_csrbank1_reload2_w = simsoc_reload_storage[23:16]; -assign uart_rx_fifo_sink_ready = uart_rx_fifo_syncfifo_writable; -assign uart_rx_fifo_sink_first = source_first; -always @(*) begin - array_muxed3 = 1'd0; - case (grant) - 1'd0: begin - array_muxed3 = simsoc_blackparrotrv64_ibus_cyc; - end - default: begin - array_muxed3 = simsoc_blackparrotrv64_dbus_cyc; - end - endcase -end -assign uart_rx_fifo_sink_last = source_last; -assign uart_rx_fifo_sink_payload_data = source_payload_data; -assign uart_rx_fifo_source_valid = uart_rx_fifo_readable; -assign uart_rx_fifo_source_ready = uart_rx_clear; -assign uart_rx_fifo_source_first = uart_rx_fifo_fifo_out_first; -assign uart_rx_fifo_source_last = uart_rx_fifo_fifo_out_last; -assign uart_rx_fifo_source_payload_data = uart_rx_fifo_fifo_out_payload_data; -assign csrbankarray_interface0_bank_bus_dat_w = csrcon_dat_w; -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_wrap = (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_len <<< simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_size); -assign uart_rx_fifo_re = uart_rx_fifo_source_ready; -always @(*) begin - array_muxed4 = 1'd0; - case (grant) - 1'd0: begin - array_muxed4 = simsoc_blackparrotrv64_ibus_stb; - end - default: begin - array_muxed4 = simsoc_blackparrotrv64_dbus_stb; - end - endcase -end -assign csrbankarray_csrbank1_reload1_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 3'd6)); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_cache = 4'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_cache = simsoc_blackparrotrv64_mem_axi_aw_payload_cache; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_cache = simsoc_blackparrotrv64_mem_axi_ar_payload_cache; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_cache = simsoc_blackparrotrv64_mem_axi_ar_payload_cache; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_cache = simsoc_blackparrotrv64_mem_axi_aw_payload_cache; - end - end - end - end - endcase -end -assign uart_rx_fifo_syncfifo_writable = (uart_rx_fifo_level0 != 5'd16); -assign uart_rx_fifo_syncfifo_re = (uart_rx_fifo_syncfifo_readable & ((~uart_rx_fifo_readable) | uart_rx_fifo_re)); -assign uart_rx_fifo_syncfifo_readable = (uart_rx_fifo_level0 != 1'd0); -assign uart_rx_fifo_syncfifo_din = {uart_rx_fifo_fifo_in_last, uart_rx_fifo_fifo_in_first, uart_rx_fifo_fifo_in_payload_data}; -assign uart_rx_fifo_syncfifo_dout = uart_rx_fifo_rdport_dat_r; -always @(*) begin - axi2axilite0_next_state = 2'd0; - axi2axilite0_next_state = axi2axilite0_state; - case (axi2axilite0_state) - 1'd1: begin - if (((simsoc_blackparrotrv64_mem_axi_r_valid & simsoc_blackparrotrv64_mem_axi_r_last) & simsoc_blackparrotrv64_mem_axi_r_ready)) begin - axi2axilite0_next_state = 1'd0; - end - end - 2'd2: begin - if (((simsoc_blackparrotrv64_mem_axi_w_valid & simsoc_blackparrotrv64_mem_axi_w_last) & simsoc_blackparrotrv64_mem_axi_w_ready)) begin - axi2axilite0_next_state = 2'd3; - end - end - 2'd3: begin - if (simsoc_blackparrotrv64_mem_axi_b_ready) begin - axi2axilite0_next_state = 1'd0; - end - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - axi2axilite0_next_state = 2'd2; - end else begin - axi2axilite0_next_state = 1'd1; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - axi2axilite0_next_state = 1'd1; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - axi2axilite0_next_state = 2'd2; - end - end - end - end - endcase -end -always @(*) begin - uart_rx_fifo_wrport_adr = 4'd0; - if (uart_rx_fifo_replace) begin - uart_rx_fifo_wrport_adr = (uart_rx_fifo_produce - 1'd1); - end else begin - uart_rx_fifo_wrport_adr = uart_rx_fifo_produce; - end -end -assign uart_rx_fifo_wrport_we = (uart_rx_fifo_syncfifo_we & (uart_rx_fifo_syncfifo_writable | uart_rx_fifo_replace)); -assign uart_rx_fifo_wrport_dat_w = uart_rx_fifo_syncfifo_din; -assign uart_rx_fifo_do_read = (uart_rx_fifo_syncfifo_readable & uart_rx_fifo_syncfifo_re); -assign uart_rx_fifo_rdport_adr = uart_rx_fifo_consume; -assign uart_rx_fifo_rdport_re = uart_rx_fifo_do_read; -assign simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_r_addr = (simsoc_blackparrotrv64_axi2wishbone0_ar_payload_addr - 1'd0); -assign simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_w_addr = (simsoc_blackparrotrv64_axi2wishbone0_aw_payload_addr - 1'd0); -assign uart_rxtx_re = ((csrbankarray_csrbank2_sel & csrbankarray_interface2_bank_bus_we) & (csrbankarray_interface2_bank_bus_adr[2:0] == 1'd0)); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_qos = 4'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_qos = simsoc_blackparrotrv64_mem_axi_aw_payload_qos; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_qos = simsoc_blackparrotrv64_mem_axi_ar_payload_qos; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_qos = simsoc_blackparrotrv64_mem_axi_ar_payload_qos; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_qos = simsoc_blackparrotrv64_mem_axi_aw_payload_qos; - end - end - end - end - endcase -end -assign uart_rx_fifo_level1 = (uart_rx_fifo_level0 + uart_rx_fifo_readable); -assign csrbankarray_csrbank2_ev_enable0_r = csrbankarray_interface2_bank_bus_dat_w[1:0]; -assign uart_rxtx_r = csrbankarray_interface2_bank_bus_dat_w[7:0]; -always @(*) begin - array_muxed0 = 30'd0; - case (grant) - 1'd0: begin - array_muxed0 = simsoc_blackparrotrv64_ibus_adr; - end - default: begin - array_muxed0 = simsoc_blackparrotrv64_dbus_adr; - end - endcase -end -assign uart_rx_fifo_fifo_in_payload_data = uart_rx_fifo_sink_payload_data; -assign uart_rx_fifo_fifo_in_first = uart_rx_fifo_sink_first; -always @(*) begin - array_muxed1 = 32'd0; - case (grant) - 1'd0: begin - array_muxed1 = simsoc_blackparrotrv64_ibus_dat_w; - end - default: begin - array_muxed1 = simsoc_blackparrotrv64_dbus_dat_w; - end - endcase -end -assign simsoc_blackparrotrv64_mem_a2w_reset = (sys_rst | simsoc_blackparrotrv64_reset); -assign csrbankarray_csrbank2_txfull_re = ((csrbankarray_csrbank2_sel & csrbankarray_interface2_bank_bus_we) & (csrbankarray_interface2_bank_bus_adr[2:0] == 1'd1)); -assign {uart_rx_fifo_fifo_out_last, uart_rx_fifo_fifo_out_first, uart_rx_fifo_fifo_out_payload_data} = uart_rx_fifo_syncfifo_dout; -assign csrbankarray_csrbank2_txfull_r = csrbankarray_interface2_bank_bus_dat_w[0]; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_aw_ready = 1'd0; - case (axilite2wishbone1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (simsoc_blackparrotrv64_mmio_wb_ack) begin - simsoc_blackparrotrv64_axi2wishbone1_aw_ready = 1'd1; - end - end - 3'd4: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank2_txfull_we = ((csrbankarray_csrbank2_sel & (~csrbankarray_interface2_bank_bus_we)) & (csrbankarray_interface2_bank_bus_adr[2:0] == 1'd1)); -assign {uart_rx_fifo_fifo_out_last, uart_rx_fifo_fifo_out_first, uart_rx_fifo_fifo_out_payload_data} = uart_rx_fifo_syncfifo_dout; -assign csrbankarray_csrbank2_txfull_w = uart_txfull_status; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_aw_payload_addr = 32'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone1_aw_payload_addr = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_payload_addr; - end - 2'd3: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank2_rxempty_re = ((csrbankarray_csrbank2_sel & csrbankarray_interface2_bank_bus_we) & (csrbankarray_interface2_bank_bus_adr[2:0] == 2'd2)); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_w_valid = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone1_w_valid = simsoc_blackparrotrv64_mmio_axi_w_valid; - end - 2'd3: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank2_rxempty_r = csrbankarray_interface2_bank_bus_dat_w[0]; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_w_ready = 1'd0; - case (axilite2wishbone1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (simsoc_blackparrotrv64_mmio_wb_ack) begin - simsoc_blackparrotrv64_axi2wishbone1_w_ready = 1'd1; - end - end - 3'd4: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank2_rxempty_we = ((csrbankarray_csrbank2_sel & (~csrbankarray_interface2_bank_bus_we)) & (csrbankarray_interface2_bank_bus_adr[2:0] == 2'd2)); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done_axi2axilite0_next_value0 = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - if ((simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_last)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_ar_ready) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done_axi2axilite0_next_value0 = 1'd1; - end - end - end - 2'd2: begin - if ((simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_last)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_aw_ready) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done_axi2axilite0_next_value0 = 1'd1; - end - end - end - 2'd3: begin - end - default: begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done_axi2axilite0_next_value0 = 1'd0; - end - endcase -end -assign csrbankarray_csrbank2_rxempty_w = uart_rxempty_status; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done_axi2axilite0_next_value_ce0 = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - if ((simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_last)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_ar_ready) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done_axi2axilite0_next_value_ce0 = 1'd1; - end - end - end - 2'd2: begin - if ((simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_last)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_aw_ready) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done_axi2axilite0_next_value_ce0 = 1'd1; - end - end - end - 2'd3: begin - end - default: begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done_axi2axilite0_next_value_ce0 = 1'd1; - end - endcase -end -always @(*) begin - array_muxed6 = 3'd0; - case (grant) - 1'd0: begin - array_muxed6 = simsoc_blackparrotrv64_ibus_cti; - end - default: begin - array_muxed6 = simsoc_blackparrotrv64_dbus_cti; - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_w_payload_data = 64'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone1_w_payload_data = simsoc_blackparrotrv64_mmio_axi_w_payload_data; - end - 2'd3: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank2_ev_enable0_re = ((csrbankarray_csrbank2_sel & csrbankarray_interface2_bank_bus_we) & (csrbankarray_interface2_bank_bus_adr[2:0] == 3'd5)); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_w_payload_strb = 8'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone1_w_payload_strb = simsoc_blackparrotrv64_mmio_axi_w_payload_strb; - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value1 = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value1 = 1'd0; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value1 = 1'd1; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value1 = 1'd1; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value1 = 1'd0; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_b_valid = 1'd0; - case (axilite2wishbone1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - simsoc_blackparrotrv64_axi2wishbone1_b_valid = 1'd1; - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value_ce1 = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value_ce1 = 1'd1; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value_ce1 = 1'd1; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value_ce1 = 1'd1; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value_ce1 = 1'd1; - end - end - end - end - endcase -end -assign simsoc_blackparrotrv64_axi2wishbone1_b_ready = 1'd1; -assign csrbankarray_csrbank2_ev_enable0_w = uart_eventmanager_storage[1:0]; -assign csrbankarray_csrbank2_sel = (csrbankarray_interface2_bank_bus_adr[13:9] == 3'd4); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_b_payload_resp = 2'd0; - case (axilite2wishbone1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - simsoc_blackparrotrv64_axi2wishbone1_b_payload_resp = 1'd0; - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_ar_valid = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - simsoc_blackparrotrv64_axi2wishbone1_ar_valid = (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_valid & (~simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done)); - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_ar_ready = 1'd0; - case (axilite2wishbone1_state) - 1'd1: begin - if (simsoc_blackparrotrv64_mmio_wb_ack) begin - simsoc_blackparrotrv64_axi2wishbone1_ar_ready = 1'd1; - end - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - end - endcase -end -assign csrbankarray_csrbank1_load3_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 1'd0)); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_ar_payload_addr = 32'd0; - case (axi2axilite1_state) - 1'd1: begin - simsoc_blackparrotrv64_axi2wishbone1_ar_payload_addr = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_payload_addr; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_id = 4'd0; - case (axi2axilite0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mem_axi_ar_valid & simsoc_blackparrotrv64_mem_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_id = simsoc_blackparrotrv64_mem_axi_aw_payload_id; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_id = simsoc_blackparrotrv64_mem_axi_ar_payload_id; - end - end else begin - if (simsoc_blackparrotrv64_mem_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_id = simsoc_blackparrotrv64_mem_axi_ar_payload_id; - end else begin - if (simsoc_blackparrotrv64_mem_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_burst_payload_id = simsoc_blackparrotrv64_mem_axi_aw_payload_id; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_r_valid = 1'd0; - case (axilite2wishbone1_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone1_r_valid = 1'd1; - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_r_ready = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - simsoc_blackparrotrv64_axi2wishbone1_r_ready = simsoc_blackparrotrv64_mmio_axi_r_ready; - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_r_payload_resp = 2'd0; - case (axilite2wishbone1_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone1_r_payload_resp = 1'd0; - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_r_payload_data = 64'd0; - case (axilite2wishbone1_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone1_r_payload_data = simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_data; - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - end - endcase -end -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_valid = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_valid; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_ready = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_pipe_ce; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_first = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_first; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_last = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_last; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_addr = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_addr; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_burst = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_burst; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_len = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_len; -always @(*) begin - axilite2wishbone0_next_state = 3'd0; - axilite2wishbone0_next_state = axilite2wishbone0_state; - case (axilite2wishbone0_state) - 1'd1: begin - if (simsoc_blackparrotrv64_mem_wb_ack) begin - axilite2wishbone0_next_state = 2'd2; - end - end - 2'd2: begin - if (simsoc_blackparrotrv64_axi2wishbone0_r_ready) begin - axilite2wishbone0_next_state = 1'd0; - end - end - 2'd3: begin - if (simsoc_blackparrotrv64_mem_wb_ack) begin - axilite2wishbone0_next_state = 3'd4; - end - end - 3'd4: begin - if (simsoc_blackparrotrv64_axi2wishbone0_b_ready) begin - axilite2wishbone0_next_state = 1'd0; - end - end - default: begin - if ((simsoc_blackparrotrv64_axi2wishbone0_ar_valid & simsoc_blackparrotrv64_axi2wishbone0_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n) begin - axilite2wishbone0_next_state = 2'd3; - end else begin - axilite2wishbone0_next_state = 1'd1; - end - end else begin - if (simsoc_blackparrotrv64_axi2wishbone0_ar_valid) begin - axilite2wishbone0_next_state = 1'd1; - end else begin - if (simsoc_blackparrotrv64_axi2wishbone0_aw_valid) begin - axilite2wishbone0_next_state = 2'd3; - end - end - end - end - endcase -end -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_size = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_size; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_lock = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_lock; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_prot = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_prot; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_cache = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_cache; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_qos = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_qos; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_id = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_id; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_valid = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_valid_n; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_ready = 1'd0; - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_ready) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_last) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_ready = 1'd1; - end - end -end -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_first = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_first_n; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_last = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_n; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_data_axilite2wishbone0_next_value1 = 64'd0; - case (axilite2wishbone0_state) - 1'd1: begin - if (simsoc_blackparrotrv64_mem_wb_ack) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_data_axilite2wishbone0_next_value1 = simsoc_blackparrotrv64_mem_wb_dat_r; - end - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - end - endcase -end -assign uart_irq = ((uart_eventmanager_pending_w[0] & uart_eventmanager_storage[0]) | (uart_eventmanager_pending_w[1] & uart_eventmanager_storage[1])); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_data_axilite2wishbone0_next_value_ce1 = 1'd0; - case (axilite2wishbone0_state) - 1'd1: begin - if (simsoc_blackparrotrv64_mem_wb_ack) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_data_axilite2wishbone0_next_value_ce1 = 1'd1; - end - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - end - endcase -end -assign csrbankarray_interface0_bank_bus_adr = csrcon_adr; -assign csrbankarray_csrbank1_load3_r = csrbankarray_interface1_bank_bus_dat_w[7:0]; -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_pipe_ce = (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_ready | (~simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_valid_n)); -always @(*) begin - converter0_next_state = 2'd0; - converter0_next_state = converter0_state; - case (converter0_state) - 1'd1: begin - if ((simsoc_blackparrotrv64_mem_wb_stb & simsoc_blackparrotrv64_mem_wb_cyc)) begin - if (simsoc_blackparrotrv64_ibus_ack) begin - if (simsoc_blackparrotrv64_mem_dc_counter_done) begin - converter0_next_state = 1'd0; - end - end - end else begin - if ((~simsoc_blackparrotrv64_mem_wb_cyc)) begin - converter0_next_state = 1'd0; - end - end - end - 2'd2: begin - if ((simsoc_blackparrotrv64_mem_wb_stb & simsoc_blackparrotrv64_mem_wb_cyc)) begin - if (simsoc_blackparrotrv64_ibus_ack) begin - if (simsoc_blackparrotrv64_mem_dc_counter_done) begin - converter0_next_state = 1'd0; - end - end - end else begin - if ((~simsoc_blackparrotrv64_mem_wb_cyc)) begin - converter0_next_state = 1'd0; - end - end - end - default: begin - if ((simsoc_blackparrotrv64_mem_wb_stb & simsoc_blackparrotrv64_mem_wb_cyc)) begin - if (simsoc_blackparrotrv64_mem_wb_we) begin - converter0_next_state = 1'd1; - end else begin - converter0_next_state = 2'd2; - end - end - end - endcase -end -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_busy = (1'd0 | simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_valid_n); -assign csrcon_adr = simsoc_interface_adr; -assign uart_eventmanager_pending_we = ((csrbankarray_csrbank2_sel & (~csrbankarray_interface2_bank_bus_we)) & (csrbankarray_interface2_bank_bus_adr[2:0] == 3'd4)); -assign csrcon_we = simsoc_interface_we; -assign csrcon_dat_w = simsoc_interface_dat_w; -assign csrcon_dat_r = (((csrbankarray_interface0_bank_bus_dat_r | csrbankarray_interface1_bank_bus_dat_r) | csrbankarray_interface2_bank_bus_dat_r) | csrbankarray_sram_bus_dat_r); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_valid = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_valid = simsoc_blackparrotrv64_mmio_axi_aw_valid; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_valid = simsoc_blackparrotrv64_mmio_axi_ar_valid; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_valid = simsoc_blackparrotrv64_mmio_axi_ar_valid; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_valid = simsoc_blackparrotrv64_mmio_axi_aw_valid; - end - end - end - end - endcase -end -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_ready = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_ready; -always @(*) begin - array_muxed5 = 1'd0; - case (grant) - 1'd0: begin - array_muxed5 = simsoc_blackparrotrv64_ibus_we; - end - default: begin - array_muxed5 = simsoc_blackparrotrv64_dbus_we; - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_first = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_first = simsoc_blackparrotrv64_mmio_axi_aw_first; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_first = simsoc_blackparrotrv64_mmio_axi_ar_first; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_first = simsoc_blackparrotrv64_mmio_axi_ar_first; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_first = simsoc_blackparrotrv64_mmio_axi_aw_first; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_last = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_last = simsoc_blackparrotrv64_mmio_axi_aw_last; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_last = simsoc_blackparrotrv64_mmio_axi_ar_last; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_last = simsoc_blackparrotrv64_mmio_axi_ar_last; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_last = simsoc_blackparrotrv64_mmio_axi_aw_last; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_addr = 32'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_addr = simsoc_blackparrotrv64_mmio_axi_aw_payload_addr; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_addr = simsoc_blackparrotrv64_mmio_axi_ar_payload_addr; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_addr = simsoc_blackparrotrv64_mmio_axi_ar_payload_addr; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_addr = simsoc_blackparrotrv64_mmio_axi_aw_payload_addr; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_burst = 2'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_burst = simsoc_blackparrotrv64_mmio_axi_aw_payload_burst; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_burst = simsoc_blackparrotrv64_mmio_axi_ar_payload_burst; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_burst = simsoc_blackparrotrv64_mmio_axi_ar_payload_burst; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_burst = simsoc_blackparrotrv64_mmio_axi_aw_payload_burst; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_len = 8'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_len = simsoc_blackparrotrv64_mmio_axi_aw_payload_len; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_len = simsoc_blackparrotrv64_mmio_axi_ar_payload_len; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_len = simsoc_blackparrotrv64_mmio_axi_ar_payload_len; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_len = simsoc_blackparrotrv64_mmio_axi_aw_payload_len; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_size = 4'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_size = simsoc_blackparrotrv64_mmio_axi_aw_payload_size; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_size = simsoc_blackparrotrv64_mmio_axi_ar_payload_size; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_size = simsoc_blackparrotrv64_mmio_axi_ar_payload_size; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_size = simsoc_blackparrotrv64_mmio_axi_aw_payload_size; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value1 = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value1 = 1'd0; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value1 = 1'd1; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value1 = 1'd1; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value1 = 1'd0; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_lock = 2'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_lock = simsoc_blackparrotrv64_mmio_axi_aw_payload_lock; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_lock = simsoc_blackparrotrv64_mmio_axi_ar_payload_lock; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_lock = simsoc_blackparrotrv64_mmio_axi_ar_payload_lock; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_lock = simsoc_blackparrotrv64_mmio_axi_aw_payload_lock; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value_ce1 = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value_ce1 = 1'd1; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value_ce1 = 1'd1; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value_ce1 = 1'd1; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value_ce1 = 1'd1; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_prot = 3'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_prot = simsoc_blackparrotrv64_mmio_axi_aw_payload_prot; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_prot = simsoc_blackparrotrv64_mmio_axi_ar_payload_prot; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_prot = simsoc_blackparrotrv64_mmio_axi_ar_payload_prot; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_prot = simsoc_blackparrotrv64_mmio_axi_aw_payload_prot; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_cache = 4'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_cache = simsoc_blackparrotrv64_mmio_axi_aw_payload_cache; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_cache = simsoc_blackparrotrv64_mmio_axi_ar_payload_cache; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_cache = simsoc_blackparrotrv64_mmio_axi_ar_payload_cache; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_cache = simsoc_blackparrotrv64_mmio_axi_aw_payload_cache; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_qos = 4'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_qos = simsoc_blackparrotrv64_mmio_axi_aw_payload_qos; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_qos = simsoc_blackparrotrv64_mmio_axi_ar_payload_qos; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_qos = simsoc_blackparrotrv64_mmio_axi_ar_payload_qos; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_qos = simsoc_blackparrotrv64_mmio_axi_aw_payload_qos; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_id = 4'd0; - case (axi2axilite1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - default: begin - if ((simsoc_blackparrotrv64_mmio_axi_ar_valid & simsoc_blackparrotrv64_mmio_axi_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_id = simsoc_blackparrotrv64_mmio_axi_aw_payload_id; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_id = simsoc_blackparrotrv64_mmio_axi_ar_payload_id; - end - end else begin - if (simsoc_blackparrotrv64_mmio_axi_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_id = simsoc_blackparrotrv64_mmio_axi_ar_payload_id; - end else begin - if (simsoc_blackparrotrv64_mmio_axi_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_burst_payload_id = simsoc_blackparrotrv64_mmio_axi_aw_payload_id; - end - end - end - end - endcase -end -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_valid = (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_valid | (~simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_first)); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_ready = 1'd0; - case (axi2axilite1_state) - 1'd1: begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_ready = (simsoc_blackparrotrv64_axi2wishbone1_ar_ready & (~simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done)); - if ((simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_last)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_ar_ready) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_ready = 1'd0; - end - end - if (((simsoc_blackparrotrv64_mmio_axi_r_valid & simsoc_blackparrotrv64_mmio_axi_r_last) & simsoc_blackparrotrv64_mmio_axi_r_ready)) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_ready = 1'd1; - end - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_ready = (simsoc_blackparrotrv64_axi2wishbone1_aw_ready & (~simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done)); - if ((simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_last)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_aw_ready) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_ready = 1'd0; - end - end - end - 2'd3: begin - if (simsoc_blackparrotrv64_mmio_axi_b_ready) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_ready = 1'd1; - end - end - default: begin - end - endcase -end -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_first = (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_count == 1'd0); -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_last = (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_count == simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_len); -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_payload_addr = (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_addr + simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_offset); -assign csrbankarray_csrbank1_load3_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 1'd0)); -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_valid = (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_valid | (~simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_first)); -assign csrbankarray_csrbank0_scratch3_we = ((csrbankarray_csrbank0_sel & (~csrbankarray_interface0_bank_bus_we)) & (csrbankarray_interface0_bank_bus_adr[3:0] == 1'd1)); -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_payload_id = simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_id; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_ready = 1'd0; - case (axi2axilite0_state) - 1'd1: begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_ready = (simsoc_blackparrotrv64_axi2wishbone0_ar_ready & (~simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done)); - if ((simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_last)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_ar_ready) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_ready = 1'd0; - end - end - if (((simsoc_blackparrotrv64_mem_axi_r_valid & simsoc_blackparrotrv64_mem_axi_r_last) & simsoc_blackparrotrv64_mem_axi_r_ready)) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_ready = 1'd1; - end - end - 2'd2: begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_ready = (simsoc_blackparrotrv64_axi2wishbone0_aw_ready & (~simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done)); - if ((simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_last)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_aw_ready) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_ready = 1'd0; - end - end - end - 2'd3: begin - if (simsoc_blackparrotrv64_mem_axi_b_ready) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_ready = 1'd1; - end - end - default: begin - end - endcase -end -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_size = (1'd1 <<< simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_size); -assign simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_wrap = (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_len <<< simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_size); -always @(*) begin - axilite2wishbone1_next_state = 3'd0; - axilite2wishbone1_next_state = axilite2wishbone1_state; - case (axilite2wishbone1_state) - 1'd1: begin - if (simsoc_blackparrotrv64_mmio_wb_ack) begin - axilite2wishbone1_next_state = 2'd2; - end - end - 2'd2: begin - if (simsoc_blackparrotrv64_axi2wishbone1_r_ready) begin - axilite2wishbone1_next_state = 1'd0; - end - end - 2'd3: begin - if (simsoc_blackparrotrv64_mmio_wb_ack) begin - axilite2wishbone1_next_state = 3'd4; - end - end - 3'd4: begin - if (simsoc_blackparrotrv64_axi2wishbone1_b_ready) begin - axilite2wishbone1_next_state = 1'd0; - end - end - default: begin - if ((simsoc_blackparrotrv64_axi2wishbone1_ar_valid & simsoc_blackparrotrv64_axi2wishbone1_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n) begin - axilite2wishbone1_next_state = 2'd3; - end else begin - axilite2wishbone1_next_state = 1'd1; - end - end else begin - if (simsoc_blackparrotrv64_axi2wishbone1_ar_valid) begin - axilite2wishbone1_next_state = 1'd1; - end else begin - if (simsoc_blackparrotrv64_axi2wishbone1_aw_valid) begin - axilite2wishbone1_next_state = 2'd3; - end - end - end - end - endcase -end -assign csrbankarray_csrbank1_value3_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd10)); -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value0 = 1'd0; - case (axilite2wishbone1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - if ((simsoc_blackparrotrv64_axi2wishbone1_ar_valid & simsoc_blackparrotrv64_axi2wishbone1_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value0 = 1'd0; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value0 = 1'd1; - end - end else begin - if (simsoc_blackparrotrv64_axi2wishbone1_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value0 = 1'd1; - end else begin - if (simsoc_blackparrotrv64_axi2wishbone1_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value0 = 1'd0; - end - end - end - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value_ce0 = 1'd0; - case (axilite2wishbone1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - if ((simsoc_blackparrotrv64_axi2wishbone1_ar_valid & simsoc_blackparrotrv64_axi2wishbone1_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value_ce0 = 1'd1; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value_ce0 = 1'd1; - end - end else begin - if (simsoc_blackparrotrv64_axi2wishbone1_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value_ce0 = 1'd1; - end else begin - if (simsoc_blackparrotrv64_axi2wishbone1_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value_ce0 = 1'd1; - end - end - end - end - endcase -end -assign uart_rx_status = uart_rx_trigger; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_data_axilite2wishbone1_next_value1 = 64'd0; - case (axilite2wishbone1_state) - 1'd1: begin - if (simsoc_blackparrotrv64_mmio_wb_ack) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_data_axilite2wishbone1_next_value1 = simsoc_blackparrotrv64_mmio_wb_dat_r; - end - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_data_axilite2wishbone1_next_value_ce1 = 1'd0; - case (axilite2wishbone1_state) - 1'd1: begin - if (simsoc_blackparrotrv64_mmio_wb_ack) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_data_axilite2wishbone1_next_value_ce1 = 1'd1; - end - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - end - endcase -end -assign simsoc_blackparrotrv64_dbus_err = (shared_err & (grant == 1'd1)); -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_first = (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_count == 1'd0); -always @(*) begin - converter1_next_state = 2'd0; - converter1_next_state = converter1_state; - case (converter1_state) - 1'd1: begin - if ((simsoc_blackparrotrv64_mmio_wb_stb & simsoc_blackparrotrv64_mmio_wb_cyc)) begin - if (simsoc_blackparrotrv64_dbus_ack) begin - if (simsoc_blackparrotrv64_mmio_dc_counter_done) begin - converter1_next_state = 1'd0; - end - end - end else begin - if ((~simsoc_blackparrotrv64_mmio_wb_cyc)) begin - converter1_next_state = 1'd0; - end - end - end - 2'd2: begin - if ((simsoc_blackparrotrv64_mmio_wb_stb & simsoc_blackparrotrv64_mmio_wb_cyc)) begin - if (simsoc_blackparrotrv64_dbus_ack) begin - if (simsoc_blackparrotrv64_mmio_dc_counter_done) begin - converter1_next_state = 1'd0; - end - end - end else begin - if ((~simsoc_blackparrotrv64_mmio_wb_cyc)) begin - converter1_next_state = 1'd0; - end - end - end - default: begin - if ((simsoc_blackparrotrv64_mmio_wb_stb & simsoc_blackparrotrv64_mmio_wb_cyc)) begin - if (simsoc_blackparrotrv64_mmio_wb_we) begin - converter1_next_state = 1'd1; - end else begin - converter1_next_state = 2'd2; - end - end - end - endcase -end -assign csrbankarray_csrbank2_ev_enable0_we = ((csrbankarray_csrbank2_sel & (~csrbankarray_interface2_bank_bus_we)) & (csrbankarray_interface2_bank_bus_adr[2:0] == 3'd5)); -assign simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_r_addr = (simsoc_blackparrotrv64_axi2wishbone1_ar_payload_addr - 1'd0); -assign simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_w_addr = (simsoc_blackparrotrv64_axi2wishbone1_aw_payload_addr - 1'd0); -assign simsoc_eventmanager_status_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 4'd14)); -assign shared_adr = array_muxed0; -assign shared_dat_w = array_muxed1; -always @(*) begin - shared_dat_r = 32'd0; - shared_dat_r = (((({32{slave_sel_r[0]}} & simsoc_rom_bus_dat_r) | ({32{slave_sel_r[1]}} & simsoc_sram_bus_dat_r)) | ({32{slave_sel_r[2]}} & simsoc_main_ram_bus_dat_r)) | ({32{slave_sel_r[3]}} & simsoc_bus_wishbone_dat_r)); - if (done) begin - shared_dat_r = 32'd4294967295; - end -end -assign shared_sel = array_muxed2; -assign shared_cyc = array_muxed3; -assign shared_stb = array_muxed4; -always @(*) begin - shared_ack = 1'd0; - shared_ack = (((simsoc_rom_bus_ack | simsoc_sram_bus_ack) | simsoc_main_ram_bus_ack) | simsoc_bus_wishbone_ack); - if (done) begin - shared_ack = 1'd1; - end -end -assign simsoc_blackparrotrv64_mmio_a2w_reset = (sys_rst | simsoc_blackparrotrv64_reset); -assign shared_we = array_muxed5; -assign shared_cti = array_muxed6; -assign shared_bte = array_muxed7; -always @(*) begin - simsoc_blackparrotrv64_mem_dc_read = 1'd0; - case (converter0_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_mem_dc_read = 1'd1; - end - default: begin - end - endcase -end -assign shared_err = (((simsoc_rom_bus_err | simsoc_sram_bus_err) | simsoc_main_ram_bus_err) | simsoc_bus_wishbone_err); -always @(*) begin - simsoc_blackparrotrv64_mem_dc_write = 1'd0; - case (converter0_state) - 1'd1: begin - simsoc_blackparrotrv64_mem_dc_write = 1'd1; - end - 2'd2: begin - end - default: begin - end - endcase -end -assign request = {simsoc_blackparrotrv64_dbus_cyc, simsoc_blackparrotrv64_ibus_cyc}; -always @(*) begin - simsoc_blackparrotrv64_mem_dc_counter_reset = 1'd0; - case (converter0_state) - 1'd1: begin - end - 2'd2: begin - end - default: begin - simsoc_blackparrotrv64_mem_dc_counter_reset = 1'd1; - end - endcase -end -assign csrbankarray_csrbank1_value2_w = simsoc_value_status[23:16]; -always @(*) begin - simsoc_blackparrotrv64_mem_dc_counter_ce = 1'd0; - case (converter0_state) - 1'd1: begin - if ((simsoc_blackparrotrv64_mem_wb_stb & simsoc_blackparrotrv64_mem_wb_cyc)) begin - if (simsoc_blackparrotrv64_ibus_ack) begin - simsoc_blackparrotrv64_mem_dc_counter_ce = 1'd1; - end - end else begin - end - end - 2'd2: begin - if ((simsoc_blackparrotrv64_mem_wb_stb & simsoc_blackparrotrv64_mem_wb_cyc)) begin - if (simsoc_blackparrotrv64_ibus_ack) begin - simsoc_blackparrotrv64_mem_dc_counter_ce = 1'd1; - end - end else begin - end - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value0 = 1'd0; - case (axilite2wishbone0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - if ((simsoc_blackparrotrv64_axi2wishbone0_ar_valid & simsoc_blackparrotrv64_axi2wishbone0_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value0 = 1'd0; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value0 = 1'd1; - end - end else begin - if (simsoc_blackparrotrv64_axi2wishbone0_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value0 = 1'd1; - end else begin - if (simsoc_blackparrotrv64_axi2wishbone0_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value0 = 1'd0; - end - end - end - end - endcase -end -assign simsoc_blackparrotrv64_mem_dc_counter_done = (simsoc_blackparrotrv64_mem_dc_counter == 1'd1); -assign csrbankarray_csrbank0_scratch1_r = csrbankarray_interface0_bank_bus_dat_w[7:0]; -assign csrbankarray_interface2_bank_bus_adr = csrcon_adr; -always @(*) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value_ce0 = 1'd0; - case (axilite2wishbone0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - default: begin - if ((simsoc_blackparrotrv64_axi2wishbone0_ar_valid & simsoc_blackparrotrv64_axi2wishbone0_aw_valid)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value_ce0 = 1'd1; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value_ce0 = 1'd1; - end - end else begin - if (simsoc_blackparrotrv64_axi2wishbone0_ar_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value_ce0 = 1'd1; - end else begin - if (simsoc_blackparrotrv64_axi2wishbone0_aw_valid) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value_ce0 = 1'd1; - end - end - end - end - endcase -end -assign uart_eventmanager_status_r = csrbankarray_interface2_bank_bus_dat_w[1:0]; -assign simsoc_sram_bus_stb = shared_stb; -assign csrbankarray_csrbank1_load1_we = ((csrbankarray_csrbank1_sel & (~csrbankarray_interface1_bank_bus_we)) & (csrbankarray_interface1_bank_bus_adr[4:0] == 2'd2)); -assign csrbankarray_csrbank1_update_value0_r = csrbankarray_interface1_bank_bus_dat_w[0]; -always @(*) begin - next_state = 1'd0; - next_state = state; - case (state) - 1'd1: begin - next_state = 1'd0; - end - default: begin - if ((simsoc_bus_wishbone_cyc & simsoc_bus_wishbone_stb)) begin - next_state = 1'd1; - end - end - endcase -end -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_payload_id = simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_id; -assign uart_eventmanager_status_we = ((csrbankarray_csrbank2_sel & (~csrbankarray_interface2_bank_bus_we)) & (csrbankarray_interface2_bank_bus_adr[2:0] == 2'd3)); -always @(*) begin - slave_sel = 4'd0; - slave_sel[0] = (shared_adr[28:13] == 1'd0); - slave_sel[1] = (shared_adr[28:10] == 13'd4096); - slave_sel[2] = (shared_adr[28:26] == 3'd4); - slave_sel[3] = (shared_adr[28:22] == 2'd2); -end -assign csrbankarray_csrbank0_scratch1_w = simsoc_ctrl_storage[15:8]; -always @(*) begin - simsoc_blackparrotrv64_mmio_dc_read = 1'd0; - case (converter1_state) - 1'd1: begin - end - 2'd2: begin - simsoc_blackparrotrv64_mmio_dc_read = 1'd1; - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mmio_dc_write = 1'd0; - case (converter1_state) - 1'd1: begin - simsoc_blackparrotrv64_mmio_dc_write = 1'd1; - end - 2'd2: begin - end - default: begin - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mmio_dc_counter_reset = 1'd0; - case (converter1_state) - 1'd1: begin - end - 2'd2: begin - end - default: begin - simsoc_blackparrotrv64_mmio_dc_counter_reset = 1'd1; - end - endcase -end -always @(*) begin - simsoc_blackparrotrv64_mmio_dc_counter_ce = 1'd0; - case (converter1_state) - 1'd1: begin - if ((simsoc_blackparrotrv64_mmio_wb_stb & simsoc_blackparrotrv64_mmio_wb_cyc)) begin - if (simsoc_blackparrotrv64_dbus_ack) begin - simsoc_blackparrotrv64_mmio_dc_counter_ce = 1'd1; - end - end else begin - end - end - 2'd2: begin - if ((simsoc_blackparrotrv64_mmio_wb_stb & simsoc_blackparrotrv64_mmio_wb_cyc)) begin - if (simsoc_blackparrotrv64_dbus_ack) begin - simsoc_blackparrotrv64_mmio_dc_counter_ce = 1'd1; - end - end else begin - end - end - default: begin - end - endcase -end -assign simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_size = (1'd1 <<< simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_size); -assign simsoc_blackparrotrv64_mmio_dc_counter_done = (simsoc_blackparrotrv64_mmio_dc_counter == 1'd1); -assign uart_eventmanager_pending_re = ((csrbankarray_csrbank2_sel & csrbankarray_interface2_bank_bus_we) & (csrbankarray_interface2_bank_bus_adr[2:0] == 3'd4)); -assign csrbankarray_csrbank0_scratch0_re = ((csrbankarray_csrbank0_sel & csrbankarray_interface0_bank_bus_we) & (csrbankarray_interface0_bank_bus_adr[3:0] == 3'd4)); -assign uart_eventmanager_pending_r = csrbankarray_interface2_bank_bus_dat_w[1:0]; -assign csrbankarray_csrbank0_scratch1_re = ((csrbankarray_csrbank0_sel & csrbankarray_interface0_bank_bus_we) & (csrbankarray_interface0_bank_bus_adr[3:0] == 2'd3)); -always @(*) begin - error = 1'd0; - if (done) begin - error = 1'd1; - end -end -assign uart_rxtx_we = ((csrbankarray_csrbank2_sel & (~csrbankarray_interface2_bank_bus_we)) & (csrbankarray_interface2_bank_bus_adr[2:0] == 1'd0)); -assign wait_1 = ((shared_stb & shared_cyc) & (~shared_ack)); -assign csrbankarray_csrbank1_reload0_r = csrbankarray_interface1_bank_bus_dat_w[7:0]; -assign done = (count == 1'd0); -assign csrbankarray_csrbank1_load1_r = csrbankarray_interface1_bank_bus_dat_w[7:0]; -always @(*) begin - array_muxed7 = 2'd0; - case (grant) - 1'd0: begin - array_muxed7 = simsoc_blackparrotrv64_ibus_bte; - end - default: begin - array_muxed7 = simsoc_blackparrotrv64_dbus_bte; - end - endcase -end -assign simsoc_rom_bus_adr = shared_adr; -assign simsoc_rom_bus_dat_w = shared_dat_w; -assign simsoc_rom_bus_dat_r = simsoc_rom_dat_r; -assign simsoc_rom_bus_sel = shared_sel; -assign csrbankarray_csrbank1_value0_r = csrbankarray_interface1_bank_bus_dat_w[7:0]; -assign simsoc_rom_bus_cyc = (shared_cyc & slave_sel[0]); -assign csrbankarray_csrbank0_scratch0_w = simsoc_ctrl_storage[7:0]; -assign simsoc_rom_bus_stb = shared_stb; -assign csrbankarray_interface0_bank_bus_we = csrcon_we; -assign simsoc_rom_bus_we = shared_we; -assign simsoc_rom_bus_cti = shared_cti; -assign simsoc_rom_bus_bte = shared_bte; -assign csrbankarray_csrbank0_scratch3_re = ((csrbankarray_csrbank0_sel & csrbankarray_interface0_bank_bus_we) & (csrbankarray_interface0_bank_bus_adr[3:0] == 1'd1)); -assign csrbankarray_csrbank0_scratch3_r = csrbankarray_interface0_bank_bus_dat_w[7:0]; -assign simsoc_rom_adr = simsoc_rom_bus_adr[12:0]; -assign csrbankarray_csrbank0_scratch3_w = simsoc_ctrl_storage[31:24]; -assign csrbankarray_csrbank0_scratch2_re = ((csrbankarray_csrbank0_sel & csrbankarray_interface0_bank_bus_we) & (csrbankarray_interface0_bank_bus_adr[3:0] == 2'd2)); -assign csrbankarray_csrbank0_scratch2_r = csrbankarray_interface0_bank_bus_dat_w[7:0]; -assign csrbankarray_csrbank0_scratch2_we = ((csrbankarray_csrbank0_sel & (~csrbankarray_interface0_bank_bus_we)) & (csrbankarray_interface0_bank_bus_adr[3:0] == 2'd2)); -assign simsoc_sram_bus_adr = shared_adr; -assign csrbankarray_csrbank0_scratch2_w = simsoc_ctrl_storage[23:16]; -assign simsoc_sram_bus_dat_w = shared_dat_w; -assign simsoc_sram_bus_dat_r = simsoc_sram_dat_r; -assign simsoc_sram_bus_sel = shared_sel; -assign simsoc_sram_bus_cyc = (shared_cyc & slave_sel[1]); -assign csrbankarray_csrbank0_bus_errors0_w = simsoc_ctrl_bus_errors_status[7:0]; -assign uart_rx_fifo_syncfifo_we = uart_rx_fifo_sink_valid; -always @(*) begin - simsoc_interface_adr = 14'd0; - case (state) - 1'd1: begin - end - default: begin - if ((simsoc_bus_wishbone_cyc & simsoc_bus_wishbone_stb)) begin - simsoc_interface_adr = simsoc_bus_wishbone_adr; - end - end - endcase -end -assign simsoc_sram_bus_we = shared_we; -assign simsoc_sram_bus_cti = shared_cti; -assign uart_rxtx_w = uart_rx_fifo_source_payload_data; -assign simsoc_sram_bus_bte = shared_bte; -assign csrbankarray_csrbank0_scratch0_r = csrbankarray_interface0_bank_bus_dat_w[7:0]; -assign csrbankarray_csrbank1_ev_enable0_re = ((csrbankarray_csrbank1_sel & csrbankarray_interface1_bank_bus_we) & (csrbankarray_interface1_bank_bus_adr[4:0] == 5'd16)); -assign csrbankarray_csrbank0_scratch0_we = ((csrbankarray_csrbank0_sel & (~csrbankarray_interface0_bank_bus_we)) & (csrbankarray_interface0_bank_bus_adr[3:0] == 3'd4)); -assign simsoc_sram_adr = simsoc_sram_bus_adr[9:0]; -always @(*) begin - simsoc_sram_we = 4'd0; - simsoc_sram_we[0] = (((simsoc_sram_bus_cyc & simsoc_sram_bus_stb) & simsoc_sram_bus_we) & simsoc_sram_bus_sel[0]); - simsoc_sram_we[1] = (((simsoc_sram_bus_cyc & simsoc_sram_bus_stb) & simsoc_sram_bus_we) & simsoc_sram_bus_sel[1]); - simsoc_sram_we[2] = (((simsoc_sram_bus_cyc & simsoc_sram_bus_stb) & simsoc_sram_bus_we) & simsoc_sram_bus_sel[2]); - simsoc_sram_we[3] = (((simsoc_sram_bus_cyc & simsoc_sram_bus_stb) & simsoc_sram_bus_we) & simsoc_sram_bus_sel[3]); -end -assign csrbankarray_csrbank0_bus_errors3_re = ((csrbankarray_csrbank0_sel & csrbankarray_interface0_bank_bus_we) & (csrbankarray_interface0_bank_bus_adr[3:0] == 3'd5)); -assign simsoc_sram_dat_w = simsoc_sram_bus_dat_w; -assign csrbankarray_csrbank0_bus_errors3_r = csrbankarray_interface0_bank_bus_dat_w[7:0]; -assign csrbankarray_csrbank0_bus_errors3_we = ((csrbankarray_csrbank0_sel & (~csrbankarray_interface0_bank_bus_we)) & (csrbankarray_interface0_bank_bus_adr[3:0] == 3'd5)); - -always @(posedge por_clk) begin - int_rst <= 1'd0; -end - -always @(posedge sys_clk_1) begin - if ((simsoc_ctrl_bus_errors != 32'd4294967295)) begin - if (simsoc_ctrl_bus_error) begin - simsoc_ctrl_bus_errors <= (simsoc_ctrl_bus_errors + 1'd1); - end - end - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_pipe_ce) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_valid_n <= simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_valid; - end - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_pipe_ce) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_first_n <= (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_valid & simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_first); - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_n <= (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_valid & simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_last); - end - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_pipe_ce) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_addr <= simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_addr; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_burst <= simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_burst; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_len <= simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_len; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_size <= simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_size; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_lock <= simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_lock; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_prot <= simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_prot; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_cache <= simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_cache; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_qos <= simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_qos; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_id <= simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_sink_payload_id; - end - if ((simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_ready)) begin - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_ax_beat_last) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_count <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_offset <= 1'd0; - end else begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_count <= (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_count + 1'd1); - if ((((simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_burst == 1'd1) & 1'd1) | ((simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_burst == 2'd2) & 1'd1))) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_offset <= (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_offset + simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_size); - end - end - if (((simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_burst == 2'd2) & 1'd1)) begin - if ((simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_offset == simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_wrap)) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_offset <= 1'd0; - end - end - end - axi2axilite0_state <= axi2axilite0_next_state; - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done_axi2axilite0_next_value_ce0) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done <= simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done_axi2axilite0_next_value0; - end - if (simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value_ce1) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n <= simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n_axi2axilite0_next_value1; - end - axilite2wishbone0_state <= axilite2wishbone0_next_state; - if (simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value_ce0) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n <= simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone0_next_value0; - end - if (simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_data_axilite2wishbone0_next_value_ce1) begin - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_data <= simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_data_axilite2wishbone0_next_value1; - end - if (simsoc_blackparrotrv64_mem_a2w_reset) begin - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_addr <= 32'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_burst <= 2'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_len <= 8'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_size <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_lock <= 2'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_prot <= 3'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_cache <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_qos <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_id <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_valid_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_first_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_count <= 8'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_offset <= 12'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_data <= 64'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n <= 1'd0; - axi2axilite0_state <= 2'd0; - axilite2wishbone0_state <= 3'd0; - end - if (simsoc_blackparrotrv64_mem_dc_counter_reset) begin - simsoc_blackparrotrv64_mem_dc_counter <= 1'd0; - end else begin - if (simsoc_blackparrotrv64_mem_dc_counter_ce) begin - simsoc_blackparrotrv64_mem_dc_counter <= (simsoc_blackparrotrv64_mem_dc_counter + 1'd1); - end - end - if ((simsoc_blackparrotrv64_mem_dc_read & simsoc_blackparrotrv64_mem_dc_counter_ce)) begin - simsoc_blackparrotrv64_mem_dc_cached_data <= simsoc_blackparrotrv64_mem_wb_dat_r; - end - converter0_state <= converter0_next_state; - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_pipe_ce) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_valid_n <= simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_valid; - end - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_pipe_ce) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_first_n <= (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_valid & simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_first); - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_n <= (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_valid & simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_last); - end - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_pipe_ce) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_addr <= simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_addr; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_burst <= simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_burst; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_len <= simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_len; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_size <= simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_size; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_lock <= simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_lock; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_prot <= simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_prot; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_cache <= simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_cache; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_qos <= simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_qos; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_id <= simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_sink_payload_id; - end - if ((simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_valid & simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_ready)) begin - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_ax_beat_last) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_count <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_offset <= 1'd0; - end else begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_count <= (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_count + 1'd1); - if ((((simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_burst == 1'd1) & 1'd1) | ((simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_burst == 2'd2) & 1'd1))) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_offset <= (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_offset + simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_size); - end - end - if (((simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_burst == 2'd2) & 1'd1)) begin - if ((simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_offset == simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_wrap)) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_offset <= 1'd0; - end - end - end - axi2axilite1_state <= axi2axilite1_next_state; - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done_axi2axilite1_next_value_ce0) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done <= simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done_axi2axilite1_next_value0; - end - if (simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value_ce1) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n <= simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n_axi2axilite1_next_value1; - end - axilite2wishbone1_state <= axilite2wishbone1_next_state; - if (simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value_ce0) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n <= simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n_axilite2wishbone1_next_value0; - end - if (simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_data_axilite2wishbone1_next_value_ce1) begin - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_data <= simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_data_axilite2wishbone1_next_value1; - end - if (simsoc_blackparrotrv64_mmio_a2w_reset) begin - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_addr <= 32'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_burst <= 2'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_len <= 8'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_size <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_lock <= 2'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_prot <= 3'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_cache <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_qos <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_id <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_valid_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_first_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_count <= 8'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_offset <= 12'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_data <= 64'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n <= 1'd0; - axi2axilite1_state <= 2'd0; - axilite2wishbone1_state <= 3'd0; - end - if (simsoc_blackparrotrv64_mmio_dc_counter_reset) begin - simsoc_blackparrotrv64_mmio_dc_counter <= 1'd0; - end else begin - if (simsoc_blackparrotrv64_mmio_dc_counter_ce) begin - simsoc_blackparrotrv64_mmio_dc_counter <= (simsoc_blackparrotrv64_mmio_dc_counter + 1'd1); - end - end - if ((simsoc_blackparrotrv64_mmio_dc_read & simsoc_blackparrotrv64_mmio_dc_counter_ce)) begin - simsoc_blackparrotrv64_mmio_dc_cached_data <= simsoc_blackparrotrv64_mmio_wb_dat_r; - end - converter1_state <= converter1_next_state; - simsoc_rom_bus_ack <= 1'd0; - if (((simsoc_rom_bus_cyc & simsoc_rom_bus_stb) & (~simsoc_rom_bus_ack))) begin - simsoc_rom_bus_ack <= 1'd1; - end - simsoc_sram_bus_ack <= 1'd0; - if (((simsoc_sram_bus_cyc & simsoc_sram_bus_stb) & (~simsoc_sram_bus_ack))) begin - simsoc_sram_bus_ack <= 1'd1; - end - simsoc_main_ram_bus_ack <= 1'd0; - if (((simsoc_main_ram_bus_cyc & simsoc_main_ram_bus_stb) & (~simsoc_main_ram_bus_ack))) begin - simsoc_main_ram_bus_ack <= 1'd1; - end - if (simsoc_en_storage) begin - if ((simsoc_value == 1'd0)) begin - simsoc_value <= simsoc_reload_storage; - end else begin - simsoc_value <= (simsoc_value - 1'd1); - end - end else begin - simsoc_value <= simsoc_load_storage; - end - if (simsoc_update_value_re) begin - simsoc_value_status <= simsoc_value; - end - if (simsoc_zero_clear) begin - simsoc_zero_pending <= 1'd0; - end - simsoc_zero_old_trigger <= simsoc_zero_trigger; - if (((~simsoc_zero_trigger) & simsoc_zero_old_trigger)) begin - simsoc_zero_pending <= 1'd1; - end - state <= next_state; - if (uart_tx_clear) begin - uart_tx_pending <= 1'd0; - end - uart_tx_old_trigger <= uart_tx_trigger; - if (((~uart_tx_trigger) & uart_tx_old_trigger)) begin - uart_tx_pending <= 1'd1; - end - if (uart_rx_clear) begin - uart_rx_pending <= 1'd0; - end - uart_rx_old_trigger <= uart_rx_trigger; - if (((~uart_rx_trigger) & uart_rx_old_trigger)) begin - uart_rx_pending <= 1'd1; - end - if (uart_tx_fifo_syncfifo_re) begin - uart_tx_fifo_readable <= 1'd1; - end else begin - if (uart_tx_fifo_re) begin - uart_tx_fifo_readable <= 1'd0; - end - end - if (((uart_tx_fifo_syncfifo_we & uart_tx_fifo_syncfifo_writable) & (~uart_tx_fifo_replace))) begin - uart_tx_fifo_produce <= (uart_tx_fifo_produce + 1'd1); - end - if (uart_tx_fifo_do_read) begin - uart_tx_fifo_consume <= (uart_tx_fifo_consume + 1'd1); - end - if (((uart_tx_fifo_syncfifo_we & uart_tx_fifo_syncfifo_writable) & (~uart_tx_fifo_replace))) begin - if ((~uart_tx_fifo_do_read)) begin - uart_tx_fifo_level0 <= (uart_tx_fifo_level0 + 1'd1); - end - end else begin - if (uart_tx_fifo_do_read) begin - uart_tx_fifo_level0 <= (uart_tx_fifo_level0 - 1'd1); - end - end - if (uart_rx_fifo_syncfifo_re) begin - uart_rx_fifo_readable <= 1'd1; - end else begin - if (uart_rx_fifo_re) begin - uart_rx_fifo_readable <= 1'd0; - end - end - if (((uart_rx_fifo_syncfifo_we & uart_rx_fifo_syncfifo_writable) & (~uart_rx_fifo_replace))) begin - uart_rx_fifo_produce <= (uart_rx_fifo_produce + 1'd1); - end - if (uart_rx_fifo_do_read) begin - uart_rx_fifo_consume <= (uart_rx_fifo_consume + 1'd1); - end - if (((uart_rx_fifo_syncfifo_we & uart_rx_fifo_syncfifo_writable) & (~uart_rx_fifo_replace))) begin - if ((~uart_rx_fifo_do_read)) begin - uart_rx_fifo_level0 <= (uart_rx_fifo_level0 + 1'd1); - end - end else begin - if (uart_rx_fifo_do_read) begin - uart_rx_fifo_level0 <= (uart_rx_fifo_level0 - 1'd1); - end - end - case (grant) - 1'd0: begin - if ((~request[0])) begin - if (request[1]) begin - grant <= 1'd1; - end - end - end - 1'd1: begin - if ((~request[1])) begin - if (request[0]) begin - grant <= 1'd0; - end - end - end - endcase - slave_sel_r <= slave_sel; - if (wait_1) begin - if ((~done)) begin - count <= (count - 1'd1); - end - end else begin - count <= 20'd1000000; - end - csrbankarray_interface0_bank_bus_dat_r <= 1'd0; - if (csrbankarray_csrbank0_sel) begin - case (csrbankarray_interface0_bank_bus_adr[3:0]) - 1'd0: begin - csrbankarray_interface0_bank_bus_dat_r <= simsoc_ctrl_reset_reset_w; - end - 1'd1: begin - csrbankarray_interface0_bank_bus_dat_r <= csrbankarray_csrbank0_scratch3_w; - end - 2'd2: begin - csrbankarray_interface0_bank_bus_dat_r <= csrbankarray_csrbank0_scratch2_w; - end - 2'd3: begin - csrbankarray_interface0_bank_bus_dat_r <= csrbankarray_csrbank0_scratch1_w; - end - 3'd4: begin - csrbankarray_interface0_bank_bus_dat_r <= csrbankarray_csrbank0_scratch0_w; - end - 3'd5: begin - csrbankarray_interface0_bank_bus_dat_r <= csrbankarray_csrbank0_bus_errors3_w; - end - 3'd6: begin - csrbankarray_interface0_bank_bus_dat_r <= csrbankarray_csrbank0_bus_errors2_w; - end - 3'd7: begin - csrbankarray_interface0_bank_bus_dat_r <= csrbankarray_csrbank0_bus_errors1_w; - end - 4'd8: begin - csrbankarray_interface0_bank_bus_dat_r <= csrbankarray_csrbank0_bus_errors0_w; - end - endcase - end - if (csrbankarray_csrbank0_scratch3_re) begin - simsoc_ctrl_storage[31:24] <= csrbankarray_csrbank0_scratch3_r; - end - if (csrbankarray_csrbank0_scratch2_re) begin - simsoc_ctrl_storage[23:16] <= csrbankarray_csrbank0_scratch2_r; - end - if (csrbankarray_csrbank0_scratch1_re) begin - simsoc_ctrl_storage[15:8] <= csrbankarray_csrbank0_scratch1_r; - end - if (csrbankarray_csrbank0_scratch0_re) begin - simsoc_ctrl_storage[7:0] <= csrbankarray_csrbank0_scratch0_r; - end - simsoc_ctrl_re <= csrbankarray_csrbank0_scratch0_re; - csrbankarray_sel_r <= csrbankarray_sel; - csrbankarray_interface1_bank_bus_dat_r <= 1'd0; - if (csrbankarray_csrbank1_sel) begin - case (csrbankarray_interface1_bank_bus_adr[4:0]) - 1'd0: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_load3_w; - end - 1'd1: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_load2_w; - end - 2'd2: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_load1_w; - end - 2'd3: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_load0_w; - end - 3'd4: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_reload3_w; - end - 3'd5: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_reload2_w; - end - 3'd6: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_reload1_w; - end - 3'd7: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_reload0_w; - end - 4'd8: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_en0_w; - end - 4'd9: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_update_value0_w; - end - 4'd10: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_value3_w; - end - 4'd11: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_value2_w; - end - 4'd12: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_value1_w; - end - 4'd13: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_value0_w; - end - 4'd14: begin - csrbankarray_interface1_bank_bus_dat_r <= simsoc_eventmanager_status_w; - end - 4'd15: begin - csrbankarray_interface1_bank_bus_dat_r <= simsoc_eventmanager_pending_w; - end - 5'd16: begin - csrbankarray_interface1_bank_bus_dat_r <= csrbankarray_csrbank1_ev_enable0_w; - end - endcase - end - if (csrbankarray_csrbank1_load3_re) begin - simsoc_load_storage[31:24] <= csrbankarray_csrbank1_load3_r; - end - if (csrbankarray_csrbank1_load2_re) begin - simsoc_load_storage[23:16] <= csrbankarray_csrbank1_load2_r; - end - if (csrbankarray_csrbank1_load1_re) begin - simsoc_load_storage[15:8] <= csrbankarray_csrbank1_load1_r; - end - if (csrbankarray_csrbank1_load0_re) begin - simsoc_load_storage[7:0] <= csrbankarray_csrbank1_load0_r; - end - simsoc_load_re <= csrbankarray_csrbank1_load0_re; - if (csrbankarray_csrbank1_reload3_re) begin - simsoc_reload_storage[31:24] <= csrbankarray_csrbank1_reload3_r; - end - if (csrbankarray_csrbank1_reload2_re) begin - simsoc_reload_storage[23:16] <= csrbankarray_csrbank1_reload2_r; - end - if (csrbankarray_csrbank1_reload1_re) begin - simsoc_reload_storage[15:8] <= csrbankarray_csrbank1_reload1_r; - end - if (csrbankarray_csrbank1_reload0_re) begin - simsoc_reload_storage[7:0] <= csrbankarray_csrbank1_reload0_r; - end - simsoc_reload_re <= csrbankarray_csrbank1_reload0_re; - if (csrbankarray_csrbank1_en0_re) begin - simsoc_en_storage <= csrbankarray_csrbank1_en0_r; - end - simsoc_en_re <= csrbankarray_csrbank1_en0_re; - if (csrbankarray_csrbank1_update_value0_re) begin - simsoc_update_value_storage <= csrbankarray_csrbank1_update_value0_r; - end - simsoc_update_value_re <= csrbankarray_csrbank1_update_value0_re; - if (csrbankarray_csrbank1_ev_enable0_re) begin - simsoc_eventmanager_storage <= csrbankarray_csrbank1_ev_enable0_r; - end - simsoc_eventmanager_re <= csrbankarray_csrbank1_ev_enable0_re; - csrbankarray_interface2_bank_bus_dat_r <= 1'd0; - if (csrbankarray_csrbank2_sel) begin - case (csrbankarray_interface2_bank_bus_adr[2:0]) - 1'd0: begin - csrbankarray_interface2_bank_bus_dat_r <= uart_rxtx_w; - end - 1'd1: begin - csrbankarray_interface2_bank_bus_dat_r <= csrbankarray_csrbank2_txfull_w; - end - 2'd2: begin - csrbankarray_interface2_bank_bus_dat_r <= csrbankarray_csrbank2_rxempty_w; - end - 2'd3: begin - csrbankarray_interface2_bank_bus_dat_r <= uart_eventmanager_status_w; - end - 3'd4: begin - csrbankarray_interface2_bank_bus_dat_r <= uart_eventmanager_pending_w; - end - 3'd5: begin - csrbankarray_interface2_bank_bus_dat_r <= csrbankarray_csrbank2_ev_enable0_w; - end - endcase - end - if (csrbankarray_csrbank2_ev_enable0_re) begin - uart_eventmanager_storage[1:0] <= csrbankarray_csrbank2_ev_enable0_r; - end - uart_eventmanager_re <= csrbankarray_csrbank2_ev_enable0_re; - if (sys_rst) begin - simsoc_ctrl_storage <= 32'd305419896; - simsoc_ctrl_re <= 1'd0; - simsoc_ctrl_bus_errors <= 32'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_addr <= 32'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_burst <= 2'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_len <= 8'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_size <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_lock <= 2'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_prot <= 3'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_cache <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_qos <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_source_payload_id <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_valid_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_first_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_count <= 8'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_beat_offset <= 12'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_cmd_done <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi2axi_lite_last_ar_aw_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_data <= 64'd0; - simsoc_blackparrotrv64_axi2wishbone0_axi_lite2wishbone_last_ar_aw_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_addr <= 32'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_burst <= 2'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_len <= 8'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_size <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_lock <= 2'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_prot <= 3'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_cache <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_qos <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_source_payload_id <= 4'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_valid_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_first_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_count <= 8'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_beat_offset <= 12'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_cmd_done <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi2axi_lite_last_ar_aw_n <= 1'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_data <= 64'd0; - simsoc_blackparrotrv64_axi2wishbone1_axi_lite2wishbone_last_ar_aw_n <= 1'd0; - simsoc_blackparrotrv64_mem_dc_counter <= 1'd0; - simsoc_blackparrotrv64_mem_dc_cached_data <= 64'd0; - simsoc_blackparrotrv64_mmio_dc_counter <= 1'd0; - simsoc_blackparrotrv64_mmio_dc_cached_data <= 64'd0; - simsoc_rom_bus_ack <= 1'd0; - simsoc_sram_bus_ack <= 1'd0; - simsoc_main_ram_bus_ack <= 1'd0; - simsoc_load_storage <= 32'd0; - simsoc_load_re <= 1'd0; - simsoc_reload_storage <= 32'd0; - simsoc_reload_re <= 1'd0; - simsoc_en_storage <= 1'd0; - simsoc_en_re <= 1'd0; - simsoc_update_value_storage <= 1'd0; - simsoc_update_value_re <= 1'd0; - simsoc_value_status <= 32'd0; - simsoc_zero_pending <= 1'd0; - simsoc_zero_old_trigger <= 1'd0; - simsoc_eventmanager_storage <= 1'd0; - simsoc_eventmanager_re <= 1'd0; - simsoc_value <= 32'd0; - uart_tx_pending <= 1'd0; - uart_tx_old_trigger <= 1'd0; - uart_rx_pending <= 1'd0; - uart_rx_old_trigger <= 1'd0; - uart_eventmanager_storage <= 2'd0; - uart_eventmanager_re <= 1'd0; - uart_tx_fifo_readable <= 1'd0; - uart_tx_fifo_level0 <= 5'd0; - uart_tx_fifo_produce <= 4'd0; - uart_tx_fifo_consume <= 4'd0; - uart_rx_fifo_readable <= 1'd0; - uart_rx_fifo_level0 <= 5'd0; - uart_rx_fifo_produce <= 4'd0; - uart_rx_fifo_consume <= 4'd0; - axi2axilite0_state <= 2'd0; - axilite2wishbone0_state <= 3'd0; - converter0_state <= 2'd0; - axi2axilite1_state <= 2'd0; - axilite2wishbone1_state <= 3'd0; - converter1_state <= 2'd0; - state <= 1'd0; - grant <= 1'd0; - slave_sel_r <= 4'd0; - count <= 20'd1000000; - csrbankarray_interface0_bank_bus_dat_r <= 8'd0; - csrbankarray_sel_r <= 1'd0; - csrbankarray_interface1_bank_bus_dat_r <= 8'd0; - csrbankarray_interface2_bank_bus_dat_r <= 8'd0; - end -end - -ExampleBlackParrotSystemHEY ExampleBlackParrotSystemHEY( - .clock(sys_clk_1), - .debug_clockeddmi_dmiClock(1'd0), - .debug_clockeddmi_dmiReset(1'd0), - .debug_clockeddmi_dmi_req_bits_addr(1'd0), - .debug_clockeddmi_dmi_req_bits_data(1'd0), - .debug_clockeddmi_dmi_req_bits_op(1'd0), - .debug_clockeddmi_dmi_req_valid(1'd0), - .debug_clockeddmi_dmi_resp_ready(1'd0), - .interrupts(simsoc_blackparrotrv64_interrupt), - .mem_axi4_0_ar_ready(simsoc_blackparrotrv64_mem_axi_ar_ready), - .mem_axi4_0_aw_ready(simsoc_blackparrotrv64_mem_axi_aw_ready), - .mem_axi4_0_b_bits_id(simsoc_blackparrotrv64_mem_axi_b_payload_id), - .mem_axi4_0_b_bits_resp(simsoc_blackparrotrv64_mem_axi_b_payload_resp), - .mem_axi4_0_b_valid(simsoc_blackparrotrv64_mem_axi_b_valid), - .mem_axi4_0_r_bits_data(simsoc_blackparrotrv64_mem_axi_r_payload_data), - .mem_axi4_0_r_bits_id(simsoc_blackparrotrv64_mem_axi_r_payload_id), - .mem_axi4_0_r_bits_last(simsoc_blackparrotrv64_mem_axi_r_last), - .mem_axi4_0_r_bits_resp(simsoc_blackparrotrv64_mem_axi_r_payload_resp), - .mem_axi4_0_r_valid(simsoc_blackparrotrv64_mem_axi_r_valid), - .mem_axi4_0_w_ready(simsoc_blackparrotrv64_mem_axi_w_ready), - .mmio_axi4_0_ar_ready(simsoc_blackparrotrv64_mmio_axi_ar_ready), - .mmio_axi4_0_aw_ready(simsoc_blackparrotrv64_mmio_axi_aw_ready), - .mmio_axi4_0_b_bits_id(simsoc_blackparrotrv64_mmio_axi_b_payload_id), - .mmio_axi4_0_b_bits_resp(simsoc_blackparrotrv64_mmio_axi_b_payload_resp), - .mmio_axi4_0_b_valid(simsoc_blackparrotrv64_mmio_axi_b_valid), - .mmio_axi4_0_r_bits_data(simsoc_blackparrotrv64_mmio_axi_r_payload_data), - .mmio_axi4_0_r_bits_id(simsoc_blackparrotrv64_mmio_axi_r_payload_id), - .mmio_axi4_0_r_bits_last(simsoc_blackparrotrv64_mmio_axi_r_last), - .mmio_axi4_0_r_bits_resp(simsoc_blackparrotrv64_mmio_axi_r_payload_resp), - .mmio_axi4_0_r_valid(simsoc_blackparrotrv64_mmio_axi_r_valid), - .mmio_axi4_0_w_ready(simsoc_blackparrotrv64_mmio_axi_w_ready), - .reset((sys_rst | simsoc_blackparrotrv64_reset)), - .mem_axi4_0_ar_bits_addr(simsoc_blackparrotrv64_mem_axi_ar_payload_addr), - .mem_axi4_0_ar_bits_burst(simsoc_blackparrotrv64_mem_axi_ar_payload_burst), - .mem_axi4_0_ar_bits_cache(simsoc_blackparrotrv64_mem_axi_ar_payload_cache), - .mem_axi4_0_ar_bits_id(simsoc_blackparrotrv64_mem_axi_ar_payload_id), - .mem_axi4_0_ar_bits_len(simsoc_blackparrotrv64_mem_axi_ar_payload_len), - .mem_axi4_0_ar_bits_lock(simsoc_blackparrotrv64_mem_axi_ar_payload_lock), - .mem_axi4_0_ar_bits_prot(simsoc_blackparrotrv64_mem_axi_ar_payload_prot), - .mem_axi4_0_ar_bits_qos(simsoc_blackparrotrv64_mem_axi_ar_payload_qos), - .mem_axi4_0_ar_bits_size(simsoc_blackparrotrv64_mem_axi_ar_payload_size), - .mem_axi4_0_ar_valid(simsoc_blackparrotrv64_mem_axi_ar_valid), - .mem_axi4_0_aw_bits_addr(simsoc_blackparrotrv64_mem_axi_aw_payload_addr), - .mem_axi4_0_aw_bits_burst(simsoc_blackparrotrv64_mem_axi_aw_payload_burst), - .mem_axi4_0_aw_bits_cache(simsoc_blackparrotrv64_mem_axi_aw_payload_cache), - .mem_axi4_0_aw_bits_id(simsoc_blackparrotrv64_mem_axi_aw_payload_id), - .mem_axi4_0_aw_bits_len(simsoc_blackparrotrv64_mem_axi_aw_payload_len), - .mem_axi4_0_aw_bits_lock(simsoc_blackparrotrv64_mem_axi_aw_payload_lock), - .mem_axi4_0_aw_bits_prot(simsoc_blackparrotrv64_mem_axi_aw_payload_prot), - .mem_axi4_0_aw_bits_qos(simsoc_blackparrotrv64_mem_axi_aw_payload_qos), - .mem_axi4_0_aw_bits_size(simsoc_blackparrotrv64_mem_axi_aw_payload_size), - .mem_axi4_0_aw_valid(simsoc_blackparrotrv64_mem_axi_aw_valid), - .mem_axi4_0_b_ready(simsoc_blackparrotrv64_mem_axi_b_ready), - .mem_axi4_0_r_ready(simsoc_blackparrotrv64_mem_axi_r_ready), - .mem_axi4_0_w_bits_data(simsoc_blackparrotrv64_mem_axi_w_payload_data), - .mem_axi4_0_w_bits_last(simsoc_blackparrotrv64_mem_axi_w_last), - .mem_axi4_0_w_bits_strb(simsoc_blackparrotrv64_mem_axi_w_payload_strb), - .mem_axi4_0_w_valid(simsoc_blackparrotrv64_mem_axi_w_valid), - .mmio_axi4_0_ar_bits_addr(simsoc_blackparrotrv64_mmio_axi_ar_payload_addr), - .mmio_axi4_0_ar_bits_burst(simsoc_blackparrotrv64_mmio_axi_ar_payload_burst), - .mmio_axi4_0_ar_bits_cache(simsoc_blackparrotrv64_mmio_axi_ar_payload_cache), - .mmio_axi4_0_ar_bits_id(simsoc_blackparrotrv64_mmio_axi_ar_payload_id), - .mmio_axi4_0_ar_bits_len(simsoc_blackparrotrv64_mmio_axi_ar_payload_len), - .mmio_axi4_0_ar_bits_lock(simsoc_blackparrotrv64_mmio_axi_ar_payload_lock), - .mmio_axi4_0_ar_bits_prot(simsoc_blackparrotrv64_mmio_axi_ar_payload_prot), - .mmio_axi4_0_ar_bits_qos(simsoc_blackparrotrv64_mmio_axi_ar_payload_qos), - .mmio_axi4_0_ar_bits_size(simsoc_blackparrotrv64_mmio_axi_ar_payload_size), - .mmio_axi4_0_ar_valid(simsoc_blackparrotrv64_mmio_axi_ar_valid), - .mmio_axi4_0_aw_bits_addr(simsoc_blackparrotrv64_mmio_axi_aw_payload_addr), - .mmio_axi4_0_aw_bits_burst(simsoc_blackparrotrv64_mmio_axi_aw_payload_burst), - .mmio_axi4_0_aw_bits_cache(simsoc_blackparrotrv64_mmio_axi_aw_payload_cache), - .mmio_axi4_0_aw_bits_id(simsoc_blackparrotrv64_mmio_axi_aw_payload_id), - .mmio_axi4_0_aw_bits_len(simsoc_blackparrotrv64_mmio_axi_aw_payload_len), - .mmio_axi4_0_aw_bits_lock(simsoc_blackparrotrv64_mmio_axi_aw_payload_lock), - .mmio_axi4_0_aw_bits_prot(simsoc_blackparrotrv64_mmio_axi_aw_payload_prot), - .mmio_axi4_0_aw_bits_qos(simsoc_blackparrotrv64_mmio_axi_aw_payload_qos), - .mmio_axi4_0_aw_bits_size(simsoc_blackparrotrv64_mmio_axi_aw_payload_size), - .mmio_axi4_0_aw_valid(simsoc_blackparrotrv64_mmio_axi_aw_valid), - .mmio_axi4_0_b_ready(simsoc_blackparrotrv64_mmio_axi_b_ready), - .mmio_axi4_0_r_ready(simsoc_blackparrotrv64_mmio_axi_r_ready), - .mmio_axi4_0_w_bits_data(simsoc_blackparrotrv64_mmio_axi_w_payload_data), - .mmio_axi4_0_w_bits_last(simsoc_blackparrotrv64_mmio_axi_w_last), - .mmio_axi4_0_w_bits_strb(simsoc_blackparrotrv64_mmio_axi_w_payload_strb), - .mmio_axi4_0_w_valid(simsoc_blackparrotrv64_mmio_axi_w_valid) -); - -reg [31:0] mem[0:8191]; -reg [31:0] memdat; -always @(posedge sys_clk_1) begin - memdat <= mem[simsoc_rom_adr]; -end - -assign simsoc_rom_dat_r = memdat; - -initial begin - $readmemh("mem.init", mem); -end - -reg [31:0] mem_1[0:1023]; -reg [9:0] memadr; -always @(posedge sys_clk_1) begin - if (simsoc_sram_we[0]) - mem_1[simsoc_sram_adr][7:0] <= simsoc_sram_dat_w[7:0]; - if (simsoc_sram_we[1]) - mem_1[simsoc_sram_adr][15:8] <= simsoc_sram_dat_w[15:8]; - if (simsoc_sram_we[2]) - mem_1[simsoc_sram_adr][23:16] <= simsoc_sram_dat_w[23:16]; - if (simsoc_sram_we[3]) - mem_1[simsoc_sram_adr][31:24] <= simsoc_sram_dat_w[31:24]; - memadr <= simsoc_sram_adr; -end - -assign simsoc_sram_dat_r = mem_1[memadr]; - -initial begin - $readmemh("mem_1.init", mem_1); -end - -reg [31:0] mem_2[0:67108863]; -reg [25:0] memadr_1; -always @(posedge sys_clk_1) begin - if (simsoc_main_ram_we[0]) - mem_2[simsoc_main_ram_adr][7:0] <= simsoc_main_ram_dat_w[7:0]; - if (simsoc_main_ram_we[1]) - mem_2[simsoc_main_ram_adr][15:8] <= simsoc_main_ram_dat_w[15:8]; - if (simsoc_main_ram_we[2]) - mem_2[simsoc_main_ram_adr][23:16] <= simsoc_main_ram_dat_w[23:16]; - if (simsoc_main_ram_we[3]) - mem_2[simsoc_main_ram_adr][31:24] <= simsoc_main_ram_dat_w[31:24]; - memadr_1 <= simsoc_main_ram_adr; -end - -assign simsoc_main_ram_dat_r = mem_2[memadr_1]; - -initial begin - $readmemh("mem_2.init", mem_2); -end - -reg [7:0] mem_3[0:36]; -reg [5:0] memadr_2; -always @(posedge sys_clk_1) begin - memadr_2 <= csrbankarray_adr; -end - -assign csrbankarray_dat_r = mem_3[memadr_2]; - -initial begin - $readmemh("mem_3.init", mem_3); -end - -reg [9:0] storage[0:15]; -reg [9:0] memdat_1; -reg [9:0] memdat_2; -always @(posedge sys_clk_1) begin - if (uart_tx_fifo_wrport_we) - storage[uart_tx_fifo_wrport_adr] <= uart_tx_fifo_wrport_dat_w; - memdat_1 <= storage[uart_tx_fifo_wrport_adr]; -end - -always @(posedge sys_clk_1) begin - if (uart_tx_fifo_rdport_re) - memdat_2 <= storage[uart_tx_fifo_rdport_adr]; -end - -assign uart_tx_fifo_wrport_dat_r = memdat_1; -assign uart_tx_fifo_rdport_dat_r = memdat_2; - -reg [9:0] storage_1[0:15]; -reg [9:0] memdat_3; -reg [9:0] memdat_4; -always @(posedge sys_clk_1) begin - if (uart_rx_fifo_wrport_we) - storage_1[uart_rx_fifo_wrport_adr] <= uart_rx_fifo_wrport_dat_w; - memdat_3 <= storage_1[uart_rx_fifo_wrport_adr]; -end - -always @(posedge sys_clk_1) begin - if (uart_rx_fifo_rdport_re) - memdat_4 <= storage_1[uart_rx_fifo_rdport_adr]; -end - -assign uart_rx_fifo_wrport_dat_r = memdat_3; -assign uart_rx_fifo_rdport_dat_r = memdat_4; - -endmodule diff --git a/litex/tools/simout2/gateware/log b/litex/tools/simout2/gateware/log deleted file mode 100644 index 58b143cd48..0000000000 --- a/litex/tools/simout2/gateware/log +++ /dev/null @@ -1,52 +0,0 @@ -make: Entering directory '/home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware' -$VV_FILE is [-f /home/scanakci/Research_sado/litex/litex/litex/soc/cores/cpu/blackparrot/pre-alpha-release/bp_top/syn/flist.verilator] -mkdir -p /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir -make -C /home/scanakci/Research_sado/litex/litex/litex/build/sim/core/modules -make[1]: Entering directory '/home/scanakci/Research_sado/litex/litex/litex/build/sim/core/modules' -make -C ethernet -make[2]: Entering directory '/home/scanakci/Research_sado/litex/litex/litex/build/sim/core/modules/ethernet' -gcc -c -Wall -O3 -ggdb -fPIC -Werror -Itapcfg/src/include -I../.. -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/ethernet.o ethernet.c -gcc -Wall -O3 -ggdb -fPIC -Werror -Itapcfg/src/include -c -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/tapcfg.o tapcfg/src/lib/tapcfg.c -gcc -Wall -O3 -ggdb -fPIC -Werror -Itapcfg/src/include -c -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/taplog.o tapcfg/src/lib/taplog.c -gcc -levent -shared -fPIC -Wl,-soname,/home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/ethernet.so -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/ethernet.so /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/ethernet.o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/tapcfg.o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/taplog.o -make[2]: Leaving directory '/home/scanakci/Research_sado/litex/litex/litex/build/sim/core/modules/ethernet' -make -C serial2console -make[2]: Entering directory '/home/scanakci/Research_sado/litex/litex/litex/build/sim/core/modules/serial2console' -gcc -c -Wall -O3 -ggdb -fPIC -Werror -I../.. -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/serial2console.o serial2console.c -gcc -levent -shared -fPIC -Wl,-soname,/home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/serial2console.so -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/serial2console.so /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/serial2console.o -rm /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/serial2console.o -make[2]: Leaving directory '/home/scanakci/Research_sado/litex/litex/litex/build/sim/core/modules/serial2console' -make -C serial2tcp -make[2]: Entering directory '/home/scanakci/Research_sado/litex/litex/litex/build/sim/core/modules/serial2tcp' -gcc -c -Wall -O3 -ggdb -fPIC -Werror -I../.. -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/serial2tcp.o serial2tcp.c -gcc -levent -shared -fPIC -Wl,-soname,/home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/serial2tcp.so -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/serial2tcp.so /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/serial2tcp.o -rm /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/serial2tcp.o -make[2]: Leaving directory '/home/scanakci/Research_sado/litex/litex/litex/build/sim/core/modules/serial2tcp' -make -C clocker -make[2]: Entering directory '/home/scanakci/Research_sado/litex/litex/litex/build/sim/core/modules/clocker' -gcc -c -Wall -O3 -ggdb -fPIC -Werror -I../.. -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/clocker.o clocker.c -gcc -levent -shared -fPIC -Wl,-soname,/home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/clocker.so -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/clocker.so /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/clocker.o -rm /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/clocker.o -make[2]: Leaving directory '/home/scanakci/Research_sado/litex/litex/litex/build/sim/core/modules/clocker' -make[1]: Leaving directory '/home/scanakci/Research_sado/litex/litex/litex/build/sim/core/modules' -gcc -c -Wall -O3 -ggdb -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/modules.o /home/scanakci/Research_sado/litex/litex/litex/build/sim/core/modules.c -gcc -c -Wall -O3 -ggdb -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/pads.o /home/scanakci/Research_sado/litex/litex/litex/build/sim/core/pads.c -gcc -c -Wall -O3 -ggdb -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/sim.o /home/scanakci/Research_sado/litex/litex/litex/build/sim/core/sim.c -gcc -c -Wall -O3 -ggdb -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/libdylib.o /home/scanakci/Research_sado/litex/litex/litex/build/sim/core/libdylib.c -gcc -c -Wall -O3 -ggdb -o /home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware/obj_dir/parse.o /home/scanakci/Research_sado/litex/litex/litex/build/sim/core/parse.c -verilator -Wno-fatal -O3 --cc dut.v --top-module dut --exe \ - -DPRINTF_COND=0 \ - dut_init.cpp /home/scanakci/Research_sado/litex/litex/litex/build/sim/core/veril.cpp modules.o pads.o sim.o libdylib.o parse.o \ - --top-module dut \ - \ - -CFLAGS "-Wall -O3 -ggdb -I/home/scanakci/Research_sado/litex/litex/litex/build/sim/core" \ - -LDFLAGS "-lpthread -ljson-c -lm -lstdc++ -ldl -levent" \ - --trace \ - \ - --unroll-count 256 \ - -I/home/scanakci/Research_sado/litex/litex/litex/soc/cores/cpu/blackparrot/pre-alpha-release/verilog/standard \ - -Wno-BLKANDNBLK \ - -Wno-WIDTH \ - /home/scanakci/Research_sado/litex/litex/litex/soc/cores/cpu/blackparrot/pre-alpha-release/bp_top/syn/flist.verilator -/home/scanakci/Research_sado/litex/litex/litex/build/sim/core/Makefile:31: recipe for target 'sim' failed -make: Leaving directory '/home/scanakci/Research_sado/litex/litex/litex/tools/simout2/gateware' diff --git a/litex/tools/simout2/gateware/mem.init b/litex/tools/simout2/gateware/mem.init deleted file mode 100644 index 04566302cd..0000000000 --- a/litex/tools/simout2/gateware/mem.init +++ /dev/null @@ -1,3011 +0,0 @@ -1a8b5 -10001 -10001 -10001 -fe113c23 -fe513823 -fe613423 -fe713023 -fca13c23 -fcb13823 -fcc13423 -fcd13023 -fae13c23 -faf13823 -fb013423 -fb113023 -f9c13c23 -f9d13823 -f9e13423 -f9f13023 -ef7119 -70e60900 -732672c6 -65667386 -662665c6 -77626686 -782277c2 -6e627882 -6f226ec2 -61096f82 -30200073 -1001117 -f8410113 -517 -f8c50513 -30551073 -1000517 -f7050513 -1000597 -b058593 -b50663 -53023 -bfdd0521 -16000ef -1537 -8005051b -30451073 -2ae000ef -7b7a001 -47050c00 -c798c3d8 -cb98c7d8 -c0027b7 -c3984779 -c2007b7 -7a023 -715d8082 -f84afc26 -f052f44e -e85aec56 -e062e45e -e0a2e486 -69096489 -6a096989 -6b096a89 -6c096b89 -c2007b7 -240143c0 -60a6ec09 -74e26406 -79a27942 -6ae27a02 -6ba26b42 -61616c02 -47898082 -f41863 -4b6010ef -c2007b7 -b7f9c3c0 -851385a2 -10efc404 -27b745c0 -438c0c00 -c6090513 -15d59b -44a010ef -c0017b7 -8513438c -d59bc809 -10ef0015 -25f34380 -5133410 -10efca0a -25f342c0 -85133420 -10efcb8a -25f34200 -5133430 -10efcd0b -25f34140 -85133040 -10efce8b -25f34080 -5133440 -10efd00c -65093fc0 -d1850513 -3f2010ef -1101b751 -400007b7 -aaaab737 -e822ec06 -713e426 -8693aaa7 -c3982007 -9ee30791 -10effed7 -7b73f00 -b7374000 -4401aaaa -aaa70713 -20078613 -26814394 -e68363 -7912405 -fec79ae3 -400007b7 -55555737 -55570713 -20078693 -791c398 -fed79ee3 -3b2010ef -400007b7 -55555737 -55570713 -20078613 -26814394 -e68363 -7912405 -fec79ae3 -6509c809 -10000613 -51385a2 -10efd385 -663735c0 -f6b70019 -7b73c6e -47014000 -60d6061b -35f6869b -40200537 -2c7073b -9f350791 -fee7ae23 -fea79ae3 -352010ef -1966b7 -3c6ef637 -40000737 -47814481 -60d6869b -35f6061b -40200537 -2d787bb -2581430c -83639fb1 -248500b7 -17e30711 -c889fea7 -6376509 -85a60008 -d6050513 -2ea010ef -400006b7 -6914781 -97136609 -859b0027 -97360007 -785c30c -fec799e3 -2ee010ef -400006b7 -47816641 -6914501 -6589167d -279713 -43189736 -7881b -8f712701 -1070363 -7852505 -feb794e3 -85aacd11 -66096509 -d8850513 -28e010ef -60e24501 -64a26442 -80826105 -f8759c25 -5136509 -10efdb05 -45052740 -1101b7dd -842ae822 -5936108 -ec060200 -efe426 -ed090ab0 -85266004 -1b7000ef -e0089526 -644260e2 -64a28526 -80826105 -50023 -5056004 -7121b7ed -fb22ff06 -f34af726 -eb52ef4e -e35ae756 -fae2fede -f2eaf6e6 -27b7eeee -a0230c00 -60730007 -64093004 -3f0010ef -47040513 -202010ef -5136509 -10efdc05 -65091f80 -de850513 -1ee010ef -5136509 -10efe105 -65091e40 -e3850513 -1da010ef -47040513 -1d2010ef -5136509 -10efe605 -65091c80 -e8850513 -1be010ef -47040513 -1b6010ef -5136509 -10efeb05 -658d1ac0 -f085a483 -793 -f0858593 -5139d9d -ef0000 -61b73f0 -85a60005 -12c49563 -5136509 -10efed85 -5131800 -10ef4704 -65091780 -f6050513 -16e010ef -5136509 -10eff805 -5131640 -10ef4704 -650915c0 -fa050513 -152010ef -5136509 -10effd85 -65091480 -ff050513 -13e010ef -45856509 -ff850513 -132010ef -5936509 -5130200 -10ef0085 -65091240 -5134591 -10ef0285 -65091180 -405b7 -4850513 -10a010ef -47040513 -102010ef -5136509 -10ef0685 -5130f80 -10ef4704 -65090f00 -a050513 -e6010ef -4b0000ef -6509c511 -d850513 -d6010ef -47040513 -ce010ef -5136509 -10ef0f05 -6a090c40 -6a896989 -5136509 -10ef1285 -44810680 -40108a3 -1000937 -4bb54b29 -7f00c13 -4d214c9d -7d3000ef -94783 -4a10823 -fea78ae3 -90023 -b650163 -2ab6c63 -ff9502e3 -3a50c63 -10ef0888 -47030280 -a9c0501 -802397a6 -2485ece7 -6509b7e1 -ef850513 -5a010ef -5136509 -10eff285 -bdc10500 -1750b63 -fd8518e3 -513d4c5 -34fd140a -7ef000ef -23bf71 -a9c0169 -802394be -513ec04 -ef4704 -81c7d90 -e43e0028 -dafff0ef -85936589 -84aa1485 -6da000ef -12051963 -f0ef0028 -892ad99f -f0ef0028 -4783d91f -84aa0009 -6509eb99 -15050513 -7eb000ef -47b5b735 -f90023 -4601b77d -854a088c -c3000ef -8baa67c6 -7c783 -6509c789 -16850513 -c783bfe1 -c3850004 -46018526 -ef088c -67c60a10 -5049b -7c783 -6509c791 -18050513 -4491bf55 -5136509 -8c5e1985 -ef2481 -6c897450 -45636d09 -5130090 -bf594704 -891b47c1 -d3630004 -49410097 -85de6509 -1a850513 -76b000ef -6d894b01 -16c07b3 -7c583 -1b8d8513 -ef0b05 -79b7550 -c5e3000b -8b4aff27 -15634dc1 -851305bb -ef1b09 -4b0173d0 -5e00d93 -16c07b3 -7c583 -fe05879b -ff7f793 -2fdfa63 -1c0d0513 -71b000ef -79b0b05 -cfe3000b -8b4afd27 -12634dc1 -9c4a03bb -412484bb -bf959bca -fe8c8513 -6f7000ef -b7752b05 -1c8a8513 -6eb000ef -8513bfc1 -ef1b09 -2b056e10 -6589bfc9 -1d058593 -ef8526 -ed4159c0 -f0ef0028 -84aac5df -f0ef0028 -8b2ac55f -f0ef0028 -c783c4df -892a0004 -4783c781 -e789000b -5136509 -bd5d1d85 -46018526 -ef088c -67c67840 -c78384aa -92e30007 -855aec07 -88c4601 -76e000ef -8b2a67c6 -7c783 -6509c789 -1f850513 -4783b551 -47050009 -4601c385 -854a088c -74a000ef -71b67c6 -c7830005 -c7890007 -5136509 -bdb92105 -468187a6 -d8e684e3 -167a023 -7912685 -6589bfd5 -22858593 -ef8526 -ed594f80 -f0ef0028 -8b2abb9f -f0ef0028 -84aabb1f -f0ef0028 -4783ba9f -892a000b -c783c781 -e7890004 -5136509 -bd092305 -4601855a -ef088c -67c66e00 -c7838b2a -c7890007 -5136509 -bbdd2485 -46018526 -ef088c -67c66c40 -c78384aa -c7890007 -5136509 -bbe92685 -94783 -cf814705 -88c4601 -ef854a -67c66a00 -5071b -7c783 -87a6ffa1 -b334681 -8633409b -8fe30167 -438ccce6 -7912685 -bfc5c20c -85936589 -85262885 -44e000ef -28e52d -b0fff0ef -28892a -b07ff0ef -94783 -c78184aa -54783 -6509e789 -29050513 -854abb85 -88c4601 -63e000ef -892a67c6 -7c783 -d6079fe3 -88c4601 -ef8526 -67c66280 -7c783 -d80797e3 -5059b -ef854a -59b2d30 -65090005 -2a850513 -51b000ef -6589b9b1 -2b858593 -ef8526 -e9193d80 -ef0888 -650952d0 -513088c -ef2c05 -b92d4f90 -85936589 -85262d05 -3b6000ef -793e519 -7e60410 -c3984705 -6589b105 -2d858593 -ef8526 -e50139c0 -98000ef -6589b131 -2e858593 -ef8526 -69093880 -6509e535 -2f050513 -43d000ef -5136509 -ef3105 -65094330 -33050513 -429000ef -5136509 -ef3585 -51341f0 -efef09 -65094170 -37850513 -40d000ef -5136509 -ef3b85 -5134030 -efef09 -65093fb0 -3d850513 -3f1000ef -5136509 -ef3f85 -5133e70 -efef09 -be493df0 -ef090593 -ef8526 -3e33100 -6509b805 -41850513 -8682b1b1 -710d6509 -43050513 -eaa2ee86 -e2cae6a6 -fa52fe4e -f25af656 -ea62ee5e -417000ef -64896509 -44850513 -52048413 -407000ef -52048493 -44503 -17b7e141 -7864100 -8207a023 -8007a823 -8007aa23 -8007ac23 -8007ae23 -8007a023 -a223470d -71380e7 -a4233d00 -d73780e7 -7130003 -a6230907 -470580e7 -82e7a023 -82e7a223 -843e4b01 -5100913 -4a3949ed -27034a85 -179b8284 -27030087 -8f5d82c4 -83042783 -87171b -971b8fd9 -27830087 -8fd98344 -eb892781 -5136509 -a05d5005 -4dd000ef -bf9d0405 -4b9000ef -efc545 -4634650 -2631b25 -87b31b35 -c7830164 -94630107 -2b0508a7 -94b1663 -498d4b01 -44854a11 -49154a89 -43b000ef -a10423 -433000ef -a104a3 -42b000ef -a10523 -810b93 -41f000ef -a105a3 -44018c5e -814583 -4a630c05 -478304b4 -8a6300b1 -47830737 -440300a1 -5850091 -8c5d07a2 -83a10422 -5138c5d -144200b1 -61000ef -25019041 -2850e63 -16632b05 -6509032b -47850513 -2d7000ef -2501a041 -f8650513 -153b13 -83542223 -efbf29 -1a33b90 -240500ac -513bf71 -ef0430 -b7ad4130 -b14783 -8c63c7b1 -f7930757 -98630fd7 -47830c97 -470300c1 -7e200d1 -8fd90742 -f14703 -47038fd9 -72200e1 -63b8fd9 -468340fa -73b0081 -b8500f6 -2d74b63 -b14783 -5134b01 -9fe304b0 -b775f097 -4b00513 -3b9000ef -60f64505 -64b66456 -79f26916 -7ab27a52 -6bf27b12 -61356c52 -c7038082 -785007b -fee78fa3 -4403bf5d -468300c1 -51300d1 -46204b0 -8c5506c2 -f14683 -46838c55 -6a200e1 -ef8c55 -650936f0 -51385a2 -ef4a05 -65092010 -4c850513 -1f7000ef -41d000ef -c0027b7 -7a023 -30047073 -203000ef -203000ef -460186a2 -45014581 -d9fff0ef -2b05a001 -ef2b07e3 -5500513 -6509bf09 -51050513 -1bb000ef -b7954501 -ff5f593 -54783 -b79363 -c3998082 -bfcd0505 -80824501 -54703 -4501eb19 -8fe38082 -785fee6 -7c683 -505fafd -87aeb7e5 -95aabfd5 -ff67613 -b50563 -54783 -4501e399 -fe38082 -505fef6 -87aab7f5 -5c703 -7850585 -fee78fa3 -8082fb75 -87aa962a -c79363 -c7038082 -80230005 -c31100e7 -7850585 -872ab7f5 -74683 -5c783 -87bb0585 -951b40f6 -551b0187 -e1194185 -f2fd0705 -86aa8082 -14634701 -450100c7 -87b38082 -c80300e6 -87b30007 -c78300e5 -7bb0007 -951b40f8 -551b0187 -f16d4185 -fe0800e3 -bfd10705 -c68387aa -873e0007 -fee50785 -5c783 -7050585 -fef70fa3 -8082fbf5 -872ace19 -74683 -70587ba -963efee5 -5c703 -7850585 -fee78fa3 -8082e311 -fec798e3 -78023 -87aa8082 -7c703 -8533e701 -808240a7 -bfcd0785 -e8221101 -842ee426 -ec0684aa -fe3ff0ef -74139526 -47830ff4 -86630005 -157d0087 -fe957be3 -60e24501 -64a26442 -80826105 -87aa95aa -b79563 -40a78533 -c7038082 -db7d0007 -b7fd0785 -450187aa -a78733 -74683 -8082ea89 -d60963 -46030705 -fa7d0007 -872e8082 -505bfdd -86aab7c5 -14634701 -450100e6 -87b3a829 -853300e6 -c78300e5 -45030007 -7050005 -40a7853b -8082d16d -87aa962a -c79363 -7858082 -feb78fa3 -4781bfd5 -f61363 -87338082 -468300f5 -7330007 -78500f5 -d70023 -f563b7e5 -469302a5 -4781fff6 -916317fd -808202f6 -f58733 -74683 -f50733 -230785 -97e300d7 -8082fec7 -bfe54781 -f60733 -e58833 -84803 -23972a -b7e90107 -f0227179 -852e842a -f406e44e -e84aec26 -89aee052 -ed7ff0ef -892ac50d -f0ef8522 -84aaecdf -a40a33 -409a0433 -124f463 -a8014401 -85ce864a -14fd8522 -f2fff0ef -70a2f565 -74028522 -694264e2 -6a0269a2 -80826145 -f593962a -14630ff5 -450100c5 -47038082 -7930005 -be30015 -853efeb7 -6689b7ed -54703 -869387aa -e2395506 -3000513 -1d634629 -c70302a7 -85130017 -86330017 -460300e6 -8a090006 -3701c601 -ff77713 -5800613 -8c71163 -27c703 -47039736 -77130007 -cb250447 -46410789 -a82d4501 -1de34541 -513fea6 -19e30300 -c703fea7 -85330017 -450300e6 -89090005 -3701c501 -ff77713 -5800513 -fca71ae3 -b7f90789 -2a60533 -953a0785 -7c703 -e68833 -84803 -7089b -4487313 -30a63 -487313 -30c63 -fd08871b -fcc76ae3 -e19cc191 -87aa8082 -bf494621 -287813 -80563 -77133701 -71b0ff7 -bff9fc97 -54683 -2d00713 -e69c63 -5051141 -f0efe406 -60a2f1df -40a00533 -80820141 -6689b739 -8693872a -45015506 -631045a9 -64783 -c78397b6 -8b910007 -8082e391 -160793 -87bbe31c -450302a5 -9fa90006 -fd07851b -88aabfe9 -5136509 -73135505 -e930408 -4631285 -e930003 -75131005 -c1190108 -ffe87813 -ffe6831b -2200e13 -69634501 -711d1e6e -7513eca2 -f930018 -e1190300 -2000f93 -287313 -9634501 -51630003 -6330806 -377d40c0 -2d00513 -2087313 -3029b -30663 -93634341 -37790866 -613e649 -4230300 -430500c1 -5363861a -863e00f3 -40c707bb -60e1b -1187613 -ca55873e -f463c511 -802300b8 -88500a8 -28c63 -96634721 -f6630ae6 -71300b8 -80230300 -88500e8 -1087813 -e080363 -69b8746 -853b000e -81301c8 -a0dd0300 -487313 -30663 -513377d -bfbd02b0 -887313 -f6030ce3 -513377d -b7bd0200 -9fe34321 -377df666 -e13bfa5 -43010081 -2d67f33 -e052305 -4f039f76 -fa3000f -8f32ffee -2d65633 -fedf74e3 -7463b785 -2300b6 -6050086 -40c38f3b -ffe049e3 -d363863a -46010007 -6079b -98be377d -40c707bb -8646bf81 -11783bb -2000413 -4741bfe1 -f6e692e3 -b8f663 -3000713 -e88023 -188713 -b77663 -21ec703 -e880a3 -b7890889 -b77463 -1f70023 -6bb0705 -49e340e6 -86befed0 -7d363 -871b4681 -98ba0006 -fff7871b -40d707bb -8746b705 -117863b -7463bfe9 -2300b7 -7050107 -40e5063b -fec349e3 -46634501 -853b006e -15024066 -95469101 -86aa871a -7061b -2c04563 -872a951a -a7863b -2000813 -40e606bb -2d04663 -7889b -7d363 -64664881 -61259546 -f8638082 -3000b6 -4603963a -8023fff6 -68500c6 -bf7d177d -b77463 -1070023 -b7d90705 -11418082 -e406e022 -ef842e -64636060 -51b0085 -60a2fff4 -1416402 -715d8082 -1034f436 -f83aec06 -e0c2fc3e -e436e4c6 -5e0000ef -616160e2 -715d8082 -1034f436 -ec06e822 -f83a842e -e0c2fc3e -e436e4c6 -5c0000ef -856463 -fff4051b -644260e2 -80826161 -862e86b2 -800005b7 -fff5c593 -715da345 -862ef032 -800005b7 -c593f436 -1014fff5 -f83aec06 -e0c2fc3e -e436e4c6 -580000ef -616160e2 -7378082 -27830100 -5130047 -53b0810 -67b702f5 -879b3619 -9d3d2e97 -a72223 -7b78082 -a2230100 -808200a7 -11416509 -54050513 -efe406 -a0013000 -185171b -185579b -8fd966c1 -f0068693 -85571b -8fd98f75 -85151b -ff0737 -8d5d8d79 -80822501 -85579b -8d5d0522 -91411542 -171b8082 -579b0185 -66c10185 -86938fd9 -571bf006 -8f750085 -151b8fd9 -7370085 -8d7900ff -25018d5d -579b8082 -5220085 -15428d5d -80829141 -6689872a -9db94501 -6a068693 -40e587bb -f04363 -46038082 -579b0007 -7050085 -78a8fb1 -d60397b6 -179b0007 -17c20085 -453393c1 -bfd900f6 -872a668d -8bb57fd -481d00b5 -aa068693 -40e8863b -4c86563 -35d61b -73b5761 -d69b02c7 -68e0035 -9db99536 -660dc595 -61386aa -c703aa06 -6850006 -77138f3d -70a0ff7 -43189732 -87d79b -873b8fb9 -9f2940d5 -f3652781 -fff7c513 -46038082 -7210007 -76138e3d -60a0ff6 -42109636 -87d79b -46038fb1 -2781ff97 -76138e3d -60a0ff6 -42109636 -87d79b -47838e3d -2601ffa7 -f7938fb1 -78a0ff7 -a30397b6 -561b0007 -47830086 -4333ffb7 -230100c3 -f347b3 -ff7f793 -97b6078a -531b4390 -47830083 -4633ffc7 -26010066 -f7938fb1 -78a0ff7 -a30397b6 -561b0007 -47830086 -4333ffd7 -230100c3 -f347b3 -ff7f793 -97b6078a -531b4390 -47830083 -4633ffe7 -26010066 -f7938fb1 -78a0ff7 -a30397b6 -561b0007 -43330086 -460300c3 -2301fff7 -c34633 -ff67613 -9636060a -531b421c -c3330083 -79b0067 -bdd90003 -10007b7 -a7bc23 -7b78082 -b8230100 -7b700a7 -b4230100 -808200b7 -e8221101 -7513842a -ec060ff5 -efe42a -7b72520 -b7830100 -c3990187 -97826522 -156347a9 -453500f4 -fd9ff0ef -852260e2 -61056442 -11418082 -e406e022 -1000437 -204000ef -6402c509 -14160a2 -3783a26d -d7fd0084 -d56d9782 -7b76402 -60a20100 -107b303 -83020141 -e4061141 -1d8000ef -7b7ed01 -b7830100 -c7810087 -35339782 -60a200a0 -80820141 -bfe54505 -e0221141 -842ae406 -44503 -4529e909 -f65ff0ef -640260a2 -1414505 -f0ef8082 -405f57f -1141b7d5 -e406e022 -4503842a -e5090004 -640260a2 -80820141 -f39ff0ef -b7f50405 -862a716d -850a86ae -10000593 -e222e606 -c53ff0ef -842a021c -850a97aa -f0078023 -fc3ff0ef -852260b2 -61516412 -711d8082 -102cf42e -f832ec06 -e0bafc36 -e8c2e4be -e42eecc6 -fbdff0ef -612560e2 -18082 -18082 -27b78082 -87370008 -7850020 -7b20715 -4394072a -5050791 -fed50fa3 -fee79be3 -17b78082 -7864100 -61b4b98 -8b090007 -537cf11 -5b70100 -8370100 -5130100 -48890305 -77134798 -c7010ff7 -ea0d8a05 -a7038082 -268302c5 -27050288 -7f77713 -e68d63 -2c5a683 -7a303 -2e5a623 -92811682 -802396aa -a8230066 -b7d90117 -410017b7 -47050786 -1000637 -6b7cb98 -5b70100 -6130100 -a7030306 -a5030206 -27010245 -e50663 -771343d8 -c3110ff7 -a7038082 -17020206 -97329301 -8074703 -a703c398 -27050206 -7f77713 -2e6a023 -2673b7e9 -7373000 -8a210100 -2872783 -5b786ba -c6150100 -2c5a703 -de32701 -9613fef7 -7370207 -92010100 -3070713 -97322785 -7f7f793 -74503 -2f6a423 -a703a039 -450102c5 -1ce32701 -8082fcf7 -10007b7 -2c7a503 -1000737 -5079b -2872503 -35338d1d -808200a0 -10006b7 -246a783 -f7932785 -277307f7 -8b213000 -1000637 -2703cf29 -27010206 -fef70de3 -c0025b7 -27014198 -ffe77813 -c1989b69 -2062603 -246a703 -19632601 -163700e6 -6064100 -f593424c -c9850ff5 -2071613 -1000737 -7139201 -97320307 -8a70023 -2f6a223 -c0027b7 -107a023 -2703a031 -27010206 -faf716e3 -25018082 -b7ddc208 -10007b7 -207a623 -10007b7 -207a423 -10007b7 -207a223 -10007b7 -207a023 -410017b7 -4b980786 -ff77713 -470dcb98 -2737cbd8 -431c0c00 -9bf92781 -47e793 -8082c31c -10007b7 -247a703 -10006b7 -206a783 -9de32781 -8082fee7 -fc867119 -f4a6f8a2 -eccef0ca -e4d6e8d2 -fc5ee0da -f466f862 -ec6ef06a -9793e432 -cc630205 -a334207 -8aaa00b5 -89368b2e -aa7563 -fff54b13 -6b895a7d -6c8d6c0d -bd03678d -8456f007 -550b8b93 -ea8c0c13 -ea0c8c93 -713ac21 -88630250 -746300e7 -230144 -40500f4 -4981aafd -2b00693 -2d00613 -3000593 -2000513 -2300813 -7936722 -e43e0017 -174783 -10d78363 -ef6e763 -10a78263 -11078363 -fb86b3 -6c683 -8f638a91 -280e06 -ef2ff0ef -672284aa -2e00693 -460357fd -15630007 -79302d6 -e43e0017 -174683 -db87b3 -7c783 -cbe58b91 -f0ef0028 -79bec4f -53630005 -47810005 -51366a2 -567d02e0 -6c583 -fb45871b -ff77713 -2e56963 -ed5733 -c7058b05 -168713 -713e43a -861b06c0 -9c630005 -c70300e5 -6130016 -166306c0 -68900c7 -613e436 -672204c0 -7800693 -74703 -2e6e063 -6200693 -8e6ee63 -2500693 -28d70363 -5800693 -409e993 -28d70263 -1447663 -2500793 -f40023 -71367a2 -c6830014 -85630007 -74632806 -a30147 -40900d4 -8763a0ed -9de300c7 -e993f0b7 -bddd0019 -109e993 -e993bdc5 -b5ed0049 -89e993 -e993b5d5 -bdf90209 -2a00693 -94e354fd -2483f0d7 -7090009 -921e43a -ee04dde3 -409004bb -109e993 -613b5fd -478102a0 -f0c69ee3 -25030709 -e43a0009 -b7110921 -f9d7071b -ff77713 -e9e346d5 -70af6e6 -43189762 -f9938702 -9f630109 -87260209 -69387a2 -a0310200 -147f463 -d78023 -377d0785 -fee04ae3 -fff4871b -55634781 -17930090 -93810207 -8526943e -904363 -9f094505 -17049b -890613 -1447663 -92783 -f40023 -86a60405 -71387a2 -36fd0200 -4d04a63 -56634701 -871b0090 -1702fff4 -943a9301 -67a28932 -e43e0785 -c78367a2 -92e30007 -663de07 -7d63000b -231d44 -53b0004 -70e64154 -74a67446 -69e67906 -6aa66a46 -7be26b06 -7ca27c42 -6de27d02 -80826109 -147f463 -e78023 -b7450785 -890d93 -93903 -91363 -85be8966 -f0ef854a -f993aa2f -79b0109 -95630005 -87220409 -4869b -8485bb -2000813 -7463a031 -230147 -7050107 -40e5863b -fec7c9e3 -40a6873b -c5634681 -169300f4 -92810207 -861b9436 -4681fff4 -f4c463 -40e006bb -c684bb -69b4701 -c0630007 -470104f6 -7c563 -2051713 -5339301 -872a00e4 -4869b -a485bb -2000813 -40e5863b -2c7c963 -c7634401 -87bb00f4 -941340f6 -90010207 -896e942a -6b3b729 -f86300e4 -6330146 -460300e9 -80230006 -70500c6 -7463b75d -230147 -7050107 -577db7c1 -e49563 -19e993 -360344c1 -d930009 -884e0089 -46c18726 -85d28522 -c32ff0ef -bf55842a -6c00693 -93783 -41540733 -14630921 -e39800d6 -7613b56d -693fdf6 -ae305a0 -c398fed6 -7ce3bd69 -23c944 -b94100e4 -71346c1 -d9304c0 -11630089 -360302e6 -884e0009 -b77d8726 -29e993 -b7d546a9 -e43e17fd -b5a5843a -bfe146a1 -6c00713 -fce60fe3 -fdf67713 -5a00593 -fcb709e3 -7400713 -fce605e3 -29f593 -6800513 -92703 -1c632581 -e58900a6 -3071613 -bf459241 -107161b -4106561b -61bb75d -f1c50007 -2071613 -bf619201 -fe0a0fa3 -4501b52d -b52d -50202323 -3a43494c -686e5520 -6c646e61 -63206465 -6d69616c -6425203a -a -6c702023 -655f6369 -6c62616e -203a6465 -25202020 -a783830 -0 -0 -6c702023 -705f6369 -69646e65 -203a676e -25202020 -a783830 -0 -0 -656d2023 -203a6370 -25202020 -6c363130 -a78 -0 -636d2023 -65737561 -2520203a -6c363130 -a78 -0 -746d2023 -3a6c6176 -25202020 -6c363130 -a78 -0 -696d2023 -20203a65 -25202020 -6c363130 -a78 -0 -696d2023 -20203a70 -25202020 -6c363130 -a78 -0 -23232323 -23232323 -23232323 -23232323 -23232323 -23232323 -a232323 -a -746d654d -20747365 -20737562 -6c696166 -203a6465 -252f6425 -72652064 -73726f72 -a -0 -746d654d -20747365 -61746164 -69616620 -3a64656c -2f642520 -65206425 -726f7272 -a73 -0 -746d654d -20747365 -72646461 -69616620 -3a64656c -2f642520 -65206425 -726f7272 -a73 -0 -746d654d -20747365 -a4b4f -0 -6d315b1b -20202020 -20202020 -20205f5f -5f205f20 -2020205f -5f202020 -5f5f2020 -6d305b1b -a -6d315b1b -20202020 -2f202020 -20202f20 -20295f28 -5f5f5f2f -207c205f -2f5f2f7c -6d305b1b -a -6d315b1b -20202020 -202f2020 -2f5f5f2f -5f202f20 -2d202f5f -203e295f -5b1b3c20 -a6d30 -0 -6d315b1b -20202020 -5f5f2f20 -5f2f5f5f -5f5f5c2f -5f5f5c2f -7c2f5f2f -5b1b7c5f -a6d30 -0 -29632820 -706f4320 -67697279 -32207468 -2d323130 -39313032 -6a6e4520 -442d796f -74696769 -a6c61 -29632820 -706f4320 -67697279 -32207468 -2d373030 -35313032 -4c2d4d20 -20736261 -a64744c -0 -4f494220 -75622053 -20746c69 -4f206e6f -31207463 -30322030 -31203931 -38353a37 -a39313a -0 -4f494220 -52432053 -61702043 -64657373 -30252820 -a297838 -0 -0 -4f494220 -52432053 -61662043 -64656c69 -78652820 -74636570 -25206465 -2c783830 -746f6720 -38302520 -a2978 -0 -65685420 -73797320 -206d6574 -6c6c6977 -6e6f6320 -756e6974 -62202c65 -65207475 -63657078 -72702074 -656c626f -a2e736d -0 -0 -67694d20 -67206e65 -73207469 -3a316168 -61373520 -31313337 -a -0 -74694c20 -67205865 -73207469 -3a316168 -65623220 -30353433 -a -0 -3d3d2d2d -3d3d3d3d -3d3d3d3d -1b203d3d -536d315b -6920436f -1b6f666e -206d305b -3d3d3d3d -3d3d3d3d -3d3d3d3d -3d3d3d3d -a2d2d -0 -6d315b1b -1b555043 -3a6d305b -20202020 -202020 -0 -6e6b6e55 -6e776f -25204020 -7a484d64 -a -0 -6d315b1b -1b4d4f52 -3a6d305b -20202020 -25202020 -a424b64 -0 -0 -6d315b1b -4d415253 -6d305b1b -2020203a -25202020 -a424b64 -0 -0 -6d315b1b -4e49414d -4d41522d -6d305b1b -2520203a -a424b64 -0 -0 -3d3d2d2d -3d3d3d3d -203d3d3d -6d315b1b -69726550 -72656870 -20736c61 -74696e69 -6d305b1b -3d3d3d20 -3d3d3d3d -3d3d3d3d -a2d2d -0 -3d3d2d2d -3d3d3d3d -3d3d3d3d -315b1b20 -6f6f426d -65732074 -6e657571 -5b1b6563 -3d206d30 -3d3d3d3d -3d3d3d3d -3d3d3d3d -a2d2d -0 -62206f4e -20746f6f -6964656d -66206d75 -646e756f -a -3d3d2d2d -3d3d3d3d -3d3d3d3d -203d3d3d -6d315b1b -736e6f43 -1b656c6f -206d305b -3d3d3d3d -3d3d3d3d -3d3d3d3d -3d3d3d3d -a2d2d -0 -32395b1b -6c6d313b -78657469 -6d305b1b -203e -0 -82008 -0 -726d -0 -3c20726d -72646461 -3e737365 -656c5b20 -6874676e -a5d -6f636e69 -63657272 -64612074 -73657264 -a73 -0 -6f636e69 -63657272 -656c2074 -6874676e -a -0 -6f6d654d -64207972 -3a706d75 -0 -2578300a -20783830 -20 -0 -78323025 -20 -2e -0 -6325 -0 -776d -0 -3c20776d -72646461 -3e737365 -61763c20 -3e65756c -6f635b20 -5d746e75 -a -6f636e69 -63657272 -61762074 -a65756c -0 -0 -6f636e69 -63657272 -6f632074 -a746e75 -0 -0 -636d -0 -3c20636d -3e747364 -72733c20 -5b203e63 -6e756f63 -a5d74 -6f636e69 -63657272 -65642074 -6e697473 -6f697461 -6461206e -73657264 -a73 -6f636e69 -63657272 -6f732074 -65637275 -64646120 -73736572 -a -0 -637263 -0 -20637263 -6464613c -73736572 -6c3c203e -74676e65 -a3e68 -33435243 -25203a32 -a783830 -0 -6e656469 -74 -6e656449 -25203a74 -a73 -0 -6f626572 -746f -69726573 -6f626c61 -746f -0 -706c6568 -0 -6574694c -49422058 -202c534f -69617661 -6c62616c -6f632065 -6e616d6d -3a7364 -2020726d -20202020 -2d202020 -61657220 -64612064 -73657264 -70732073 -656361 -2020776d -20202020 -2d202020 -69727720 -61206574 -65726464 -73207373 -65636170 -0 -0 -2020636d -20202020 -2d202020 -706f6320 -64612079 -73657264 -70732073 -656361 -20637263 -20202020 -2d202020 -6d6f6320 -65747570 -43524320 -6f203233 -20612066 -74726170 -20666f20 -20656874 -72646461 -20737365 -63617073 -65 -0 -6e656469 -20202074 -2d202020 -73696420 -79616c70 -65646920 -6669746e -726569 -6f626572 -2020746f -2d202020 -73657220 -70207465 -65636f72 -726f7373 -0 -69726573 -6f626c61 -2d20746f -6f6f6220 -69762074 -46532061 -4c -0 -6d6d6f43 -20646e61 -20746f6e -6e756f66 -a64 -0 -746f6f42 -20676e69 -6d6f7266 -72657320 -2e6c6169 -a2e2e -73657250 -20512073 -4520726f -74204353 -6261206f -2074726f -746f6f62 -6d6f6320 -74656c70 -2e796c65 -a -0 -206f6f54 -796e616d -6e6f6320 -75636573 -65766974 -72726520 -2c73726f -6f626120 -6e697472 -67 -63657845 -6e697475 -6f622067 -6465746f -6f727020 -6d617267 -20746120 -30257830 -a7838 -0 -3d3d2d2d -3d3d3d3d -3d3d3d3d -203d3d3d -6d315b1b -7466694c -2166666f -6d305b1b -3d3d3d20 -3d3d3d3d -3d3d3d3d -3d3d3d3d -a2d2d -0 -656d6954 -a74756f -0 -0 -636e6143 -656c6c65 -a64 -0 -44354c73 -6d4d5364 -726b656b -a6f -4849367a -59633747 -36444944 -a6f -726f6241 -2e646574 -0 -0 -8080808 -8080808 -28282808 -8082828 -8080808 -8080808 -8080808 -8080808 -101010a0 -10101010 -10101010 -10101010 -4040404 -4040404 -10100404 -10101010 -41414110 -1414141 -1010101 -1010101 -1010101 -1010101 -10010101 -10101010 -42424210 -2424242 -2020202 -2020202 -2020202 -2020202 -10020202 -8101010 -0 -0 -0 -0 -0 -0 -0 -0 -101010a0 -10101010 -10101010 -10101010 -10101010 -10101010 -10101010 -10101010 -1010101 -1010101 -1010101 -1010101 -1010101 -10010101 -1010101 -2010101 -2020202 -2020202 -2020202 -2020202 -2020202 -10020202 -2020202 -2020202 -33323130 -37363534 -42413938 -46454443 -4a494847 -4e4d4c4b -5251504f -56555453 -5a595857 -0 -33323130 -37363534 -62613938 -66656463 -6a696867 -6e6d6c6b -7271706f -76757473 -7a797877 -0 -0 -1021 -2042 -3063 -4084 -50a5 -60c6 -70e7 -8108 -9129 -a14a -b16b -c18c -d1ad -e1ce -f1ef -1231 -210 -3273 -2252 -52b5 -4294 -72f7 -62d6 -9339 -8318 -b37b -a35a -d3bd -c39c -f3ff -e3de -2462 -3443 -420 -1401 -64e6 -74c7 -44a4 -5485 -a56a -b54b -8528 -9509 -e5ee -f5cf -c5ac -d58d -3653 -2672 -1611 -630 -76d7 -66f6 -5695 -46b4 -b75b -a77a -9719 -8738 -f7df -e7fe -d79d -c7bc -48c4 -58e5 -6886 -78a7 -840 -1861 -2802 -3823 -c9cc -d9ed -e98e -f9af -8948 -9969 -a90a -b92b -5af5 -4ad4 -7ab7 -6a96 -1a71 -a50 -3a33 -2a12 -dbfd -cbdc -fbbf -eb9e -9b79 -8b58 -bb3b -ab1a -6ca6 -7c87 -4ce4 -5cc5 -2c22 -3c03 -c60 -1c41 -edae -fd8f -cdec -ddcd -ad2a -bd0b -8d68 -9d49 -7e97 -6eb6 -5ed5 -4ef4 -3e13 -2e32 -1e51 -e70 -ff9f -efbe -dfdd -cffc -bf1b -af3a -9f59 -8f78 -9188 -81a9 -b1ca -a1eb -d10c -c12d -f14e -e16f -1080 -a1 -30c2 -20e3 -5004 -4025 -7046 -6067 -83b9 -9398 -a3fb -b3da -c33d -d31c -e37f -f35e -2b1 -1290 -22f3 -32d2 -4235 -5214 -6277 -7256 -b5ea -a5cb -95a8 -8589 -f56e -e54f -d52c -c50d -34e2 -24c3 -14a0 -481 -7466 -6447 -5424 -4405 -a7db -b7fa -8799 -97b8 -e75f -f77e -c71d -d73c -26d3 -36f2 -691 -16b0 -6657 -7676 -4615 -5634 -d94c -c96d -f90e -e92f -99c8 -89e9 -b98a -a9ab -5844 -4865 -7806 -6827 -18c0 -8e1 -3882 -28a3 -cb7d -db5c -eb3f -fb1e -8bf9 -9bd8 -abbb -bb9a -4a75 -5a54 -6a37 -7a16 -af1 -1ad0 -2ab3 -3a92 -fd2e -ed0f -dd6c -cd4d -bdaa -ad8b -9de8 -8dc9 -7c26 -6c07 -5c64 -4c45 -3ca2 -2c83 -1ce0 -cc1 -ef1f -ff3e -cf5d -df7c -af9b -bfba -8fd9 -9ff8 -6e17 -7e36 -4e55 -5e74 -2e93 -3eb2 -ed1 -1ef0 -0 -77073096 -ee0e612c -990951ba -76dc419 -706af48f -e963a535 -9e6495a3 -edb8832 -79dcb8a4 -e0d5e91e -97d2d988 -9b64c2b -7eb17cbd -e7b82d07 -90bf1d91 -1db71064 -6ab020f2 -f3b97148 -84be41de -1adad47d -6ddde4eb -f4d4b551 -83d385c7 -136c9856 -646ba8c0 -fd62f97a -8a65c9ec -14015c4f -63066cd9 -fa0f3d63 -8d080df5 -3b6e20c8 -4c69105e -d56041e4 -a2677172 -3c03e4d1 -4b04d447 -d20d85fd -a50ab56b -35b5a8fa -42b2986c -dbbbc9d6 -acbcf940 -32d86ce3 -45df5c75 -dcd60dcf -abd13d59 -26d930ac -51de003a -c8d75180 -bfd06116 -21b4f4b5 -56b3c423 -cfba9599 -b8bda50f -2802b89e -5f058808 -c60cd9b2 -b10be924 -2f6f7c87 -58684c11 -c1611dab -b6662d3d -76dc4190 -1db7106 -98d220bc -efd5102a -71b18589 -6b6b51f -9fbfe4a5 -e8b8d433 -7807c9a2 -f00f934 -9609a88e -e10e9818 -7f6a0dbb -86d3d2d -91646c97 -e6635c01 -6b6b51f4 -1c6c6162 -856530d8 -f262004e -6c0695ed -1b01a57b -8208f4c1 -f50fc457 -65b0d9c6 -12b7e950 -8bbeb8ea -fcb9887c -62dd1ddf -15da2d49 -8cd37cf3 -fbd44c65 -4db26158 -3ab551ce -a3bc0074 -d4bb30e2 -4adfa541 -3dd895d7 -a4d1c46d -d3d6f4fb -4369e96a -346ed9fc -ad678846 -da60b8d0 -44042d73 -33031de5 -aa0a4c5f -dd0d7cc9 -5005713c -270241aa -be0b1010 -c90c2086 -5768b525 -206f85b3 -b966d409 -ce61e49f -5edef90e -29d9c998 -b0d09822 -c7d7a8b4 -59b33d17 -2eb40d81 -b7bd5c3b -c0ba6cad -edb88320 -9abfb3b6 -3b6e20c -74b1d29a -ead54739 -9dd277af -4db2615 -73dc1683 -e3630b12 -94643b84 -d6d6a3e -7a6a5aa8 -e40ecf0b -9309ff9d -a00ae27 -7d079eb1 -f00f9344 -8708a3d2 -1e01f268 -6906c2fe -f762575d -806567cb -196c3671 -6e6b06e7 -fed41b76 -89d32be0 -10da7a5a -67dd4acc -f9b9df6f -8ebeeff9 -17b7be43 -60b08ed5 -d6d6a3e8 -a1d1937e -38d8c2c4 -4fdff252 -d1bb67f1 -a6bc5767 -3fb506dd -48b2364b -d80d2bda -af0a1b4c -36034af6 -41047a60 -df60efc3 -a867df55 -316e8eef -4669be79 -cb61b38c -bc66831a -256fd2a0 -5268e236 -cc0c7795 -bb0b4703 -220216b9 -5505262f -c5ba3bbe -b2bd0b28 -2bb45a92 -5cb36a04 -c2d7ffa7 -b5d0cf31 -2cd99e8b -5bdeae1d -9b64c2b0 -ec63f226 -756aa39c -26d930a -9c0906a9 -eb0e363f -72076785 -5005713 -95bf4a82 -e2b87a14 -7bb12bae -cb61b38 -92d28e9b -e5d5be0d -7cdcefb7 -bdbdf21 -86d3d2d4 -f1d4e242 -68ddb3f8 -1fda836e -81be16cd -f6b9265b -6fb077e1 -18b74777 -88085ae6 -ff0f6a70 -66063bca -11010b5c -8f659eff -f862ae69 -616bffd3 -166ccf45 -a00ae278 -d70dd2ee -4e048354 -3903b3c2 -a7672661 -d06016f7 -4969474d -3e6e77db -aed16a4a -d9d65adc -40df0b66 -37d83bf0 -a9bcae53 -debb9ec5 -47b2cf7f -30b5ffe9 -bdbdf21c -cabac28a -53b39330 -24b4a3a6 -bad03605 -cdd70693 -54de5729 -23d967bf -b3667a2e -c4614ab8 -5d681b02 -2a6f2b94 -b40bbe37 -c30c8ea1 -5a05df1b -2d02ef8d -4c554e3c -3e4c -19d2 -1bd0 -1938 -1938 -1938 -1938 -1bd0 -1938 -1938 -1938 -1938 -1b88 -1be0 -1b62 -1938 -1938 -1a90 -1938 -1bd4 -1938 -1938 -1bb8 -10004001 -4101 -1bb997f4 diff --git a/litex/tools/simout2/gateware/mem_3.init b/litex/tools/simout2/gateware/mem_3.init deleted file mode 100644 index 00d40a63f0..0000000000 --- a/litex/tools/simout2/gateware/mem_3.init +++ /dev/null @@ -1,37 +0,0 @@ -4c -69 -74 -65 -58 -20 -53 -69 -6d -75 -6c -61 -74 -69 -6f -6e -20 -32 -30 -31 -39 -2d -31 -30 -2d -31 -30 -20 -31 -37 -3a -35 -38 -3a -31 -39 -0 diff --git a/litex/tools/simout2/software/bios/bios.bin b/litex/tools/simout2/software/bios/bios.bin deleted file mode 100644 index 57fefb3201..0000000000 Binary files a/litex/tools/simout2/software/bios/bios.bin and /dev/null differ diff --git a/litex/tools/simout2/software/bios/bios.elf b/litex/tools/simout2/software/bios/bios.elf deleted file mode 100644 index 52fc3cec1c..0000000000 Binary files a/litex/tools/simout2/software/bios/bios.elf and /dev/null differ diff --git a/litex/tools/simout2/software/bios/boot.o b/litex/tools/simout2/software/bios/boot.o deleted file mode 100644 index f8b8cefbb5..0000000000 Binary files a/litex/tools/simout2/software/bios/boot.o and /dev/null differ diff --git a/litex/tools/simout2/software/bios/isr.o b/litex/tools/simout2/software/bios/isr.o deleted file mode 100644 index 0265a49225..0000000000 Binary files a/litex/tools/simout2/software/bios/isr.o and /dev/null differ diff --git a/litex/tools/simout2/software/bios/main.o b/litex/tools/simout2/software/bios/main.o deleted file mode 100644 index f767821b7b..0000000000 Binary files a/litex/tools/simout2/software/bios/main.o and /dev/null differ diff --git a/litex/tools/simout2/software/bios/sdram.o b/litex/tools/simout2/software/bios/sdram.o deleted file mode 100644 index 0cb40931ce..0000000000 Binary files a/litex/tools/simout2/software/bios/sdram.o and /dev/null differ diff --git a/litex/tools/simout2/software/include/generated/csr.h b/litex/tools/simout2/software/include/generated/csr.h deleted file mode 100644 index 499b467bfd..0000000000 --- a/litex/tools/simout2/software/include/generated/csr.h +++ /dev/null @@ -1,252 +0,0 @@ -//-------------------------------------------------------------------------------- -// Auto-generated by Migen (57a7311) & LiteX (2be3450) on 2019-10-10 17:58:20 -//-------------------------------------------------------------------------------- -#ifndef __GENERATED_CSR_H -#define __GENERATED_CSR_H -#include -#ifdef CSR_ACCESSORS_DEFINED -extern void csr_writeb(uint8_t value, unsigned long addr); -extern uint8_t csr_readb(unsigned long addr); -extern void csr_writew(uint16_t value, unsigned long addr); -extern uint16_t csr_readw(unsigned long addr); -extern void csr_writel(uint32_t value, unsigned long addr); -extern uint32_t csr_readl(unsigned long addr); -#else /* ! CSR_ACCESSORS_DEFINED */ -#include -#endif /* ! CSR_ACCESSORS_DEFINED */ - -/* ctrl */ -#define CSR_CTRL_BASE 0x82000000L -#define CSR_CTRL_RESET_ADDR 0x82000000L -#define CSR_CTRL_RESET_SIZE 1 -static inline unsigned char ctrl_reset_read(void) { - unsigned char r = csr_readl(0x82000000L); - return r; -} -static inline void ctrl_reset_write(unsigned char value) { - csr_writel(value, 0x82000000L); -} -#define CSR_CTRL_SCRATCH_ADDR 0x82000004L -#define CSR_CTRL_SCRATCH_SIZE 4 -static inline unsigned int ctrl_scratch_read(void) { - unsigned int r = csr_readl(0x82000004L); - r <<= 8; - r |= csr_readl(0x82000008L); - r <<= 8; - r |= csr_readl(0x8200000cL); - r <<= 8; - r |= csr_readl(0x82000010L); - return r; -} -static inline void ctrl_scratch_write(unsigned int value) { - csr_writel(value >> 24, 0x82000004L); - csr_writel(value >> 16, 0x82000008L); - csr_writel(value >> 8, 0x8200000cL); - csr_writel(value, 0x82000010L); -} -#define CSR_CTRL_BUS_ERRORS_ADDR 0x82000014L -#define CSR_CTRL_BUS_ERRORS_SIZE 4 -static inline unsigned int ctrl_bus_errors_read(void) { - unsigned int r = csr_readl(0x82000014L); - r <<= 8; - r |= csr_readl(0x82000018L); - r <<= 8; - r |= csr_readl(0x8200001cL); - r <<= 8; - r |= csr_readl(0x82000020L); - return r; -} - -/* timer0 */ -#define CSR_TIMER0_BASE 0x82001800L -#define CSR_TIMER0_LOAD_ADDR 0x82001800L -#define CSR_TIMER0_LOAD_SIZE 4 -static inline unsigned int timer0_load_read(void) { - unsigned int r = csr_readl(0x82001800L); - r <<= 8; - r |= csr_readl(0x82001804L); - r <<= 8; - r |= csr_readl(0x82001808L); - r <<= 8; - r |= csr_readl(0x8200180cL); - return r; -} -static inline void timer0_load_write(unsigned int value) { - csr_writel(value >> 24, 0x82001800L); - csr_writel(value >> 16, 0x82001804L); - csr_writel(value >> 8, 0x82001808L); - csr_writel(value, 0x8200180cL); -} -#define CSR_TIMER0_RELOAD_ADDR 0x82001810L -#define CSR_TIMER0_RELOAD_SIZE 4 -static inline unsigned int timer0_reload_read(void) { - unsigned int r = csr_readl(0x82001810L); - r <<= 8; - r |= csr_readl(0x82001814L); - r <<= 8; - r |= csr_readl(0x82001818L); - r <<= 8; - r |= csr_readl(0x8200181cL); - return r; -} -static inline void timer0_reload_write(unsigned int value) { - csr_writel(value >> 24, 0x82001810L); - csr_writel(value >> 16, 0x82001814L); - csr_writel(value >> 8, 0x82001818L); - csr_writel(value, 0x8200181cL); -} -#define CSR_TIMER0_EN_ADDR 0x82001820L -#define CSR_TIMER0_EN_SIZE 1 -static inline unsigned char timer0_en_read(void) { - unsigned char r = csr_readl(0x82001820L); - return r; -} -static inline void timer0_en_write(unsigned char value) { - csr_writel(value, 0x82001820L); -} -#define CSR_TIMER0_UPDATE_VALUE_ADDR 0x82001824L -#define CSR_TIMER0_UPDATE_VALUE_SIZE 1 -static inline unsigned char timer0_update_value_read(void) { - unsigned char r = csr_readl(0x82001824L); - return r; -} -static inline void timer0_update_value_write(unsigned char value) { - csr_writel(value, 0x82001824L); -} -#define CSR_TIMER0_VALUE_ADDR 0x82001828L -#define CSR_TIMER0_VALUE_SIZE 4 -static inline unsigned int timer0_value_read(void) { - unsigned int r = csr_readl(0x82001828L); - r <<= 8; - r |= csr_readl(0x8200182cL); - r <<= 8; - r |= csr_readl(0x82001830L); - r <<= 8; - r |= csr_readl(0x82001834L); - return r; -} -#define CSR_TIMER0_EV_STATUS_ADDR 0x82001838L -#define CSR_TIMER0_EV_STATUS_SIZE 1 -static inline unsigned char timer0_ev_status_read(void) { - unsigned char r = csr_readl(0x82001838L); - return r; -} -static inline void timer0_ev_status_write(unsigned char value) { - csr_writel(value, 0x82001838L); -} -#define CSR_TIMER0_EV_PENDING_ADDR 0x8200183cL -#define CSR_TIMER0_EV_PENDING_SIZE 1 -static inline unsigned char timer0_ev_pending_read(void) { - unsigned char r = csr_readl(0x8200183cL); - return r; -} -static inline void timer0_ev_pending_write(unsigned char value) { - csr_writel(value, 0x8200183cL); -} -#define CSR_TIMER0_EV_ENABLE_ADDR 0x82001840L -#define CSR_TIMER0_EV_ENABLE_SIZE 1 -static inline unsigned char timer0_ev_enable_read(void) { - unsigned char r = csr_readl(0x82001840L); - return r; -} -static inline void timer0_ev_enable_write(unsigned char value) { - csr_writel(value, 0x82001840L); -} - -/* uart */ -#define CSR_UART_BASE 0x82002000L -#define CSR_UART_RXTX_ADDR 0x82002000L -#define CSR_UART_RXTX_SIZE 1 -static inline unsigned char uart_rxtx_read(void) { - unsigned char r = csr_readl(0x82002000L); - return r; -} -static inline void uart_rxtx_write(unsigned char value) { - csr_writel(value, 0x82002000L); -} -#define CSR_UART_TXFULL_ADDR 0x82002004L -#define CSR_UART_TXFULL_SIZE 1 -static inline unsigned char uart_txfull_read(void) { - unsigned char r = csr_readl(0x82002004L); - return r; -} -#define CSR_UART_RXEMPTY_ADDR 0x82002008L -#define CSR_UART_RXEMPTY_SIZE 1 -static inline unsigned char uart_rxempty_read(void) { - unsigned char r = csr_readl(0x82002008L); - return r; -} -#define CSR_UART_EV_STATUS_ADDR 0x8200200cL -#define CSR_UART_EV_STATUS_SIZE 1 -static inline unsigned char uart_ev_status_read(void) { - unsigned char r = csr_readl(0x8200200cL); - return r; -} -static inline void uart_ev_status_write(unsigned char value) { - csr_writel(value, 0x8200200cL); -} -#define CSR_UART_EV_PENDING_ADDR 0x82002010L -#define CSR_UART_EV_PENDING_SIZE 1 -static inline unsigned char uart_ev_pending_read(void) { - unsigned char r = csr_readl(0x82002010L); - return r; -} -static inline void uart_ev_pending_write(unsigned char value) { - csr_writel(value, 0x82002010L); -} -#define CSR_UART_EV_ENABLE_ADDR 0x82002014L -#define CSR_UART_EV_ENABLE_SIZE 1 -static inline unsigned char uart_ev_enable_read(void) { - unsigned char r = csr_readl(0x82002014L); - return r; -} -static inline void uart_ev_enable_write(unsigned char value) { - csr_writel(value, 0x82002014L); -} - -/* identifier_mem */ -#define CSR_IDENTIFIER_MEM_BASE 0x82001000L - -/* constants */ -#define TIMER0_INTERRUPT 0 -static inline int timer0_interrupt_read(void) { - return 0; -} -#define UART_INTERRUPT 1 -static inline int uart_interrupt_read(void) { - return 1; -} -#define CONFIG_CLOCK_FREQUENCY 1000000 -static inline int config_clock_frequency_read(void) { - return 1000000; -} -#define CONFIG_CPU_RESET_ADDR 0 -static inline int config_cpu_reset_addr_read(void) { - return 0; -} -#define CONFIG_CPU_TYPE "BLACKPARROT" -static inline const char * config_cpu_type_read(void) { - return "BLACKPARROT"; -} -#define CONFIG_CPU_TYPE_BLACKPARROT 1 -static inline int config_cpu_type_blackparrot_read(void) { - return 1; -} -#define CONFIG_CPU_VARIANT "STANDARD" -static inline const char * config_cpu_variant_read(void) { - return "STANDARD"; -} -#define CONFIG_CPU_VARIANT_STANDARD 1 -static inline int config_cpu_variant_standard_read(void) { - return 1; -} -#define CONFIG_CSR_ALIGNMENT 32 -static inline int config_csr_alignment_read(void) { - return 32; -} -#define CONFIG_CSR_DATA_WIDTH 8 -static inline int config_csr_data_width_read(void) { - return 8; -} - -#endif diff --git a/litex/tools/simout2/software/include/generated/git.h b/litex/tools/simout2/software/include/generated/git.h deleted file mode 100644 index 6f4b99cd9e..0000000000 --- a/litex/tools/simout2/software/include/generated/git.h +++ /dev/null @@ -1,9 +0,0 @@ -//-------------------------------------------------------------------------------- -// Auto-generated by Migen (57a7311) & LiteX (2be3450) on 2019-10-10 17:58:20 -//-------------------------------------------------------------------------------- -#ifndef __GENERATED_GIT_H -#define __GENERATED_GIT_H - -#define MIGEN_GIT_SHA1 "57a7311" -#define LITEX_GIT_SHA1 "2be3450" -#endif diff --git a/litex/tools/simout2/software/include/generated/mem.h b/litex/tools/simout2/software/include/generated/mem.h deleted file mode 100644 index 3b66ba9dbb..0000000000 --- a/litex/tools/simout2/software/include/generated/mem.h +++ /dev/null @@ -1,21 +0,0 @@ -//-------------------------------------------------------------------------------- -// Auto-generated by Migen (57a7311) & LiteX (2be3450) on 2019-10-10 17:58:20 -//-------------------------------------------------------------------------------- -#ifndef __GENERATED_MEM_H -#define __GENERATED_MEM_H - -#define ROM_BASE 0x00000000L -#define ROM_SIZE 0x00008000 - -#define SRAM_BASE 0x01000000L -#define SRAM_SIZE 0x00001000 - -#define MAIN_RAM_BASE 0x40000000L -#define MAIN_RAM_SIZE 0x10000000 - -#define CSR_BASE 0x02000000L -#define CSR_SIZE 0x01000000 - -#define SHADOW_BASE 0x80000000L - -#endif diff --git a/litex/tools/simout2/software/include/generated/variables.mak b/litex/tools/simout2/software/include/generated/variables.mak deleted file mode 100644 index a91644ec3f..0000000000 --- a/litex/tools/simout2/software/include/generated/variables.mak +++ /dev/null @@ -1,15 +0,0 @@ -TRIPLE=riscv64-unknown-elf -CPU=blackparrot -CPUFLAGS=-mno-save-restore -march=rv64imac -mabi=lp64 -D__blackparrot__ -CPUENDIANNESS=little -CLANG=0 -LITEX=1 -COPY_TO_MAIN_RAM=1 -EXECUTE_IN_PLACE=0 -SOC_DIRECTORY=/home/scanakci/Research_sado/litex/litex/litex/soc -export BUILDINC_DIRECTORY -BUILDINC_DIRECTORY=/home/scanakci/Research_sado/litex/litex/litex/tools/simout2/software/include -LIBCOMPILER_RT_DIRECTORY=/home/scanakci/Research_sado/litex/litex/litex/soc/software/libcompiler_rt -LIBBASE_DIRECTORY=/home/scanakci/Research_sado/litex/litex/litex/soc/software/libbase -LIBNET_DIRECTORY=/home/scanakci/Research_sado/litex/litex/litex/soc/software/libnet -BIOS_DIRECTORY=/home/scanakci/Research_sado/litex/litex/litex/soc/software/bios diff --git a/litex/tools/simout2/software/libbase/exception.o b/litex/tools/simout2/software/libbase/exception.o deleted file mode 100644 index 636aa76eb3..0000000000 Binary files a/litex/tools/simout2/software/libbase/exception.o and /dev/null differ diff --git a/litex/tools/simout2/software/libbase/id.o b/litex/tools/simout2/software/libbase/id.o deleted file mode 100644 index bcc47d9424..0000000000 Binary files a/litex/tools/simout2/software/libbase/id.o and /dev/null differ diff --git a/litex/tools/simout2/software/libbase/libbase-nofloat.a b/litex/tools/simout2/software/libbase/libbase-nofloat.a deleted file mode 100644 index 8c5dd3963f..0000000000 Binary files a/litex/tools/simout2/software/libbase/libbase-nofloat.a and /dev/null differ diff --git a/litex/tools/simout2/software/libbase/libbase.a b/litex/tools/simout2/software/libbase/libbase.a deleted file mode 100644 index af50f85084..0000000000 Binary files a/litex/tools/simout2/software/libbase/libbase.a and /dev/null differ diff --git a/litex/tools/simout2/software/libbase/mdio.o b/litex/tools/simout2/software/libbase/mdio.o deleted file mode 100644 index 9abdbb6723..0000000000 Binary files a/litex/tools/simout2/software/libbase/mdio.o and /dev/null differ diff --git a/litex/tools/simout2/software/libbase/spiflash.o b/litex/tools/simout2/software/libbase/spiflash.o deleted file mode 100644 index 9dd7bbca08..0000000000 Binary files a/litex/tools/simout2/software/libbase/spiflash.o and /dev/null differ diff --git a/litex/tools/simout2/software/libbase/system.o b/litex/tools/simout2/software/libbase/system.o deleted file mode 100644 index a8c8c5a344..0000000000 Binary files a/litex/tools/simout2/software/libbase/system.o and /dev/null differ diff --git a/litex/tools/simout2/software/libbase/time.o b/litex/tools/simout2/software/libbase/time.o deleted file mode 100644 index 26bcaab12d..0000000000 Binary files a/litex/tools/simout2/software/libbase/time.o and /dev/null differ diff --git a/litex/tools/simout2/software/libbase/uart.o b/litex/tools/simout2/software/libbase/uart.o deleted file mode 100644 index 29042b3034..0000000000 Binary files a/litex/tools/simout2/software/libbase/uart.o and /dev/null differ diff --git a/litex/tools/simout2/software/libcompiler_rt/libcompiler_rt.a b/litex/tools/simout2/software/libcompiler_rt/libcompiler_rt.a deleted file mode 100644 index 6f21927b4e..0000000000 Binary files a/litex/tools/simout2/software/libcompiler_rt/libcompiler_rt.a and /dev/null differ diff --git a/litex/tools/simout2/software/libnet/libnet.a b/litex/tools/simout2/software/libnet/libnet.a deleted file mode 100644 index 5b65b54937..0000000000 Binary files a/litex/tools/simout2/software/libnet/libnet.a and /dev/null differ diff --git a/litex/tools/simout2/software/libnet/microudp.o b/litex/tools/simout2/software/libnet/microudp.o deleted file mode 100644 index 5d2fac77ab..0000000000 Binary files a/litex/tools/simout2/software/libnet/microudp.o and /dev/null differ