diff --git a/.gitignore b/.gitignore index cf38f21..91a8f9b 100644 --- a/.gitignore +++ b/.gitignore @@ -1,4 +1,5 @@ -opfb_streaming_test/ +opfb_streaming_prj/ +board_files/ *. *.log *.jou diff --git a/Makefile b/Makefile index 9918ffb..af43696 100644 --- a/Makefile +++ b/Makefile @@ -2,7 +2,14 @@ vivado_dir := vivado -all: vivado_prj +all: board_files vivado_prj bitstream + +board_files: + wget "https://www.realdigital.org/downloads/9d2af32116d5420d25da904f6a06bb1f.zip" --no-check-certificate -O bf.zip && \ + unzip bf.zip -d board_files && rm bf.zip vivado_prj: cd $(vivado_dir); vivado -mode batch -nojournal -nolog -source write_prj.tcl + +bitstream: + cd $(vivado_dir); vivado -mode batch -source build_bitstream.tcl -notrace -tclargs opfb_streaming diff --git a/README.md b/README.md index 8d457a5..77d3f99 100644 --- a/README.md +++ b/README.md @@ -13,15 +13,19 @@ [Building the Project](https://github.com/MazinLab/RFSoC_OPFB#building-the-project) ## Introduction -This project creates a polyphase channelizer capable of migrating 4 GHz of incoming RF bandwidth to 4096, 1 MHz channels with 2/1 oversampling. The project runs on the Xilinx ZCU111 and uses approximately 20% of the chip. The OPFB block was first verified using synthetic data fed through the core using a DMA engine transferring individual packets of data. That project is tagged as [512 MHz OPFB Initial Release](https://github.com/MazinLab/RFSoC_OPFB/releases/tag/v1.0) and is associated with [this paper](https://ieeexplore.ieee.org/document/9336352). +This project creates a polyphase channelizer capable of migrating 4 GHz of incoming RF bandwidth to 4096, 1 MHz channels with 2/1 oversampling. The design involves multiple blocks made using Vitis HLS (2020.1+) and one block exported from System Generator (2019.2+). The remaining blocks can be found in the Xilinx blockset in Vivado Design Suite (2021.2+). + +### Historical Context +The first versions of this project were made to run on the Xilinx ZCU111. The OPFB block was first verified using synthetic data fed through the core using a DMA engine transferring individual packets of data. That project is tagged as [512 MHz OPFB Initial Release (ZCU111)](https://github.com/MazinLab/RFSoC_OPFB/releases/tag/v1.0) and is associated with [this paper](https://ieeexplore.ieee.org/document/9336352). The original ZCU111 project was updated to demonstrate the OPFB operating in streaming mode and uses the integrated RF Data converter to generate and sample the data in hardware loopback. This ZCU111 Project is tagged as [512 MHz Streaming OPFB (ZCU111)](https://github.com/MazinLab/RFSoC_OPFB/releases/tag/v2.0) and is also preserved on the `zcu111_legacy` [branch](https://github.com/MazinLab/RFSoC_OPFB/tree/zcu111_legacy). Refer to the legacy branch for instructions specific to the earlier ZCU111-based projects. + +The current verison of the design is built to run on the [Xilinx RFSoC 4x2](https://www.realdigital.org/hardware/rfsoc-4x2) in RF Data Converter loopback. Data is generated in a Jupyter Notebook hosted on the embedded CPU before being written to device URAM as a waveform look-up-table. The two DACs output the waveform which is then sampled by two RFSoC ADCs (all running at 4.096 GSPS). The data freely streams through the OPFB channelizer. At the user's request, the output channels are captured to the PL DDR4 and visualized in a Jupyter Notebook using the PYNQ framework. -This project version demonstrates the OPFB operating in streaming mode and uses the integrated RF Data converter to generate and sample the data in hardware loopback. The OPFB block is the same except the filter is now 4 taps per branch instead of 8 which halves the core LUTRAM utilization. The design involves multiple blocks made using Vitis HLS 2020.1 and one block exported from System Generator version 2019.2. The remaining blocks can be found in the Xilinx blockset in Vivado Design Suite 2021.2. Data is generated in a Jupyter Notebook hosted on the embedded CPU before being written to device URAM as a waveform look-up-table. Two RFSoC DACs output the waveform which is then sampled by two RFSoC ADCs (all running at 4.096 GSPS). The data freely streams through the OPFB channelizer. At the user's request, the output channels are captured to the PL DDR4 and visualized in a Jupyter Notebook using the PYNQ framework. ## What is an OPFB? If you're wondering what an OPFB is, how it works, or why you should use it, I suggest looking through the materials in the `learning` directory. [Polyphase\_Explanation.pdf](https://github.com/MazinLab/RFSoC_OPFB/blob/master/learning/Polyphase_Explanantion.pdf) is a summary note I made to document key takeaways and figures and includes an explanation of the differences between Polyphase Filter Banks (PFBs) and Oversampled Polyphase Filter Banks (OPFBs). This note is largly based off of work done by Fred Harris. [OPFB\_Exploration.ipynb](https://github.com/MazinLab/RFSoC_OPFB/blob/master/learning/OPFB_Exploration.ipynb) is an interactive Jupyter Notebook capable of arbitrary oversampling, channel-size, etc. and includes cells demonstrating how the filter is designed and characterized. For more information on how to efficiently implement an OPFB on an FPGA, please read [the paper](https://ieeexplore.ieee.org/document/9336352). ## Project Structure -This project is built using Vivado Design Suite 2021.2 + Vitis HLS 2020.1 + System Generator 2019.2. +This project is built using Vivado Design Suite 2022.1 + Vitis HLS 2022.1 + System Generator 2019.2. The `bd` directory contains block design `.tcl` script which can be sourced from within Vivado to rebuild the top level overlay design from which the bit stream is generated. @@ -36,7 +40,7 @@ The `py` directory contains the Jupyter Notebook to run the project on the board ## Install and Requirements ### Hardware -You will need a ZCU111 with a [PYNQ image](https://github.com/Xilinx/ZCU111-PYNQ/releases) (this project was tested using v2.7) see the [PYNQ Docs](https://pynq.readthedocs.io/en/v2.7.0/getting_started.html#zynq-zynq-ultrascale-and-zynq-rfsoc) for download and setup information. +You will need a RFSoC4x2 with a suitable image. It's possible to use the stock [PYNQ 3.0.1 image](https://www.pynq.io/boards.html); however, users will have to modify the memory reservation to make the DDR4 accessible to `pynq.allocate`. This can be done at run-time and there are a few examples of this such as the [Kria-PYNQ repo](https://github.com/Xilinx/Kria-PYNQ/tree/main) (see `/dts`), the [RFSoC-MTS repo](https://github.com/Xilinx/RFSoC-MTS/tree/main/boards/RFSoC4x2/dts), and a [thread discussion](https://discuss.pynq.io/t/how-to-allocate-pl-ddr4-on-rfsoc4x2-in-pynq-3-0-1/5586). For simplicity, we make our custom RFSoC4x2 image available [here](https://drive.google.com/file/d/13B8tchLYTMF_U6HLexjQW8cSzbOQCzSq/view?usp=sharing) and recommend downloading this image and flashing it to your SD card. See the [PYNQ Docs](https://www.rfsoc-pynq.io/rfsoc_4x2_getting_started.html) for more detailed setup information. ### Software The Jupyter Notebok relies on functions specified in the [MKIDGen3](https://github.com/MazinLab/MKIDGen3) repository. To install it on the board, first be sure the board is running PYNQ and is connected to the internet then run diff --git a/bd/opfb_streaming.tcl b/bd/opfb_streaming.tcl index 1f13d9b..ec01a16 100644 --- a/bd/opfb_streaming.tcl +++ b/bd/opfb_streaming.tcl @@ -1,6 +1,6 @@ ################################################################ -# This is a generated script based on design: phasetest +# This is a generated script based on design: opfb_streaming # # Though there are limitations about the generated script, # the main purpose of this utility is to make learning @@ -20,7 +20,7 @@ set script_folder [_tcl::get_script_folder] ################################################################ # Check if script is running in correct Vivado version. ################################################################ -set scripts_vivado_version 2021.2 +set scripts_vivado_version 2022.1 set current_vivado_version [version -short] if { [string first $scripts_vivado_version $current_vivado_version] == -1 } { @@ -35,7 +35,7 @@ if { [string first $scripts_vivado_version $current_vivado_version] == -1 } { ################################################################ # To test this script, run the following commands from Vivado Tcl console: -# source phasetest_script.tcl +# source opfb_streaming.tcl # The design that will be created by this Tcl script contains the following @@ -50,8 +50,8 @@ if { [string first $scripts_vivado_version $current_vivado_version] == -1 } { set list_projs [get_projects -quiet] if { $list_projs eq "" } { - create_project project_1 myproj -part xczu28dr-ffvg1517-2-e - set_property BOARD_PART xilinx.com:zcu111:part0:1.2 [current_project] + create_project project_1 myproj -part xczu48dr-ffvg1517-2-e + set_property BOARD_PART realdigital.org:rfsoc4x2:part0:1.0 [current_project] } @@ -134,22 +134,27 @@ if { $bCheckIPs == 1 } { xilinx.com:ip:axi_intc:4.1\ xilinx.com:ip:axi_protocol_converter:2.1\ xilinx.com:ip:axis_broadcaster:1.1\ -mazinlab:mkidgen3:dac_table_axim:1.33\ -xilinx.com:ip:xlconcat:2.1\ -xilinx.com:ip:zynq_ultra_ps_e:3.3\ xilinx.com:ip:axis_register_slice:1.1\ +xilinx.com:ip:xlconcat:2.1\ +xilinx.com:ip:xlconstant:1.1\ +xilinx.com:ip:zynq_ultra_ps_e:3.4\ +xilinx.com:ip:proc_sys_reset:5.0\ +xilinx.com:ip:util_ds_buf:2.2\ +xilinx.com:ip:clk_wiz:6.0\ +xilinx.com:ip:xpm_cdc_gen:1.0\ xilinx.com:ip:ddr4:2.2\ mazinlab:mkidgen3:filter_iq:0.3\ mazinlab:mkidgen3:filter_phase:0.5\ mazinlab:mkidgen3:pair_iq:0.4\ -xilinx.com:ip:xlconstant:1.1\ -xilinx.com:ip:proc_sys_reset:5.0\ -xilinx.com:ip:clk_wiz:6.0\ +xilinx.com:ip:axi_bram_ctrl:4.1\ +xilinx.com:ip:axi_dwidth_converter:2.1\ +xilinx.com:ip:blk_mem_gen:8.4\ +xilinx.com:ip:c_counter_binary:12.0\ +xilinx.com:ip:xlslice:1.0\ xilinx.com:ip:usp_rf_data_converter:2.6\ xilinx.com:ip:axi_clock_converter:2.1\ xilinx.com:ip:axi_crossbar:2.1\ xilinx.com:ip:axi_data_fifo:2.1\ -xilinx.com:ip:axi_dwidth_converter:2.1\ xilinx.com:ip:axi_register_slice:2.1\ xilinx.com:ip:axis_data_fifo:2.0\ xilinx.com:ip:axis_switch:1.1\ @@ -1510,16 +1515,14 @@ proc create_hier_cell_switchboard { parentCell nameHier } { create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 S03_AXIS - create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 S04_AXIS - create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 S_AXI_CTRL # Create pins - create_bd_pin -dir I -type rst axis2mm_aresetn create_bd_pin -dir I -type clk axis2mm_clk create_bd_pin -dir I -type rst pipe_aresetn create_bd_pin -dir I -type clk pipe_clk + create_bd_pin -dir I -type rst s_axis_aresetn # Create instance: axis_data_fifo_0, and set properties set axis_data_fifo_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_data_fifo:2.0 axis_data_fifo_0 ] @@ -1537,7 +1540,7 @@ proc create_hier_cell_switchboard { parentCell nameHier } { CONFIG.HAS_TKEEP {0} \ CONFIG.HAS_TREADY {0} \ CONFIG.HAS_TSTRB {0} \ - CONFIG.NUM_SI {5} \ + CONFIG.NUM_SI {4} \ CONFIG.OUTPUT_REG {1} \ CONFIG.ROUTING_MODE {1} \ ] $axis_switch_0 @@ -1553,13 +1556,14 @@ proc create_hier_cell_switchboard { parentCell nameHier } { connect_bd_intf_net -intf_net filter_iq_0_outstream [get_bd_intf_pins S01_AXIS] [get_bd_intf_pins axis_switch_0/S01_AXIS] connect_bd_intf_net -intf_net filter_iq_1_outstream [get_bd_intf_pins S02_AXIS] [get_bd_intf_pins axis_switch_0/S02_AXIS] connect_bd_intf_net -intf_net filter_phase_0_outstream [get_bd_intf_pins S03_AXIS] [get_bd_intf_pins axis_switch_0/S03_AXIS] - connect_bd_intf_net -intf_net filter_phase_1_outstream [get_bd_intf_pins S04_AXIS] [get_bd_intf_pins axis_switch_0/S04_AXIS] connect_bd_intf_net -intf_net pair_iq_0_out_r [get_bd_intf_pins S00_AXIS] [get_bd_intf_pins axis_switch_0/S00_AXIS] # Create port connections + connect_bd_net -net M_AXIS_tready_1 [get_bd_pins M_AXIS_tready] [get_bd_pins axis_data_fifo_0/m_axis_tready] connect_bd_net -net Net [get_bd_pins pipe_clk] [get_bd_pins axis_data_fifo_0/s_axis_aclk] [get_bd_pins axis_switch_0/aclk] [get_bd_pins axis_switch_0/s_axi_ctrl_aclk] [get_bd_pins capture_upsizer_0/ap_clk] - connect_bd_net -net ap_rst_n_1 [get_bd_pins pipe_aresetn] [get_bd_pins axis_data_fifo_0/s_axis_aresetn] [get_bd_pins axis_switch_0/aresetn] [get_bd_pins axis_switch_0/s_axi_ctrl_aresetn] [get_bd_pins capture_upsizer_0/ap_rst_n] + connect_bd_net -net ap_rst_n_1 [get_bd_pins pipe_aresetn] [get_bd_pins axis_switch_0/aresetn] [get_bd_pins axis_switch_0/s_axi_ctrl_aresetn] [get_bd_pins capture_upsizer_0/ap_rst_n] connect_bd_net -net axis2mm_clk_1 [get_bd_pins axis2mm_clk] [get_bd_pins axis_data_fifo_0/m_axis_aclk] + connect_bd_net -net s_axis_aresetn_1 [get_bd_pins s_axis_aresetn] [get_bd_pins axis_data_fifo_0/s_axis_aresetn] # Restore current instance current_bd_instance $oldCurInst @@ -1608,10 +1612,11 @@ proc create_hier_cell_JebConnect { parentCell nameHier } { # Create pins + create_bd_pin -dir I -type clk DDR_UI_CLK + create_bd_pin -dir I -type rst DDR_UI_RESET create_bd_pin -dir I -type rst S_AXI_ARESETN - create_bd_pin -dir I -type clk c0_ddr4_ui_clk2 - create_bd_pin -dir I -type rst dout create_bd_pin -dir I -type clk m_axis_aclk + create_bd_pin -dir I -type rst s_axi_aresetn1 # Create instance: axi_clock_converter_0, and set properties set axi_clock_converter_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_clock_converter:2.1 axi_clock_converter_0 ] @@ -2024,10 +2029,11 @@ proc create_hier_cell_JebConnect { parentCell nameHier } { connect_bd_intf_net -intf_net axi_register_slice_2_M_AXI [get_bd_intf_pins axi_dwidth_converter_0/S_AXI] [get_bd_intf_pins axi_register_slice_2/M_AXI] # Create port connections - connect_bd_net -net Net [get_bd_pins c0_ddr4_ui_clk2] [get_bd_pins axi_clock_converter_0/m_axi_aclk] [get_bd_pins axi_crossbar_0/aclk] [get_bd_pins axi_data_fifo_0/aclk] [get_bd_pins axi_data_fifo_1/aclk] [get_bd_pins axi_data_fifo_2/aclk] [get_bd_pins axi_dwidth_converter_0/s_axi_aclk] [get_bd_pins axi_register_slice_0/aclk] [get_bd_pins axi_register_slice_2/aclk] - connect_bd_net -net Net1 [get_bd_pins dout] [get_bd_pins axi_clock_converter_0/m_axi_aresetn] [get_bd_pins axi_crossbar_0/aresetn] [get_bd_pins axi_data_fifo_0/aresetn] [get_bd_pins axi_data_fifo_1/aresetn] [get_bd_pins axi_data_fifo_2/aresetn] [get_bd_pins axi_dwidth_converter_0/s_axi_aresetn] [get_bd_pins axi_register_slice_0/aresetn] [get_bd_pins axi_register_slice_2/aresetn] - connect_bd_net -net S_AXI_ARESETN_1 [get_bd_pins S_AXI_ARESETN] [get_bd_pins axi_clock_converter_0/s_axi_aresetn] [get_bd_pins axi_register_slice_1/aresetn] + connect_bd_net -net Net [get_bd_pins DDR_UI_CLK] [get_bd_pins axi_clock_converter_0/m_axi_aclk] [get_bd_pins axi_crossbar_0/aclk] [get_bd_pins axi_data_fifo_0/aclk] [get_bd_pins axi_data_fifo_1/aclk] [get_bd_pins axi_data_fifo_2/aclk] [get_bd_pins axi_dwidth_converter_0/s_axi_aclk] [get_bd_pins axi_register_slice_0/aclk] [get_bd_pins axi_register_slice_2/aclk] + connect_bd_net -net Net1 [get_bd_pins DDR_UI_RESET] [get_bd_pins axi_clock_converter_0/m_axi_aresetn] [get_bd_pins axi_crossbar_0/aresetn] [get_bd_pins axi_data_fifo_0/aresetn] [get_bd_pins axi_data_fifo_1/aresetn] [get_bd_pins axi_data_fifo_2/aresetn] [get_bd_pins axi_dwidth_converter_0/s_axi_aresetn] [get_bd_pins axi_register_slice_0/aresetn] [get_bd_pins axi_register_slice_2/aresetn] + connect_bd_net -net S_AXI_ARESETN_1 [get_bd_pins S_AXI_ARESETN] [get_bd_pins axi_register_slice_1/aresetn] connect_bd_net -net m_axis_aclk_1 [get_bd_pins m_axis_aclk] [get_bd_pins axi_clock_converter_0/s_axi_aclk] [get_bd_pins axi_register_slice_1/aclk] + connect_bd_net -net s_axi_aresetn1_1 [get_bd_pins s_axi_aresetn1] [get_bd_pins axi_clock_converter_0/s_axi_aresetn] # Restore current instance current_bd_instance $oldCurInst @@ -2068,9 +2074,9 @@ proc create_hier_cell_rfdc { parentCell nameHier } { current_bd_instance $hier_obj # Create interface pins - create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 adc0_clk + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 adc2_clk - create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 dac1_clk + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 dac2_clk create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 i_axis @@ -2078,7 +2084,7 @@ proc create_hier_cell_rfdc { parentCell nameHier } { create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 s00_axis - create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 s01_axis + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 s20_axis create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi @@ -2088,116 +2094,112 @@ proc create_hier_cell_rfdc { parentCell nameHier } { create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vin0_23 - create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vout12 + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vin1_01 + + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vin2_01 + + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vin2_23 + + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vout00 - create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vout13 + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vout10 + + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vout20 # Create pins - create_bd_pin -dir O -type clk clk_dac0 - create_bd_pin -dir O -type clk clk_out1 - create_bd_pin -dir O -type clk clk_out2 + create_bd_pin -dir I -type rst RF_512_ARESETN + create_bd_pin -dir I -type clk RF_512_CLK create_bd_pin -dir O -type intr irq - create_bd_pin -dir O locked - create_bd_pin -dir I -type rst m2_axis_aresetn - create_bd_pin -dir I -type rst s1_axis_aresetn create_bd_pin -dir I -type clk s_axi_aclk create_bd_pin -dir I -type rst s_axi_aresetn + create_bd_pin -dir I -from 0 -to 0 user_sysref - # Create instance: clk_wiz_0, and set properties - set clk_wiz_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:clk_wiz:6.0 clk_wiz_0 ] + # Create instance: DisableExtraDAC, and set properties + set DisableExtraDAC [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 DisableExtraDAC ] set_property -dict [ list \ - CONFIG.CLKIN1_JITTER_PS {93} \ - CONFIG.CLKIN1_UI_JITTER {93} \ - CONFIG.CLKIN2_JITTER_PS {100.000} \ - CONFIG.CLKIN2_UI_JITTER {100.000} \ - CONFIG.CLKOUT1_DRIVES {BUFG} \ - CONFIG.CLKOUT1_JITTER {70.189} \ - CONFIG.CLKOUT1_PHASE_ERROR {72.706} \ - CONFIG.CLKOUT1_REQUESTED_OUT_FREQ {512} \ - CONFIG.CLKOUT2_DRIVES {BUFG} \ - CONFIG.CLKOUT2_JITTER {79.443} \ - CONFIG.CLKOUT2_PHASE_ERROR {72.706} \ - CONFIG.CLKOUT2_REQUESTED_OUT_FREQ {256} \ - CONFIG.CLKOUT2_USED {true} \ - CONFIG.FEEDBACK_SOURCE {FDBK_AUTO} \ - CONFIG.JITTER_OPTIONS {PS} \ - CONFIG.JITTER_SEL {Min_O_Jitter} \ - CONFIG.MMCM_BANDWIDTH {HIGH} \ - CONFIG.MMCM_CLKFBOUT_MULT_F {12.000} \ - CONFIG.MMCM_CLKIN1_PERIOD {7.812} \ - CONFIG.MMCM_CLKOUT0_DIVIDE_F {3.000} \ - CONFIG.MMCM_CLKOUT1_DIVIDE {6} \ - CONFIG.MMCM_DIVCLK_DIVIDE {1} \ - CONFIG.MMCM_REF_JITTER1 {0.012} \ - CONFIG.MMCM_REF_JITTER2 {0.010} \ - CONFIG.NUM_OUT_CLKS {2} \ - CONFIG.OPTIMIZE_CLOCKING_STRUCTURE_EN {true} \ - CONFIG.PRIM_IN_FREQ {128} \ - CONFIG.PRIM_SOURCE {No_buffer} \ - CONFIG.RESET_PORT {reset} \ - CONFIG.RESET_TYPE {ACTIVE_HIGH} \ - CONFIG.USE_LOCKED {true} \ - CONFIG.USE_RESET {false} \ - ] $clk_wiz_0 + CONFIG.CONST_VAL {1} \ + ] $DisableExtraDAC + + # Create instance: DisableExtraDAC2, and set properties + set DisableExtraDAC2 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 DisableExtraDAC2 ] + set_property -dict [ list \ + CONFIG.CONST_VAL {0} \ + CONFIG.CONST_WIDTH {128} \ + ] $DisableExtraDAC2 # Create instance: usp_rf_data_converter_0, and set properties set usp_rf_data_converter_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:usp_rf_data_converter:2.6 usp_rf_data_converter_0 ] set_property -dict [ list \ - CONFIG.ADC0_Enable {1} \ + CONFIG.ADC0_Clock_Source {2} \ CONFIG.ADC0_Fabric_Freq {512.000} \ - CONFIG.ADC0_Outclk_Freq {128.000} \ - CONFIG.ADC0_PLL_Enable {true} \ - CONFIG.ADC0_Refclk_Freq {409.600} \ + CONFIG.ADC0_Multi_Tile_Sync {true} \ + CONFIG.ADC0_Outclk_Freq {256.000} \ + CONFIG.ADC0_PLL_Enable {false} \ + CONFIG.ADC0_Refclk_Freq {4096.000} \ CONFIG.ADC0_Sampling_Rate {4.096} \ - CONFIG.ADC1_Enable {0} \ - CONFIG.ADC1_Fabric_Freq {0.0} \ - CONFIG.ADC1_Outclk_Freq {15.625} \ - CONFIG.ADC1_PLL_Enable {false} \ - CONFIG.ADC1_Refclk_Freq {2000.000} \ - CONFIG.ADC1_Sampling_Rate {2.0} \ - CONFIG.ADC2_Band {0} \ - CONFIG.ADC2_Enable {0} \ - CONFIG.ADC2_Fabric_Freq {0.0} \ - CONFIG.ADC2_Outclk_Freq {15.625} \ - CONFIG.ADC2_PLL_Enable {false} \ - CONFIG.ADC2_Refclk_Freq {2000.000} \ - CONFIG.ADC2_Sampling_Rate {2.0} \ - CONFIG.ADC_Data_Type20 {0} \ - CONFIG.ADC_Data_Type21 {0} \ - CONFIG.ADC_Data_Type22 {0} \ - CONFIG.ADC_Data_Type23 {0} \ - CONFIG.ADC_Decimation_Mode00 {1} \ - CONFIG.ADC_Decimation_Mode01 {1} \ + CONFIG.ADC1_Clock_Source {2} \ + CONFIG.ADC1_Enable {1} \ + CONFIG.ADC1_Fabric_Freq {512.000} \ + CONFIG.ADC1_Multi_Tile_Sync {true} \ + CONFIG.ADC1_Outclk_Freq {256.000} \ + CONFIG.ADC1_Refclk_Freq {4096.000} \ + CONFIG.ADC1_Sampling_Rate {4.096} \ + CONFIG.ADC2_Clock_Dist {2} \ + CONFIG.ADC2_Enable {1} \ + CONFIG.ADC2_Fabric_Freq {512.000} \ + CONFIG.ADC2_Multi_Tile_Sync {true} \ + CONFIG.ADC2_Outclk_Freq {256.000} \ + CONFIG.ADC2_PLL_Enable {true} \ + CONFIG.ADC2_Refclk_Freq {512.000} \ + CONFIG.ADC2_Sampling_Rate {4.096} \ + CONFIG.ADC3_Clock_Source {2} \ + CONFIG.ADC3_Enable {1} \ + CONFIG.ADC3_Fabric_Freq {512.000} \ + CONFIG.ADC3_Outclk_Freq {256.000} \ + CONFIG.ADC3_Refclk_Freq {4096.000} \ + CONFIG.ADC3_Sampling_Rate {4.096} \ + CONFIG.ADC_Coarse_Mixer_Freq02 {3} \ + CONFIG.ADC_Coarse_Mixer_Freq03 {3} \ + CONFIG.ADC_Coarse_Mixer_Freq10 {3} \ + CONFIG.ADC_Coarse_Mixer_Freq11 {3} \ + CONFIG.ADC_Coarse_Mixer_Freq12 {0} \ + CONFIG.ADC_Coarse_Mixer_Freq13 {0} \ + CONFIG.ADC_Coarse_Mixer_Freq20 {3} \ + CONFIG.ADC_Coarse_Mixer_Freq21 {3} \ + CONFIG.ADC_Coarse_Mixer_Freq22 {3} \ + CONFIG.ADC_Coarse_Mixer_Freq23 {3} \ + CONFIG.ADC_Coarse_Mixer_Freq30 {3} \ + CONFIG.ADC_Coarse_Mixer_Freq31 {3} \ CONFIG.ADC_Decimation_Mode02 {1} \ CONFIG.ADC_Decimation_Mode03 {1} \ - CONFIG.ADC_Decimation_Mode10 {0} \ - CONFIG.ADC_Decimation_Mode11 {0} \ + CONFIG.ADC_Decimation_Mode10 {1} \ + CONFIG.ADC_Decimation_Mode11 {1} \ CONFIG.ADC_Decimation_Mode12 {0} \ CONFIG.ADC_Decimation_Mode13 {0} \ - CONFIG.ADC_Decimation_Mode20 {0} \ - CONFIG.ADC_Decimation_Mode21 {0} \ - CONFIG.ADC_Decimation_Mode22 {0} \ - CONFIG.ADC_Decimation_Mode23 {0} \ - CONFIG.ADC_Mixer_Mode20 {2} \ - CONFIG.ADC_Mixer_Mode21 {2} \ - CONFIG.ADC_Mixer_Mode22 {2} \ - CONFIG.ADC_Mixer_Mode23 {2} \ - CONFIG.ADC_Mixer_Type00 {0} \ - CONFIG.ADC_Mixer_Type01 {0} \ - CONFIG.ADC_Mixer_Type02 {0} \ - CONFIG.ADC_Mixer_Type03 {0} \ - CONFIG.ADC_Mixer_Type10 {3} \ - CONFIG.ADC_Mixer_Type11 {3} \ + CONFIG.ADC_Decimation_Mode20 {1} \ + CONFIG.ADC_Decimation_Mode21 {1} \ + CONFIG.ADC_Decimation_Mode22 {1} \ + CONFIG.ADC_Decimation_Mode23 {1} \ + CONFIG.ADC_Decimation_Mode30 {1} \ + CONFIG.ADC_Decimation_Mode31 {1} \ + CONFIG.ADC_Dither30 {true} \ + CONFIG.ADC_Dither31 {true} \ + CONFIG.ADC_Mixer_Type02 {1} \ + CONFIG.ADC_Mixer_Type03 {1} \ + CONFIG.ADC_Mixer_Type10 {1} \ + CONFIG.ADC_Mixer_Type11 {1} \ CONFIG.ADC_Mixer_Type12 {3} \ CONFIG.ADC_Mixer_Type13 {3} \ - CONFIG.ADC_Mixer_Type20 {3} \ - CONFIG.ADC_Mixer_Type21 {3} \ - CONFIG.ADC_Mixer_Type22 {3} \ - CONFIG.ADC_Mixer_Type23 {3} \ - CONFIG.ADC_OBS02 {false} \ + CONFIG.ADC_Mixer_Type20 {1} \ + CONFIG.ADC_Mixer_Type21 {1} \ + CONFIG.ADC_Mixer_Type22 {1} \ + CONFIG.ADC_Mixer_Type23 {1} \ + CONFIG.ADC_Mixer_Type30 {1} \ + CONFIG.ADC_Mixer_Type31 {1} \ CONFIG.ADC_OBS12 {false} \ CONFIG.ADC_OBS22 {false} \ + CONFIG.ADC_OBS32 {false} \ CONFIG.ADC_RESERVED_1_00 {false} \ CONFIG.ADC_RESERVED_1_02 {false} \ CONFIG.ADC_RESERVED_1_10 {false} \ @@ -2206,47 +2208,70 @@ proc create_hier_cell_rfdc { parentCell nameHier } { CONFIG.ADC_RESERVED_1_22 {false} \ CONFIG.ADC_RESERVED_1_30 {false} \ CONFIG.ADC_RESERVED_1_32 {false} \ - CONFIG.ADC_RTS {false} \ - CONFIG.ADC_Slice00_Enable {true} \ - CONFIG.ADC_Slice01_Enable {true} \ CONFIG.ADC_Slice02_Enable {true} \ CONFIG.ADC_Slice03_Enable {true} \ - CONFIG.ADC_Slice10_Enable {false} \ - CONFIG.ADC_Slice11_Enable {false} \ + CONFIG.ADC_Slice10_Enable {true} \ + CONFIG.ADC_Slice11_Enable {true} \ CONFIG.ADC_Slice12_Enable {false} \ CONFIG.ADC_Slice13_Enable {false} \ - CONFIG.ADC_Slice20_Enable {false} \ - CONFIG.ADC_Slice21_Enable {false} \ - CONFIG.ADC_Slice22_Enable {false} \ - CONFIG.ADC_Slice23_Enable {false} \ - CONFIG.Axiclk_Freq {100} \ - CONFIG.Calibration_Freeze {false} \ - CONFIG.DAC0_Enable {0} \ - CONFIG.DAC0_Fabric_Freq {0.0} \ - CONFIG.DAC0_Outclk_Freq {50.000} \ + CONFIG.ADC_Slice20_Enable {true} \ + CONFIG.ADC_Slice21_Enable {true} \ + CONFIG.ADC_Slice22_Enable {true} \ + CONFIG.ADC_Slice23_Enable {true} \ + CONFIG.ADC_Slice30_Enable {true} \ + CONFIG.ADC_Slice31_Enable {true} \ + CONFIG.DAC0_Clock_Source {6} \ + CONFIG.DAC0_Enable {1} \ + CONFIG.DAC0_Fabric_Freq {512.000} \ + CONFIG.DAC0_Multi_Tile_Sync {true} \ + CONFIG.DAC0_Outclk_Freq {256.000} \ CONFIG.DAC0_PLL_Enable {false} \ - CONFIG.DAC0_Refclk_Freq {6400.000} \ - CONFIG.DAC0_Sampling_Rate {6.4} \ + CONFIG.DAC0_Refclk_Freq {4096.000} \ + CONFIG.DAC0_Sampling_Rate {4.096} \ CONFIG.DAC1_Enable {1} \ - CONFIG.DAC1_Fabric_Freq {256.000} \ + CONFIG.DAC1_Fabric_Freq {512.000} \ + CONFIG.DAC1_Multi_Tile_Sync {true} \ CONFIG.DAC1_Outclk_Freq {256.000} \ - CONFIG.DAC1_PLL_Enable {true} \ - CONFIG.DAC1_Refclk_Freq {409.600} \ + CONFIG.DAC1_Refclk_Freq {4096.000} \ CONFIG.DAC1_Sampling_Rate {4.096} \ - CONFIG.DAC_Interpolation_Mode00 {0} \ - CONFIG.DAC_Interpolation_Mode01 {0} \ - CONFIG.DAC_Interpolation_Mode02 {0} \ - CONFIG.DAC_Interpolation_Mode10 {0} \ - CONFIG.DAC_Interpolation_Mode11 {0} \ - CONFIG.DAC_Interpolation_Mode12 {1} \ - CONFIG.DAC_Interpolation_Mode13 {1} \ - CONFIG.DAC_Mixer_Type00 {3} \ - CONFIG.DAC_Mixer_Type01 {3} \ - CONFIG.DAC_Mixer_Type02 {3} \ - CONFIG.DAC_Mixer_Type10 {3} \ - CONFIG.DAC_Mixer_Type11 {3} \ - CONFIG.DAC_Mixer_Type12 {0} \ - CONFIG.DAC_Mixer_Type13 {0} \ + CONFIG.DAC1_VOP {2.25} \ + CONFIG.DAC2_Clock_Dist {2} \ + CONFIG.DAC2_Enable {1} \ + CONFIG.DAC2_Fabric_Freq {512.000} \ + CONFIG.DAC2_Multi_Tile_Sync {true} \ + CONFIG.DAC2_Outclk_Freq {256.000} \ + CONFIG.DAC2_PLL_Enable {true} \ + CONFIG.DAC2_Refclk_Freq {512.000} \ + CONFIG.DAC2_Sampling_Rate {4.096} \ + CONFIG.DAC3_Clock_Source {6} \ + CONFIG.DAC3_Enable {1} \ + CONFIG.DAC3_Fabric_Freq {512.000} \ + CONFIG.DAC3_Multi_Tile_Sync {true} \ + CONFIG.DAC3_Outclk_Freq {256.000} \ + CONFIG.DAC3_Refclk_Freq {4096.000} \ + CONFIG.DAC3_Sampling_Rate {4.096} \ + CONFIG.DAC_Coarse_Mixer_Freq00 {3} \ + CONFIG.DAC_Coarse_Mixer_Freq10 {3} \ + CONFIG.DAC_Coarse_Mixer_Freq12 {0} \ + CONFIG.DAC_Coarse_Mixer_Freq20 {3} \ + CONFIG.DAC_Coarse_Mixer_Freq22 {0} \ + CONFIG.DAC_Coarse_Mixer_Freq30 {3} \ + CONFIG.DAC_Data_Width00 {8} \ + CONFIG.DAC_Data_Width10 {8} \ + CONFIG.DAC_Data_Width20 {8} \ + CONFIG.DAC_Data_Width30 {8} \ + CONFIG.DAC_Interpolation_Mode00 {1} \ + CONFIG.DAC_Interpolation_Mode10 {1} \ + CONFIG.DAC_Interpolation_Mode12 {0} \ + CONFIG.DAC_Interpolation_Mode20 {1} \ + CONFIG.DAC_Interpolation_Mode22 {0} \ + CONFIG.DAC_Interpolation_Mode30 {1} \ + CONFIG.DAC_Mixer_Type00 {1} \ + CONFIG.DAC_Mixer_Type10 {1} \ + CONFIG.DAC_Mixer_Type12 {3} \ + CONFIG.DAC_Mixer_Type20 {1} \ + CONFIG.DAC_Mixer_Type22 {3} \ + CONFIG.DAC_Mixer_Type30 {1} \ CONFIG.DAC_RESERVED_1_00 {false} \ CONFIG.DAC_RESERVED_1_01 {false} \ CONFIG.DAC_RESERVED_1_02 {false} \ @@ -2255,56 +2280,61 @@ proc create_hier_cell_rfdc { parentCell nameHier } { CONFIG.DAC_RESERVED_1_11 {false} \ CONFIG.DAC_RESERVED_1_12 {false} \ CONFIG.DAC_RESERVED_1_13 {false} \ - CONFIG.DAC_RTS {false} \ - CONFIG.DAC_Slice00_Enable {false} \ - CONFIG.DAC_Slice01_Enable {false} \ - CONFIG.DAC_Slice02_Enable {false} \ - CONFIG.DAC_Slice10_Enable {false} \ - CONFIG.DAC_Slice11_Enable {false} \ - CONFIG.DAC_Slice12_Enable {true} \ - CONFIG.DAC_Slice13_Enable {true} \ - CONFIG.RF_Analyzer {0} \ - CONFIG.mADC_RESERVED_1_00 {false} \ - CONFIG.mADC_RESERVED_1_02 {false} \ + CONFIG.DAC_RESERVED_1_20 {false} \ + CONFIG.DAC_RESERVED_1_21 {false} \ + CONFIG.DAC_RESERVED_1_22 {false} \ + CONFIG.DAC_RESERVED_1_23 {false} \ + CONFIG.DAC_RESERVED_1_30 {false} \ + CONFIG.DAC_RESERVED_1_31 {false} \ + CONFIG.DAC_RESERVED_1_32 {false} \ + CONFIG.DAC_RESERVED_1_33 {false} \ + CONFIG.DAC_Slice00_Enable {true} \ + CONFIG.DAC_Slice10_Enable {true} \ + CONFIG.DAC_Slice12_Enable {false} \ + CONFIG.DAC_Slice20_Enable {true} \ + CONFIG.DAC_Slice22_Enable {false} \ + CONFIG.DAC_Slice30_Enable {true} \ ] $usp_rf_data_converter_0 # Create interface connections connect_bd_intf_net -intf_net Conn1 [get_bd_intf_pins sysref_in] [get_bd_intf_pins usp_rf_data_converter_0/sysref_in] - connect_bd_intf_net -intf_net Conn2 [get_bd_intf_pins adc0_clk] [get_bd_intf_pins usp_rf_data_converter_0/adc0_clk] + connect_bd_intf_net -intf_net Conn2 [get_bd_intf_pins vout10] [get_bd_intf_pins usp_rf_data_converter_0/vout10] + connect_bd_intf_net -intf_net Conn3 [get_bd_intf_pins dac2_clk] [get_bd_intf_pins usp_rf_data_converter_0/dac2_clk] + connect_bd_intf_net -intf_net Conn4 [get_bd_intf_pins s20_axis] [get_bd_intf_pins usp_rf_data_converter_0/s20_axis] + connect_bd_intf_net -intf_net Conn5 [get_bd_intf_pins vout20] [get_bd_intf_pins usp_rf_data_converter_0/vout20] + connect_bd_intf_net -intf_net Conn6 [get_bd_intf_pins vin1_01] [get_bd_intf_pins usp_rf_data_converter_0/vin1_01] + connect_bd_intf_net -intf_net Conn7 [get_bd_intf_pins vin2_01] [get_bd_intf_pins usp_rf_data_converter_0/vin2_01] connect_bd_intf_net -intf_net Conn8 [get_bd_intf_pins vin0_01] [get_bd_intf_pins usp_rf_data_converter_0/vin0_01] connect_bd_intf_net -intf_net Conn9 [get_bd_intf_pins vin0_23] [get_bd_intf_pins usp_rf_data_converter_0/vin0_23] - connect_bd_intf_net -intf_net Conn10 [get_bd_intf_pins dac1_clk] [get_bd_intf_pins usp_rf_data_converter_0/dac1_clk] + connect_bd_intf_net -intf_net Conn10 [get_bd_intf_pins vin2_23] [get_bd_intf_pins usp_rf_data_converter_0/vin2_23] connect_bd_intf_net -intf_net Conn13 [get_bd_intf_pins s_axi] [get_bd_intf_pins usp_rf_data_converter_0/s_axi] - connect_bd_intf_net -intf_net Conn14 [get_bd_intf_pins vout12] [get_bd_intf_pins usp_rf_data_converter_0/vout12] - connect_bd_intf_net -intf_net Conn15 [get_bd_intf_pins vout13] [get_bd_intf_pins usp_rf_data_converter_0/vout13] - connect_bd_intf_net -intf_net s00_axis_1 [get_bd_intf_pins s00_axis] [get_bd_intf_pins usp_rf_data_converter_0/s12_axis] - connect_bd_intf_net -intf_net s01_axis_1 [get_bd_intf_pins s01_axis] [get_bd_intf_pins usp_rf_data_converter_0/s13_axis] + connect_bd_intf_net -intf_net Conn14 [get_bd_intf_pins vout00] [get_bd_intf_pins usp_rf_data_converter_0/vout00] + connect_bd_intf_net -intf_net adc2_clk_1 [get_bd_intf_pins adc2_clk] [get_bd_intf_pins usp_rf_data_converter_0/adc2_clk] + connect_bd_intf_net -intf_net s00_axis_1 [get_bd_intf_pins s00_axis] [get_bd_intf_pins usp_rf_data_converter_0/s00_axis] connect_bd_intf_net -intf_net usp_rf_data_converter_0_m00_axis [get_bd_intf_pins i_axis] [get_bd_intf_pins usp_rf_data_converter_0/m00_axis] connect_bd_intf_net -intf_net usp_rf_data_converter_0_m02_axis [get_bd_intf_pins q_axis] [get_bd_intf_pins usp_rf_data_converter_0/m02_axis] # Create port connections - connect_bd_net -net clk_wiz_0_clk_out2 [get_bd_pins clk_out1] [get_bd_pins clk_wiz_0/clk_out1] [get_bd_pins usp_rf_data_converter_0/m0_axis_aclk] - connect_bd_net -net clk_wiz_0_clk_out3 [get_bd_pins clk_out2] [get_bd_pins clk_wiz_0/clk_out2] - connect_bd_net -net clk_wiz_0_locked [get_bd_pins locked] [get_bd_pins clk_wiz_0/locked] - connect_bd_net -net m2_axis_aresetn_1 [get_bd_pins m2_axis_aresetn] [get_bd_pins usp_rf_data_converter_0/m0_axis_aresetn] - connect_bd_net -net s1_axis_aresetn_1 [get_bd_pins s1_axis_aresetn] [get_bd_pins usp_rf_data_converter_0/s1_axis_aresetn] + connect_bd_net -net DisableExtraDAC2_dout [get_bd_pins DisableExtraDAC2/dout] [get_bd_pins usp_rf_data_converter_0/s10_axis_tdata] + connect_bd_net -net DisableExtraDAC_dout [get_bd_pins DisableExtraDAC/dout] [get_bd_pins usp_rf_data_converter_0/m20_axis_tready] [get_bd_pins usp_rf_data_converter_0/m22_axis_tready] [get_bd_pins usp_rf_data_converter_0/s10_axis_tvalid] + connect_bd_net -net Net [get_bd_pins RF_512_CLK] [get_bd_pins usp_rf_data_converter_0/m0_axis_aclk] [get_bd_pins usp_rf_data_converter_0/m1_axis_aclk] [get_bd_pins usp_rf_data_converter_0/m2_axis_aclk] [get_bd_pins usp_rf_data_converter_0/m3_axis_aclk] [get_bd_pins usp_rf_data_converter_0/s0_axis_aclk] [get_bd_pins usp_rf_data_converter_0/s1_axis_aclk] [get_bd_pins usp_rf_data_converter_0/s2_axis_aclk] [get_bd_pins usp_rf_data_converter_0/s3_axis_aclk] + connect_bd_net -net Net3 [get_bd_pins RF_512_ARESETN] [get_bd_pins usp_rf_data_converter_0/m0_axis_aresetn] [get_bd_pins usp_rf_data_converter_0/m1_axis_aresetn] [get_bd_pins usp_rf_data_converter_0/m2_axis_aresetn] [get_bd_pins usp_rf_data_converter_0/m3_axis_aresetn] [get_bd_pins usp_rf_data_converter_0/s0_axis_aresetn] [get_bd_pins usp_rf_data_converter_0/s1_axis_aresetn] [get_bd_pins usp_rf_data_converter_0/s2_axis_aresetn] [get_bd_pins usp_rf_data_converter_0/s3_axis_aresetn] connect_bd_net -net s_axi_aresetn_1 [get_bd_pins s_axi_aresetn] [get_bd_pins usp_rf_data_converter_0/s_axi_aresetn] - connect_bd_net -net usp_rf_data_converter_0_clk_adc0 [get_bd_pins clk_wiz_0/clk_in1] [get_bd_pins usp_rf_data_converter_0/clk_adc0] + connect_bd_net -net user_sysref_adc_1 [get_bd_pins user_sysref] [get_bd_pins usp_rf_data_converter_0/user_sysref_adc] [get_bd_pins usp_rf_data_converter_0/user_sysref_dac] connect_bd_net -net usp_rf_data_converter_0_clk_adc1 [get_bd_pins s_axi_aclk] [get_bd_pins usp_rf_data_converter_0/s_axi_aclk] - connect_bd_net -net usp_rf_data_converter_0_clk_dac1 [get_bd_pins clk_dac0] [get_bd_pins usp_rf_data_converter_0/clk_dac1] [get_bd_pins usp_rf_data_converter_0/s1_axis_aclk] connect_bd_net -net usp_rf_data_converter_0_irq [get_bd_pins irq] [get_bd_pins usp_rf_data_converter_0/irq] # Restore current instance current_bd_instance $oldCurInst } -# Hierarchical cell: resets -proc create_hier_cell_resets { parentCell nameHier } { +# Hierarchical cell: photon_pipe +proc create_hier_cell_photon_pipe { parentCell nameHier } { variable script_folder if { $parentCell eq "" || $nameHier eq "" } { - catch {common::send_gid_msg -ssname BD::TCL -id 2092 -severity "ERROR" "create_hier_cell_resets() - Empty argument(s)!"} + catch {common::send_gid_msg -ssname BD::TCL -id 2092 -severity "ERROR" "create_hier_cell_photon_pipe() - Empty argument(s)!"} return } @@ -2333,86 +2363,75 @@ proc create_hier_cell_resets { parentCell nameHier } { current_bd_instance $hier_obj # Create interface pins + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 RAWIQ_AXIS + + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 bin2res_control + + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 fftscale_control + + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 istream_V + + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 qstream_V + # Create pins - create_bd_pin -dir I dcm_locked - create_bd_pin -dir I -type rst ext_reset_in - create_bd_pin -dir O -from 0 -to 0 never_reset_100 - create_bd_pin -dir O -from 0 -to 0 never_reset_256 - create_bd_pin -dir O -from 0 -to 0 never_reset_512 - create_bd_pin -dir O -from 0 -to 0 -type rst never_reset_512_256 - create_bd_pin -dir O -from 0 -to 0 -type rst peripheral_aresetn_100 - create_bd_pin -dir O -from 0 -to 0 -type rst peripheral_aresetn_256 - create_bd_pin -dir O -from 0 -to 0 -type rst peripheral_aresetn_512 - create_bd_pin -dir O -from 0 -to 0 -type rst peripheral_aresetn_512_256 - create_bd_pin -dir I -type clk slowest_sync_clk - create_bd_pin -dir I -type clk slowest_sync_clk1 - create_bd_pin -dir I -type clk slowest_sync_clk2 - create_bd_pin -dir I -type clk slowest_sync_clk3 - - # Create instance: proc_sys_reset_0, and set properties - set proc_sys_reset_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 proc_sys_reset_0 ] - - # Create instance: rst_clk_wiz_0_512M, and set properties - set rst_clk_wiz_0_512M [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 rst_clk_wiz_0_512M ] - set_property -dict [ list \ - CONFIG.RESET_BOARD_INTERFACE {Custom} \ - CONFIG.USE_BOARD_FLOW {true} \ - ] $rst_clk_wiz_0_512M + create_bd_pin -dir I -type clk aclk + create_bd_pin -dir I -type rst ap_rst_n - # Create instance: rst_usp_rf_data_converter_0_100M, and set properties - set rst_usp_rf_data_converter_0_100M [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 rst_usp_rf_data_converter_0_100M ] + # Create instance: axis_register_slice_0, and set properties + set axis_register_slice_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 axis_register_slice_0 ] set_property -dict [ list \ - CONFIG.RESET_BOARD_INTERFACE {Custom} \ - CONFIG.USE_BOARD_FLOW {true} \ - ] $rst_usp_rf_data_converter_0_100M + CONFIG.HAS_TKEEP {0} \ + CONFIG.HAS_TREADY {0} \ + CONFIG.HAS_TSTRB {0} \ + ] $axis_register_slice_0 - # Create instance: rst_usp_rf_data_converter_0_256M, and set properties - set rst_usp_rf_data_converter_0_256M [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 rst_usp_rf_data_converter_0_256M ] - set_property -dict [ list \ - CONFIG.RESET_BOARD_INTERFACE {Custom} \ - CONFIG.USE_BOARD_FLOW {true} \ - ] $rst_usp_rf_data_converter_0_256M + # Create instance: axis_register_slice_1, and set properties + set axis_register_slice_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 axis_register_slice_1 ] - # Create instance: xlconstant_1, and set properties - set xlconstant_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_1 ] + # Create instance: axis_register_slice_2, and set properties + set axis_register_slice_2 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 axis_register_slice_2 ] + + # Create instance: axis_register_slice_5, and set properties + set axis_register_slice_5 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 axis_register_slice_5 ] + set_property -dict [ list \ + CONFIG.HAS_TREADY {0} \ + CONFIG.TUSER_WIDTH {8} \ + ] $axis_register_slice_5 - # Create instance: xlconstant_2, and set properties - set xlconstant_2 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_2 ] + # Create instance: opfb + create_hier_cell_opfb $hier_obj opfb - # Create instance: xlconstant_3, and set properties - set xlconstant_3 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_3 ] + # Create instance: reschan + create_hier_cell_reschan $hier_obj reschan - # Create instance: xlconstant_4, and set properties - set xlconstant_4 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_4 ] + # Create interface connections + connect_bd_intf_net -intf_net Conn2 [get_bd_intf_pins bin2res_control] [get_bd_intf_pins reschan/bin2res_control] + connect_bd_intf_net -intf_net Conn4 [get_bd_intf_pins fftscale_control] [get_bd_intf_pins opfb/S_AXI] + connect_bd_intf_net -intf_net axis_register_slice_0_M_AXIS [get_bd_intf_pins axis_register_slice_0/M_AXIS] [get_bd_intf_pins reschan/iq_stream] + connect_bd_intf_net -intf_net axis_register_slice_2_M_AXIS [get_bd_intf_pins axis_register_slice_2/M_AXIS] [get_bd_intf_pins opfb/istream_V] + connect_bd_intf_net -intf_net axis_register_slice_5_M_AXIS [get_bd_intf_pins RAWIQ_AXIS] [get_bd_intf_pins axis_register_slice_5/M_AXIS] + connect_bd_intf_net -intf_net istream_V_1 [get_bd_intf_pins istream_V] [get_bd_intf_pins axis_register_slice_2/S_AXIS] + connect_bd_intf_net -intf_net opfb_output_r [get_bd_intf_pins axis_register_slice_0/S_AXIS] [get_bd_intf_pins opfb/output_r] + connect_bd_intf_net -intf_net qstream_V_1 [get_bd_intf_pins axis_register_slice_1/M_AXIS] [get_bd_intf_pins opfb/qstream_V] + connect_bd_intf_net -intf_net qstream_V_2 [get_bd_intf_pins qstream_V] [get_bd_intf_pins axis_register_slice_1/S_AXIS] + connect_bd_intf_net -intf_net reschan_RAWIQ_AXIS [get_bd_intf_pins axis_register_slice_5/S_AXIS] [get_bd_intf_pins reschan/RAWIQ_AXIS] # Create port connections - connect_bd_net -net dcm_locked_1 [get_bd_pins dcm_locked] [get_bd_pins rst_clk_wiz_0_512M/dcm_locked] - connect_bd_net -net ext_reset_in_1 [get_bd_pins ext_reset_in] [get_bd_pins rst_usp_rf_data_converter_0_100M/ext_reset_in] - connect_bd_net -net proc_sys_reset_0_peripheral_aresetn [get_bd_pins peripheral_aresetn_512_256] [get_bd_pins proc_sys_reset_0/peripheral_aresetn] - connect_bd_net -net rst_clk_wiz_0_512M_peripheral_aresetn [get_bd_pins peripheral_aresetn_512] [get_bd_pins rst_clk_wiz_0_512M/peripheral_aresetn] - connect_bd_net -net rst_usp_rf_data_converter_0_128M_peripheral_aresetn [get_bd_pins peripheral_aresetn_100] [get_bd_pins proc_sys_reset_0/ext_reset_in] [get_bd_pins rst_clk_wiz_0_512M/ext_reset_in] [get_bd_pins rst_usp_rf_data_converter_0_100M/peripheral_aresetn] [get_bd_pins rst_usp_rf_data_converter_0_256M/ext_reset_in] - connect_bd_net -net rst_usp_rf_data_converter_0_256M_peripheral_aresetn [get_bd_pins peripheral_aresetn_256] [get_bd_pins rst_usp_rf_data_converter_0_256M/peripheral_aresetn] - connect_bd_net -net slowest_sync_clk1_1 [get_bd_pins slowest_sync_clk1] [get_bd_pins rst_usp_rf_data_converter_0_100M/slowest_sync_clk] - connect_bd_net -net slowest_sync_clk2_1 [get_bd_pins slowest_sync_clk2] [get_bd_pins rst_usp_rf_data_converter_0_256M/slowest_sync_clk] - connect_bd_net -net slowest_sync_clk3_1 [get_bd_pins slowest_sync_clk3] [get_bd_pins proc_sys_reset_0/slowest_sync_clk] - connect_bd_net -net slowest_sync_clk_1 [get_bd_pins slowest_sync_clk] [get_bd_pins rst_clk_wiz_0_512M/slowest_sync_clk] - connect_bd_net -net xlconstant_1_dout [get_bd_pins never_reset_256] [get_bd_pins xlconstant_1/dout] - connect_bd_net -net xlconstant_2_dout [get_bd_pins never_reset_100] [get_bd_pins xlconstant_2/dout] - connect_bd_net -net xlconstant_3_dout [get_bd_pins never_reset_512] [get_bd_pins xlconstant_3/dout] - connect_bd_net -net xlconstant_4_dout [get_bd_pins never_reset_512_256] [get_bd_pins xlconstant_4/dout] + connect_bd_net -net ap_clk_1 [get_bd_pins aclk] [get_bd_pins axis_register_slice_0/aclk] [get_bd_pins axis_register_slice_1/aclk] [get_bd_pins axis_register_slice_2/aclk] [get_bd_pins axis_register_slice_5/aclk] [get_bd_pins opfb/ap_clk] [get_bd_pins reschan/aclk] + connect_bd_net -net ap_rst_n_1 [get_bd_pins ap_rst_n] [get_bd_pins axis_register_slice_0/aresetn] [get_bd_pins axis_register_slice_1/aresetn] [get_bd_pins axis_register_slice_2/aresetn] [get_bd_pins axis_register_slice_5/aresetn] [get_bd_pins opfb/ap_rst_n] [get_bd_pins reschan/ap_rst_n] # Restore current instance current_bd_instance $oldCurInst } -# Hierarchical cell: photon_pipe -proc create_hier_cell_photon_pipe { parentCell nameHier } { +# Hierarchical cell: dactable +proc create_hier_cell_dactable { parentCell nameHier } { variable script_folder if { $parentCell eq "" || $nameHier eq "" } { - catch {common::send_gid_msg -ssname BD::TCL -id 2092 -severity "ERROR" "create_hier_cell_photon_pipe() - Empty argument(s)!"} + catch {common::send_gid_msg -ssname BD::TCL -id 2092 -severity "ERROR" "create_hier_cell_dactable() - Empty argument(s)!"} return } @@ -2441,63 +2460,148 @@ proc create_hier_cell_photon_pipe { parentCell nameHier } { current_bd_instance $hier_obj # Create interface pins - create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 RAWIQ_AXIS - - create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 bin2res_control + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 S_AXI - create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 fftscale_control + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 iout - create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 istream_V - - create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 qstream_V + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 qout # Create pins - create_bd_pin -dir I -type clk aclk + create_bd_pin -dir I -type clk ap_clk create_bd_pin -dir I -type rst ap_rst_n + # Create instance: axi_bram_ctrl_0, and set properties + set axi_bram_ctrl_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_bram_ctrl:4.1 axi_bram_ctrl_0 ] + set_property -dict [ list \ + CONFIG.DATA_WIDTH {256} \ + CONFIG.PROTOCOL {AXI4} \ + CONFIG.SINGLE_PORT_BRAM {1} \ + ] $axi_bram_ctrl_0 + + # Create instance: axi_dwidth_converter_0, and set properties + set axi_dwidth_converter_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_dwidth_converter:2.1 axi_dwidth_converter_0 ] + + # Create instance: axi_protocol_convert_0, and set properties + set axi_protocol_convert_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_protocol_converter:2.1 axi_protocol_convert_0 ] + set_property -dict [ list \ + CONFIG.MI_PROTOCOL {AXI4} \ + CONFIG.SI_PROTOCOL {AXI4LITE} \ + CONFIG.TRANSLATION_MODE {2} \ + ] $axi_protocol_convert_0 + # Create instance: axis_register_slice_0, and set properties set axis_register_slice_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 axis_register_slice_0 ] set_property -dict [ list \ CONFIG.HAS_TKEEP {0} \ + CONFIG.HAS_TLAST {0} \ CONFIG.HAS_TREADY {0} \ CONFIG.HAS_TSTRB {0} \ + CONFIG.TDATA_NUM_BYTES {16} \ + CONFIG.TDEST_WIDTH {0} \ + CONFIG.TID_WIDTH {0} \ + CONFIG.TUSER_WIDTH {0} \ ] $axis_register_slice_0 # Create instance: axis_register_slice_1, and set properties set axis_register_slice_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 axis_register_slice_1 ] - - # Create instance: axis_register_slice_2, and set properties - set axis_register_slice_2 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 axis_register_slice_2 ] - - # Create instance: axis_register_slice_5, and set properties - set axis_register_slice_5 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 axis_register_slice_5 ] set_property -dict [ list \ + CONFIG.HAS_TKEEP {0} \ + CONFIG.HAS_TLAST {0} \ CONFIG.HAS_TREADY {0} \ - CONFIG.TUSER_WIDTH {8} \ - ] $axis_register_slice_5 + CONFIG.HAS_TSTRB {0} \ + CONFIG.TDATA_NUM_BYTES {16} \ + CONFIG.TDEST_WIDTH {0} \ + CONFIG.TID_WIDTH {0} \ + CONFIG.TUSER_WIDTH {0} \ + ] $axis_register_slice_1 - # Create instance: opfb - create_hier_cell_opfb $hier_obj opfb + # Create instance: blk_mem_gen_0, and set properties + set blk_mem_gen_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:blk_mem_gen:8.4 blk_mem_gen_0 ] + set_property -dict [ list \ + CONFIG.Assume_Synchronous_Clk {true} \ + CONFIG.Byte_Size {8} \ + CONFIG.EN_SAFETY_CKT {false} \ + CONFIG.Enable_32bit_Address {false} \ + CONFIG.Enable_B {Use_ENB_Pin} \ + CONFIG.Memory_Type {Simple_Dual_Port_RAM} \ + CONFIG.Operating_Mode_A {NO_CHANGE} \ + CONFIG.Operating_Mode_B {READ_FIRST} \ + CONFIG.PRIM_type_to_Implement {URAM} \ + CONFIG.Port_B_Clock {100} \ + CONFIG.Port_B_Enable_Rate {100} \ + CONFIG.Port_B_Write_Rate {0} \ + CONFIG.READ_LATENCY_A {12} \ + CONFIG.READ_LATENCY_B {12} \ + CONFIG.Read_Width_A {256} \ + CONFIG.Read_Width_B {256} \ + CONFIG.Use_Byte_Write_Enable {true} \ + CONFIG.Use_RSTA_Pin {false} \ + CONFIG.Use_RSTB_Pin {false} \ + CONFIG.Write_Depth_A {65536} \ + CONFIG.Write_Width_A {256} \ + CONFIG.Write_Width_B {256} \ + CONFIG.use_bram_block {Stand_Alone} \ + ] $blk_mem_gen_0 + + # Create instance: c_counter_binary_0, and set properties + set c_counter_binary_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_counter_binary:12.0 c_counter_binary_0 ] + set_property -dict [ list \ + CONFIG.Fb_Latency_Configuration {Automatic} \ + CONFIG.Latency_Configuration {Automatic} \ + CONFIG.Sync_Threshold_Output {false} \ + ] $c_counter_binary_0 - # Create instance: reschan - create_hier_cell_reschan $hier_obj reschan + # Create instance: xlconstant_0, and set properties + set xlconstant_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_0 ] + + # Create instance: xlslice_0, and set properties + set xlslice_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_0 ] + set_property -dict [ list \ + CONFIG.DIN_FROM {20} \ + CONFIG.DIN_TO {5} \ + CONFIG.DIN_WIDTH {21} \ + CONFIG.DOUT_WIDTH {16} \ + ] $xlslice_0 + + # Create instance: xlslice_1, and set properties + set xlslice_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_1 ] + set_property -dict [ list \ + CONFIG.DIN_FROM {127} \ + CONFIG.DIN_WIDTH {256} \ + CONFIG.DOUT_WIDTH {128} \ + ] $xlslice_1 + + # Create instance: xlslice_2, and set properties + set xlslice_2 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_2 ] + set_property -dict [ list \ + CONFIG.DIN_FROM {255} \ + CONFIG.DIN_TO {128} \ + CONFIG.DIN_WIDTH {256} \ + CONFIG.DOUT_WIDTH {128} \ + ] $xlslice_2 # Create interface connections - connect_bd_intf_net -intf_net Conn2 [get_bd_intf_pins bin2res_control] [get_bd_intf_pins reschan/bin2res_control] - connect_bd_intf_net -intf_net Conn4 [get_bd_intf_pins fftscale_control] [get_bd_intf_pins opfb/S_AXI] - connect_bd_intf_net -intf_net axis_register_slice_0_M_AXIS [get_bd_intf_pins axis_register_slice_0/M_AXIS] [get_bd_intf_pins reschan/iq_stream] - connect_bd_intf_net -intf_net axis_register_slice_2_M_AXIS [get_bd_intf_pins axis_register_slice_2/M_AXIS] [get_bd_intf_pins opfb/istream_V] - connect_bd_intf_net -intf_net axis_register_slice_5_M_AXIS [get_bd_intf_pins RAWIQ_AXIS] [get_bd_intf_pins axis_register_slice_5/M_AXIS] - connect_bd_intf_net -intf_net istream_V_1 [get_bd_intf_pins istream_V] [get_bd_intf_pins axis_register_slice_2/S_AXIS] - connect_bd_intf_net -intf_net opfb_output_r [get_bd_intf_pins axis_register_slice_0/S_AXIS] [get_bd_intf_pins opfb/output_r] - connect_bd_intf_net -intf_net qstream_V_1 [get_bd_intf_pins axis_register_slice_1/M_AXIS] [get_bd_intf_pins opfb/qstream_V] - connect_bd_intf_net -intf_net qstream_V_2 [get_bd_intf_pins qstream_V] [get_bd_intf_pins axis_register_slice_1/S_AXIS] - connect_bd_intf_net -intf_net reschan_RAWIQ_AXIS [get_bd_intf_pins axis_register_slice_5/S_AXIS] [get_bd_intf_pins reschan/RAWIQ_AXIS] + connect_bd_intf_net -intf_net S_AXI_1 [get_bd_intf_pins S_AXI] [get_bd_intf_pins axi_protocol_convert_0/S_AXI] + connect_bd_intf_net -intf_net axi_dwidth_converter_0_M_AXI [get_bd_intf_pins axi_bram_ctrl_0/S_AXI] [get_bd_intf_pins axi_dwidth_converter_0/M_AXI] + connect_bd_intf_net -intf_net axi_protocol_convert_0_M_AXI [get_bd_intf_pins axi_dwidth_converter_0/S_AXI] [get_bd_intf_pins axi_protocol_convert_0/M_AXI] + connect_bd_intf_net -intf_net axis_register_slice_0_M_AXIS [get_bd_intf_pins iout] [get_bd_intf_pins axis_register_slice_0/M_AXIS] + connect_bd_intf_net -intf_net axis_register_slice_1_M_AXIS [get_bd_intf_pins qout] [get_bd_intf_pins axis_register_slice_1/M_AXIS] # Create port connections - connect_bd_net -net ap_clk_1 [get_bd_pins aclk] [get_bd_pins axis_register_slice_0/aclk] [get_bd_pins axis_register_slice_1/aclk] [get_bd_pins axis_register_slice_2/aclk] [get_bd_pins axis_register_slice_5/aclk] [get_bd_pins opfb/ap_clk] [get_bd_pins reschan/aclk] - connect_bd_net -net ap_rst_n_1 [get_bd_pins ap_rst_n] [get_bd_pins axis_register_slice_0/aresetn] [get_bd_pins axis_register_slice_1/aresetn] [get_bd_pins axis_register_slice_2/aresetn] [get_bd_pins axis_register_slice_5/aresetn] [get_bd_pins opfb/ap_rst_n] [get_bd_pins reschan/ap_rst_n] + connect_bd_net -net Net [get_bd_pins ap_clk] [get_bd_pins axi_bram_ctrl_0/s_axi_aclk] [get_bd_pins axi_dwidth_converter_0/s_axi_aclk] [get_bd_pins axi_protocol_convert_0/aclk] [get_bd_pins axis_register_slice_0/aclk] [get_bd_pins axis_register_slice_1/aclk] [get_bd_pins blk_mem_gen_0/clkb] [get_bd_pins c_counter_binary_0/CLK] + connect_bd_net -net Net1 [get_bd_pins ap_rst_n] [get_bd_pins axi_bram_ctrl_0/s_axi_aresetn] [get_bd_pins axi_dwidth_converter_0/s_axi_aresetn] [get_bd_pins axi_protocol_convert_0/aresetn] [get_bd_pins axis_register_slice_0/aresetn] [get_bd_pins axis_register_slice_1/aresetn] + connect_bd_net -net axi_bram_ctrl_0_bram_addr_a [get_bd_pins axi_bram_ctrl_0/bram_addr_a] [get_bd_pins xlslice_0/Din] + connect_bd_net -net axi_bram_ctrl_0_bram_clk_a [get_bd_pins axi_bram_ctrl_0/bram_clk_a] [get_bd_pins blk_mem_gen_0/clka] + connect_bd_net -net axi_bram_ctrl_0_bram_en_a [get_bd_pins axi_bram_ctrl_0/bram_en_a] [get_bd_pins blk_mem_gen_0/ena] + connect_bd_net -net axi_bram_ctrl_0_bram_we_a [get_bd_pins axi_bram_ctrl_0/bram_we_a] [get_bd_pins blk_mem_gen_0/wea] + connect_bd_net -net axi_bram_ctrl_0_bram_wrdata_a [get_bd_pins axi_bram_ctrl_0/bram_wrdata_a] [get_bd_pins blk_mem_gen_0/dina] + connect_bd_net -net blk_mem_gen_0_doutb [get_bd_pins blk_mem_gen_0/doutb] [get_bd_pins xlslice_1/Din] [get_bd_pins xlslice_2/Din] + connect_bd_net -net c_counter_binary_0_Q [get_bd_pins blk_mem_gen_0/addrb] [get_bd_pins c_counter_binary_0/Q] + connect_bd_net -net xlconstant_0_dout [get_bd_pins axis_register_slice_0/s_axis_tvalid] [get_bd_pins axis_register_slice_1/s_axis_tvalid] [get_bd_pins blk_mem_gen_0/enb] [get_bd_pins xlconstant_0/dout] + connect_bd_net -net xlslice_0_Dout [get_bd_pins blk_mem_gen_0/addra] [get_bd_pins xlslice_0/Dout] + connect_bd_net -net xlslice_1_Dout [get_bd_pins axis_register_slice_0/s_axis_tdata] [get_bd_pins xlslice_1/Dout] + connect_bd_net -net xlslice_2_Dout [get_bd_pins axis_register_slice_1/s_axis_tdata] [get_bd_pins xlslice_2/Dout] # Restore current instance current_bd_instance $oldCurInst @@ -2540,11 +2644,11 @@ proc create_hier_cell_capture { parentCell nameHier } { # Create interface pins create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 S_DDR_AXI - create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 control + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 axis2mm_axil - create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:ddr4_rtl:1.0 ddr4_sdram + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 control - create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 default_sysclk1_300mhz + create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:ddr4_rtl:1.0 ddr4_pl create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 iq0 @@ -2552,20 +2656,27 @@ proc create_hier_cell_capture { parentCell nameHier } { create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 phase0 - create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 phase1 - create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 raw_i create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 raw_q + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 sys_clk_ddr4 + # Create pins + create_bd_pin -dir O -type clk DDR_UI_CLK + create_bd_pin -dir O -type rst DDR_UI_RESET create_bd_pin -dir I -type rst axis2mm_aresetn create_bd_pin -dir I -type clk axis2mm_clk - create_bd_pin -dir O -type clk c0_ddr4_ui_clk2 - create_bd_pin -dir O o_int + create_bd_pin -dir O int_capture create_bd_pin -dir I -type rst pipe_aresetn create_bd_pin -dir I -type clk pipe_clk + create_bd_pin -dir I -type rst s_axi_aresetn + create_bd_pin -dir I -type rst s_axis_aresetn + create_bd_pin -dir I -from 0 -to 0 -type rst sys_rst + + # Create instance: DDR_RESETGEN, and set properties + set DDR_RESETGEN [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 DDR_RESETGEN ] # Create instance: JebConnect create_hier_cell_JebConnect $hier_obj JebConnect @@ -2573,8 +2684,8 @@ proc create_hier_cell_capture { parentCell nameHier } { # Create instance: axi_interconnect_0, and set properties set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ] set_property -dict [ list \ - CONFIG.ENABLE_ADVANCED_OPTIONS {1} \ - CONFIG.NUM_MI {6} \ + CONFIG.ENABLE_ADVANCED_OPTIONS {0} \ + CONFIG.NUM_MI {4} \ CONFIG.XBAR_DATA_WIDTH {32} \ ] $axi_interconnect_0 @@ -2613,19 +2724,21 @@ proc create_hier_cell_capture { parentCell nameHier } { # Create instance: ddr4_0, and set properties set ddr4_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:ddr4:2.2 ddr4_0 ] set_property -dict [ list \ - CONFIG.ADDN_UI_CLKOUT1_FREQ_HZ {None} \ CONFIG.C0.BANK_GROUP_WIDTH {1} \ - CONFIG.C0.DDR4_AxiAddressWidth {32} \ + CONFIG.C0.DDR4_AxiAddressWidth {33} \ CONFIG.C0.DDR4_AxiArbitrationScheme {WRITE_PRIORITY_REG} \ CONFIG.C0.DDR4_AxiDataWidth {512} \ - CONFIG.C0.DDR4_CLKFBOUT_MULT {10} \ + CONFIG.C0.DDR4_CLKFBOUT_MULT {5} \ CONFIG.C0.DDR4_CLKOUT0_DIVIDE {3} \ + CONFIG.C0.DDR4_CasLatency {19} \ + CONFIG.C0.DDR4_CasWriteLatency {14} \ + CONFIG.C0.DDR4_DIVCLK_DIVIDE {1} \ CONFIG.C0.DDR4_DataWidth {64} \ - CONFIG.C0.DDR4_InputClockPeriod {3334} \ - CONFIG.C0.DDR4_MemoryPart {MT40A512M16LY-075} \ - CONFIG.C0.DDR4_Specify_MandD {false} \ - CONFIG.C0_CLOCK_BOARD_INTERFACE {default_sysclk1_300mhz} \ - CONFIG.C0_DDR4_BOARD_INTERFACE {ddr4_sdram} \ + CONFIG.C0.DDR4_InputClockPeriod {5000} \ + CONFIG.C0.DDR4_MemoryPart {MT40A1G16RC-062E} \ + CONFIG.C0.DDR4_MemoryType {Components} \ + CONFIG.C0.DDR4_TimePeriod {750} \ + CONFIG.System_Clock {No_Buffer} \ ] $ddr4_0 # Create instance: filter_iq_0, and set properties @@ -2637,64 +2750,245 @@ proc create_hier_cell_capture { parentCell nameHier } { # Create instance: filter_phase_0, and set properties set filter_phase_0 [ create_bd_cell -type ip -vlnv mazinlab:mkidgen3:filter_phase:0.5 filter_phase_0 ] - # Create instance: filter_phase_1, and set properties - set filter_phase_1 [ create_bd_cell -type ip -vlnv mazinlab:mkidgen3:filter_phase:0.5 filter_phase_1 ] - # Create instance: pair_iq_0, and set properties set pair_iq_0 [ create_bd_cell -type ip -vlnv mazinlab:mkidgen3:pair_iq:0.4 pair_iq_0 ] # Create instance: switchboard create_hier_cell_switchboard $hier_obj switchboard - # Create instance: xlconstant_0, and set properties - set xlconstant_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_0 ] - set_property -dict [ list \ - CONFIG.CONST_VAL {0} \ - ] $xlconstant_0 + # Create instance: util_ds_buf_0, and set properties + set util_ds_buf_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_ds_buf:2.2 util_ds_buf_0 ] - # Create instance: xlconstant_1, and set properties - set xlconstant_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_1 ] + # Create instance: util_ds_buf_1, and set properties + set util_ds_buf_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_ds_buf:2.2 util_ds_buf_1 ] set_property -dict [ list \ - CONFIG.CONST_VAL {1} \ - ] $xlconstant_1 + CONFIG.C_BUF_TYPE {BUFG} \ + ] $util_ds_buf_1 # Create interface connections - connect_bd_intf_net -intf_net Conn1 [get_bd_intf_pins ddr4_sdram] [get_bd_intf_pins ddr4_0/C0_DDR4] - connect_bd_intf_net -intf_net Conn2 [get_bd_intf_pins default_sysclk1_300mhz] [get_bd_intf_pins ddr4_0/C0_SYS_CLK] + connect_bd_intf_net -intf_net Conn1 [get_bd_intf_pins ddr4_pl] [get_bd_intf_pins ddr4_0/C0_DDR4] + connect_bd_intf_net -intf_net Conn2 [get_bd_intf_pins sys_clk_ddr4] [get_bd_intf_pins util_ds_buf_0/CLK_IN_D] connect_bd_intf_net -intf_net Conn3 [get_bd_intf_pins control] [get_bd_intf_pins axi_interconnect_0/S00_AXI] connect_bd_intf_net -intf_net Conn4 [get_bd_intf_pins phase0] [get_bd_intf_pins filter_phase_0/instream] + connect_bd_intf_net -intf_net Conn5 [get_bd_intf_pins axis2mm_axil] [get_bd_intf_pins axis2mm/S_AXIL] + connect_bd_intf_net -intf_net JebConnect_M_AXI [get_bd_intf_pins JebConnect/M_AXI] [get_bd_intf_pins ddr4_0/C0_DDR4_S_AXI] connect_bd_intf_net -intf_net S03_AXI_1 [get_bd_intf_pins S_DDR_AXI] [get_bd_intf_pins JebConnect/S01_AXI] connect_bd_intf_net -intf_net Switchboard_M_AXIS [get_bd_intf_pins axis2mm/S_AXIS] [get_bd_intf_pins switchboard/M_AXIS] - connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_pins axi_interconnect_0/M00_AXI] [get_bd_intf_pins axis2mm/S_AXIL] + connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_pins axi_interconnect_0/M00_AXI] [get_bd_intf_pins filter_phase_0/s_axi_control] connect_bd_intf_net -intf_net axi_interconnect_0_M01_AXI [get_bd_intf_pins axi_interconnect_0/M01_AXI] [get_bd_intf_pins switchboard/S_AXI_CTRL] connect_bd_intf_net -intf_net axi_interconnect_0_M02_AXI [get_bd_intf_pins axi_interconnect_0/M02_AXI] [get_bd_intf_pins filter_iq_0/s_axi_control] connect_bd_intf_net -intf_net axi_interconnect_0_M03_AXI [get_bd_intf_pins axi_interconnect_0/M03_AXI] [get_bd_intf_pins filter_iq_1/s_axi_control] - connect_bd_intf_net -intf_net axi_interconnect_0_M04_AXI [get_bd_intf_pins axi_interconnect_0/M04_AXI] [get_bd_intf_pins filter_phase_0/s_axi_control] - connect_bd_intf_net -intf_net axi_interconnect_0_M05_AXI [get_bd_intf_pins axi_interconnect_0/M05_AXI] [get_bd_intf_pins filter_phase_1/s_axi_control] - connect_bd_intf_net -intf_net axi_smc_M00_AXI [get_bd_intf_pins JebConnect/M_AXI] [get_bd_intf_pins ddr4_0/C0_DDR4_S_AXI] connect_bd_intf_net -intf_net axis2mm_0_M_AXI [get_bd_intf_pins JebConnect/S00_AXI] [get_bd_intf_pins axis2mm/M_AXI] connect_bd_intf_net -intf_net axis_register_slice_0_M_AXIS [get_bd_intf_pins axis_register_slice_0/M_AXIS] [get_bd_intf_pins pair_iq_0/i_in_V] connect_bd_intf_net -intf_net axis_register_slice_1_M_AXIS [get_bd_intf_pins axis_register_slice_1/M_AXIS] [get_bd_intf_pins pair_iq_0/q_in_V] connect_bd_intf_net -intf_net filter_iq_0_outstream [get_bd_intf_pins filter_iq_0/outstream] [get_bd_intf_pins switchboard/S01_AXIS] connect_bd_intf_net -intf_net filter_iq_1_outstream [get_bd_intf_pins filter_iq_1/outstream] [get_bd_intf_pins switchboard/S02_AXIS] connect_bd_intf_net -intf_net filter_phase_0_outstream [get_bd_intf_pins filter_phase_0/outstream] [get_bd_intf_pins switchboard/S03_AXIS] - connect_bd_intf_net -intf_net filter_phase_1_outstream [get_bd_intf_pins filter_phase_1/outstream] [get_bd_intf_pins switchboard/S04_AXIS] connect_bd_intf_net -intf_net iq0_1 [get_bd_intf_pins iq0] [get_bd_intf_pins filter_iq_0/instream] connect_bd_intf_net -intf_net iq1_1 [get_bd_intf_pins iq1] [get_bd_intf_pins filter_iq_1/instream] connect_bd_intf_net -intf_net pair_iq_0_out_r [get_bd_intf_pins pair_iq_0/out_r] [get_bd_intf_pins switchboard/S00_AXIS] - connect_bd_intf_net -intf_net phase1_1 [get_bd_intf_pins phase1] [get_bd_intf_pins filter_phase_1/instream] connect_bd_intf_net -intf_net raw_i_1 [get_bd_intf_pins raw_i] [get_bd_intf_pins axis_register_slice_0/S_AXIS] connect_bd_intf_net -intf_net raw_q_1 [get_bd_intf_pins raw_q] [get_bd_intf_pins axis_register_slice_1/S_AXIS] # Create port connections - connect_bd_net -net Net [get_bd_pins pipe_clk] [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins axi_interconnect_0/M01_ACLK] [get_bd_pins axi_interconnect_0/M02_ACLK] [get_bd_pins axi_interconnect_0/M03_ACLK] [get_bd_pins axi_interconnect_0/M04_ACLK] [get_bd_pins axi_interconnect_0/M05_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins axis_register_slice_0/aclk] [get_bd_pins axis_register_slice_1/aclk] [get_bd_pins filter_iq_0/ap_clk] [get_bd_pins filter_iq_1/ap_clk] [get_bd_pins filter_phase_0/ap_clk] [get_bd_pins filter_phase_1/ap_clk] [get_bd_pins pair_iq_0/ap_clk] [get_bd_pins switchboard/pipe_clk] - connect_bd_net -net Net1 [get_bd_pins axis2mm_clk] [get_bd_pins JebConnect/m_axis_aclk] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axis2mm/S_AXI_ACLK] [get_bd_pins switchboard/axis2mm_clk] - connect_bd_net -net Net2 [get_bd_pins axis2mm_aresetn] [get_bd_pins JebConnect/S_AXI_ARESETN] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axis2mm/S_AXI_ARESETN] [get_bd_pins switchboard/axis2mm_aresetn] - connect_bd_net -net ap_rst_n_1 [get_bd_pins pipe_aresetn] [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins axi_interconnect_0/M01_ARESETN] [get_bd_pins axi_interconnect_0/M02_ARESETN] [get_bd_pins axi_interconnect_0/M03_ARESETN] [get_bd_pins axi_interconnect_0/M04_ARESETN] [get_bd_pins axi_interconnect_0/M05_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins axis_register_slice_0/aresetn] [get_bd_pins axis_register_slice_1/aresetn] [get_bd_pins filter_iq_0/ap_rst_n] [get_bd_pins filter_iq_1/ap_rst_n] [get_bd_pins filter_phase_0/ap_rst_n] [get_bd_pins filter_phase_1/ap_rst_n] [get_bd_pins pair_iq_0/ap_rst_n] [get_bd_pins switchboard/pipe_aresetn] - connect_bd_net -net axis2mm_0_o_int [get_bd_pins o_int] [get_bd_pins axis2mm/o_int] - connect_bd_net -net ddr4_0_c0_ddr4_ui_clk [get_bd_pins c0_ddr4_ui_clk2] [get_bd_pins JebConnect/c0_ddr4_ui_clk2] [get_bd_pins ddr4_0/c0_ddr4_ui_clk] - connect_bd_net -net xlconstant_0_dout [get_bd_pins ddr4_0/sys_rst] [get_bd_pins xlconstant_0/dout] - connect_bd_net -net xlconstant_1_dout [get_bd_pins JebConnect/dout] [get_bd_pins ddr4_0/c0_ddr4_aresetn] [get_bd_pins xlconstant_1/dout] + connect_bd_net -net Net [get_bd_pins pipe_clk] [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/M01_ACLK] [get_bd_pins axi_interconnect_0/M02_ACLK] [get_bd_pins axi_interconnect_0/M03_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins axis_register_slice_0/aclk] [get_bd_pins axis_register_slice_1/aclk] [get_bd_pins filter_iq_0/ap_clk] [get_bd_pins filter_iq_1/ap_clk] [get_bd_pins filter_phase_0/ap_clk] [get_bd_pins pair_iq_0/ap_clk] [get_bd_pins switchboard/pipe_clk] + connect_bd_net -net Net1 [get_bd_pins axis2mm_clk] [get_bd_pins JebConnect/m_axis_aclk] [get_bd_pins axis2mm/S_AXI_ACLK] [get_bd_pins switchboard/axis2mm_clk] + connect_bd_net -net Net2 [get_bd_pins axis2mm_aresetn] [get_bd_pins JebConnect/S_AXI_ARESETN] [get_bd_pins axis2mm/S_AXI_ARESETN] + connect_bd_net -net ap_rst_n_1 [get_bd_pins pipe_aresetn] [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/M01_ARESETN] [get_bd_pins axi_interconnect_0/M02_ARESETN] [get_bd_pins axi_interconnect_0/M03_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins axis_register_slice_0/aresetn] [get_bd_pins axis_register_slice_1/aresetn] [get_bd_pins filter_iq_0/ap_rst_n] [get_bd_pins filter_iq_1/ap_rst_n] [get_bd_pins filter_phase_0/ap_rst_n] [get_bd_pins pair_iq_0/ap_rst_n] [get_bd_pins switchboard/pipe_aresetn] + connect_bd_net -net axis2mm_0_o_int [get_bd_pins int_capture] [get_bd_pins axis2mm/o_int] + connect_bd_net -net ddr4_0_c0_ddr4_ui_clk [get_bd_pins DDR_UI_CLK] [get_bd_pins DDR_RESETGEN/slowest_sync_clk] [get_bd_pins JebConnect/DDR_UI_CLK] [get_bd_pins ddr4_0/c0_ddr4_ui_clk] + connect_bd_net -net ddr4_0_c0_ddr4_ui_clk_sync_rst [get_bd_pins DDR_UI_RESET] [get_bd_pins DDR_RESETGEN/ext_reset_in] [get_bd_pins ddr4_0/c0_ddr4_ui_clk_sync_rst] + connect_bd_net -net ddr4_0_c0_init_calib_complete [get_bd_pins DDR_RESETGEN/dcm_locked] [get_bd_pins ddr4_0/c0_init_calib_complete] + connect_bd_net -net proc_sys_reset_0_interconnect_aresetn [get_bd_pins DDR_RESETGEN/interconnect_aresetn] [get_bd_pins JebConnect/DDR_UI_RESET] [get_bd_pins ddr4_0/c0_ddr4_aresetn] + connect_bd_net -net s_axi_aresetn1_1 [get_bd_pins s_axi_aresetn] [get_bd_pins JebConnect/s_axi_aresetn1] + connect_bd_net -net s_axis_aresetn_1 [get_bd_pins s_axis_aresetn] [get_bd_pins switchboard/s_axis_aresetn] + connect_bd_net -net sys_rst_1 [get_bd_pins sys_rst] [get_bd_pins ddr4_0/sys_rst] + connect_bd_net -net util_ds_buf_0_IBUF_OUT [get_bd_pins util_ds_buf_0/IBUF_OUT] [get_bd_pins util_ds_buf_1/BUFG_I] + connect_bd_net -net util_ds_buf_1_BUFG_O [get_bd_pins ddr4_0/c0_sys_clk_i] [get_bd_pins util_ds_buf_1/BUFG_O] + + # Restore current instance + current_bd_instance $oldCurInst +} + +# Hierarchical cell: Clocktree +proc create_hier_cell_Clocktree { parentCell nameHier } { + + variable script_folder + + if { $parentCell eq "" || $nameHier eq "" } { + catch {common::send_gid_msg -ssname BD::TCL -id 2092 -severity "ERROR" "create_hier_cell_Clocktree() - Empty argument(s)!"} + return + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + catch {common::send_gid_msg -ssname BD::TCL -id 2090 -severity "ERROR" "Unable to find parent cell <$parentCell>!"} + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + catch {common::send_gid_msg -ssname BD::TCL -id 2091 -severity "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be ."} + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + # Create cell and set as current instance + set hier_obj [create_bd_cell -type hier $nameHier] + current_bd_instance $hier_obj + + # Create interface pins + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 PL_CLK + + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 PL_SYSREF + + create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_lite + + + # Create pins + create_bd_pin -dir O -from 0 -to 0 -type rst AXI_100_ARESETN + create_bd_pin -dir I -type rst DDR4_RESET + create_bd_pin -dir O -from 0 -to 0 -type rst DDR_SYS_RESET + create_bd_pin -dir O -from 0 -to 0 -type rst RF_256_ARESETN + create_bd_pin -dir O -type clk RF_256_CLK + create_bd_pin -dir O -from 0 -to 0 RF_256_NEVERARESETN + create_bd_pin -dir O -from 0 -to 0 -type rst RF_512_ARESETN + create_bd_pin -dir O -type clk RF_512_CLK + create_bd_pin -dir O -from 0 -to 0 RF_512_NEVERARESETN + create_bd_pin -dir O -from 0 -to 0 USER_SYSREF + create_bd_pin -dir O -from 0 -to 0 dest_out + create_bd_pin -dir I -type rst ext_reset_in + create_bd_pin -dir O -type intr mmcm_interrupt + create_bd_pin -dir I -type clk s_axi_aclk + + # Create instance: AXI_100_RESET, and set properties + set AXI_100_RESET [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 AXI_100_RESET ] + set_property -dict [ list \ + CONFIG.C_AUX_RESET_HIGH {0} \ + CONFIG.RESET_BOARD_INTERFACE {Custom} \ + CONFIG.USE_BOARD_FLOW {true} \ + ] $AXI_100_RESET + + # Create instance: BUFG_PL_CLK, and set properties + set BUFG_PL_CLK [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_ds_buf:2.2 BUFG_PL_CLK ] + set_property -dict [ list \ + CONFIG.C_BUF_TYPE {BUFG} \ + ] $BUFG_PL_CLK + + # Create instance: IBUFDS_PL_CLK, and set properties + set IBUFDS_PL_CLK [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_ds_buf:2.2 IBUFDS_PL_CLK ] + + # Create instance: IBUFDS_PL_SYSREF, and set properties + set IBUFDS_PL_SYSREF [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_ds_buf:2.2 IBUFDS_PL_SYSREF ] + + # Create instance: PL_RF_256_Reset, and set properties + set PL_RF_256_Reset [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 PL_RF_256_Reset ] + set_property -dict [ list \ + CONFIG.C_EXT_RST_WIDTH {16} \ + ] $PL_RF_256_Reset + + # Create instance: PL_RF_512_Reset, and set properties + set PL_RF_512_Reset [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 PL_RF_512_Reset ] + set_property -dict [ list \ + CONFIG.C_AUX_RST_WIDTH {4} \ + CONFIG.C_EXT_RST_WIDTH {16} \ + ] $PL_RF_512_Reset + + # Create instance: RF_CLKGEN, and set properties + set RF_CLKGEN [ create_bd_cell -type ip -vlnv xilinx.com:ip:clk_wiz:6.0 RF_CLKGEN ] + set_property -dict [ list \ + CONFIG.CLKIN1_JITTER_PS {195.4} \ + CONFIG.CLKIN1_UI_JITTER {0.10} \ + CONFIG.CLKOUT1_DRIVES {Buffer} \ + CONFIG.CLKOUT1_JITTER {68.220} \ + CONFIG.CLKOUT1_MATCHED_ROUTING {true} \ + CONFIG.CLKOUT1_PHASE_ERROR {71.728} \ + CONFIG.CLKOUT1_REQUESTED_OUT_FREQ {512} \ + CONFIG.CLKOUT2_DRIVES {Buffer} \ + CONFIG.CLKOUT2_JITTER {77.991} \ + CONFIG.CLKOUT2_MATCHED_ROUTING {true} \ + CONFIG.CLKOUT2_PHASE_ERROR {71.728} \ + CONFIG.CLKOUT2_REQUESTED_OUT_FREQ {256} \ + CONFIG.CLKOUT2_USED {true} \ + CONFIG.CLKOUT3_DRIVES {Buffer} \ + CONFIG.CLKOUT4_DRIVES {Buffer} \ + CONFIG.CLKOUT5_DRIVES {Buffer} \ + CONFIG.CLKOUT6_DRIVES {Buffer} \ + CONFIG.CLKOUT7_DRIVES {Buffer} \ + CONFIG.CLK_OUT1_PORT {pl_rf_512} \ + CONFIG.CLK_OUT2_PORT {pl_rf_256} \ + CONFIG.ENABLE_CLOCK_MONITOR {true} \ + CONFIG.JITTER_SEL {Min_O_Jitter} \ + CONFIG.MMCM_BANDWIDTH {HIGH} \ + CONFIG.MMCM_CLKFBOUT_MULT_F {6.000} \ + CONFIG.MMCM_CLKIN1_PERIOD {1.953} \ + CONFIG.MMCM_CLKIN2_PERIOD {10.0} \ + CONFIG.MMCM_CLKOUT0_DIVIDE_F {3.000} \ + CONFIG.MMCM_CLKOUT1_DIVIDE {6} \ + CONFIG.MMCM_DIVCLK_DIVIDE {2} \ + CONFIG.MMCM_REF_JITTER1 {0.100} \ + CONFIG.NUM_OUT_CLKS {2} \ + CONFIG.PRIMITIVE {MMCM} \ + CONFIG.PRIM_IN_FREQ {512.000} \ + CONFIG.PRIM_SOURCE {Global_buffer} \ + CONFIG.SECONDARY_SOURCE {Single_ended_clock_capable_pin} \ + CONFIG.USE_PHASE_ALIGNMENT {true} \ + ] $RF_CLKGEN + + # Create instance: SynchronizeSYSREF, and set properties + set SynchronizeSYSREF [ create_bd_cell -type ip -vlnv xilinx.com:ip:xpm_cdc_gen:1.0 SynchronizeSYSREF ] + set_property -dict [ list \ + CONFIG.CDC_TYPE {xpm_cdc_single} \ + CONFIG.DEST_SYNC_FF {4} \ + CONFIG.INIT_SYNC_FF {true} \ + CONFIG.WIDTH {1} \ + ] $SynchronizeSYSREF + + # Create instance: SynchronizeSYSREF1, and set properties + set SynchronizeSYSREF1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xpm_cdc_gen:1.0 SynchronizeSYSREF1 ] + set_property -dict [ list \ + CONFIG.CDC_TYPE {xpm_cdc_single} \ + CONFIG.DEST_SYNC_FF {4} \ + CONFIG.INIT_SYNC_FF {true} \ + CONFIG.WIDTH {1} \ + ] $SynchronizeSYSREF1 + + # Create instance: xlconstant_0, and set properties + set xlconstant_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_0 ] + + # Create instance: xlconstant_1, and set properties + set xlconstant_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_1 ] + + # Create interface connections + connect_bd_intf_net -intf_net Conn1 [get_bd_intf_pins PL_CLK] [get_bd_intf_pins IBUFDS_PL_CLK/CLK_IN_D] + connect_bd_intf_net -intf_net Conn2 [get_bd_intf_pins PL_SYSREF] [get_bd_intf_pins IBUFDS_PL_SYSREF/CLK_IN_D] + connect_bd_intf_net -intf_net Conn3 [get_bd_intf_pins s_axi_lite] [get_bd_intf_pins RF_CLKGEN/s_axi_lite] + + # Create port connections + connect_bd_net -net AXI_100_RESET_bus_struct_reset [get_bd_pins DDR_SYS_RESET] [get_bd_pins AXI_100_RESET/bus_struct_reset] + connect_bd_net -net AXI_100_RESET_interconnect_aresetn [get_bd_pins AXI_100_ARESETN] [get_bd_pins AXI_100_RESET/interconnect_aresetn] [get_bd_pins PL_RF_256_Reset/ext_reset_in] [get_bd_pins RF_CLKGEN/s_axi_aresetn] + connect_bd_net -net BUFG_PL_CLK_BUFG_O [get_bd_pins BUFG_PL_CLK/BUFG_O] [get_bd_pins RF_CLKGEN/clk_in1] [get_bd_pins SynchronizeSYSREF/src_clk] + connect_bd_net -net IBUFDS_PL_CLK_IBUF_OUT [get_bd_pins BUFG_PL_CLK/BUFG_I] [get_bd_pins IBUFDS_PL_CLK/IBUF_OUT] + connect_bd_net -net IBUFDS_PL_SYSREF_IBUF_OUT [get_bd_pins IBUFDS_PL_SYSREF/IBUF_OUT] [get_bd_pins SynchronizeSYSREF/src_in] + connect_bd_net -net Net [get_bd_pins s_axi_aclk] [get_bd_pins AXI_100_RESET/slowest_sync_clk] [get_bd_pins RF_CLKGEN/ref_clk] [get_bd_pins RF_CLKGEN/s_axi_aclk] + connect_bd_net -net PL_RF_256_Reset_interconnect_aresetn [get_bd_pins RF_256_ARESETN] [get_bd_pins PL_RF_256_Reset/interconnect_aresetn] [get_bd_pins PL_RF_512_Reset/ext_reset_in] + connect_bd_net -net PL_RF_512_Reset_interconnect_aresetn [get_bd_pins RF_512_ARESETN] [get_bd_pins PL_RF_512_Reset/interconnect_aresetn] + connect_bd_net -net RF_CLKGEN_interrupt [get_bd_pins mmcm_interrupt] [get_bd_pins RF_CLKGEN/interrupt] + connect_bd_net -net SynchronizeSYSREF1_dest_out [get_bd_pins dest_out] [get_bd_pins SynchronizeSYSREF1/dest_out] + connect_bd_net -net SynchronizeSYSREF_dest_out [get_bd_pins USER_SYSREF] [get_bd_pins SynchronizeSYSREF/dest_out] [get_bd_pins SynchronizeSYSREF1/src_in] + connect_bd_net -net clk_wiz_0_locked [get_bd_pins PL_RF_256_Reset/dcm_locked] [get_bd_pins PL_RF_512_Reset/dcm_locked] [get_bd_pins RF_CLKGEN/locked] + connect_bd_net -net clk_wiz_0_pl_rf_256 [get_bd_pins RF_256_CLK] [get_bd_pins PL_RF_256_Reset/slowest_sync_clk] [get_bd_pins RF_CLKGEN/pl_rf_256] [get_bd_pins SynchronizeSYSREF1/dest_clk] + connect_bd_net -net clk_wiz_0_pl_rf_512 [get_bd_pins RF_512_CLK] [get_bd_pins PL_RF_512_Reset/slowest_sync_clk] [get_bd_pins RF_CLKGEN/pl_rf_512] [get_bd_pins SynchronizeSYSREF/dest_clk] [get_bd_pins SynchronizeSYSREF1/src_clk] + connect_bd_net -net ext_reset_in_1 [get_bd_pins ext_reset_in] [get_bd_pins AXI_100_RESET/ext_reset_in] + connect_bd_net -net xlconstant_0_dout [get_bd_pins RF_512_NEVERARESETN] [get_bd_pins xlconstant_0/dout] + connect_bd_net -net xlconstant_1_dout [get_bd_pins RF_256_NEVERARESETN] [get_bd_pins xlconstant_1/dout] # Restore current instance current_bd_instance $oldCurInst @@ -2734,48 +3028,32 @@ proc create_root_design { parentCell } { # Create interface ports - set adc0_clk [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 adc0_clk ] + set PL_CLK [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 PL_CLK ] set_property -dict [ list \ - CONFIG.FREQ_HZ {409600000.0} \ - ] $adc0_clk + CONFIG.FREQ_HZ {512000000} \ + ] $PL_CLK - set dac1_clk [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 dac1_clk ] + set PL_SYSREF [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 PL_SYSREF ] + set_property -dict [ list \ + CONFIG.FREQ_HZ {8000000} \ + ] $PL_SYSREF + + set adc2_clk [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 adc2_clk ] set_property -dict [ list \ CONFIG.FREQ_HZ {409600000.0} \ - ] $dac1_clk - - set ddr4_sdram [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:ddr4_rtl:1.0 -portmaps { \ - ACT_N { physical_name ddr4_sdram_act_n direction O } \ - ADR { physical_name ddr4_sdram_adr direction O left 16 right 0 } \ - BA { physical_name ddr4_sdram_ba direction O left 1 right 0 } \ - BG { physical_name ddr4_sdram_bg direction O } \ - CK_C { physical_name ddr4_sdram_ck_c direction O } \ - CK_T { physical_name ddr4_sdram_ck_t direction O } \ - CKE { physical_name ddr4_sdram_cke direction O } \ - CS_N { physical_name ddr4_sdram_cs_n direction O } \ - DM_N { physical_name ddr4_sdram_dm_n direction IO left 7 right 0 } \ - DQ { physical_name ddr4_sdram_dq direction IO left 63 right 0 } \ - DQS_C { physical_name ddr4_sdram_dqs_c direction IO left 7 right 0 } \ - DQS_T { physical_name ddr4_sdram_dqs_t direction IO left 7 right 0 } \ - ODT { physical_name ddr4_sdram_odt direction O } \ - RESET_N { physical_name ddr4_sdram_reset_n direction O } \ - } \ - ddr4_sdram ] + ] $adc2_clk + + set dac2_clk [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 dac2_clk ] set_property -dict [ list \ - CONFIG.CAN_DEBUG {false} \ - ] $ddr4_sdram - set_property HDL_ATTRIBUTE.LOCKED {TRUE} [get_bd_intf_ports ddr4_sdram] + CONFIG.FREQ_HZ {4096000000.0} \ + ] $dac2_clk - set default_sysclk1_300mhz [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 -portmaps { \ - CLK_N { physical_name default_sysclk1_300mhz_clk_n direction I } \ - CLK_P { physical_name default_sysclk1_300mhz_clk_p direction I } \ - } \ - default_sysclk1_300mhz ] + set ddr4_pl [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:ddr4_rtl:1.0 ddr4_pl ] + + set sys_clk_ddr4 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 sys_clk_ddr4 ] set_property -dict [ list \ - CONFIG.CAN_DEBUG {false} \ - CONFIG.FREQ_HZ {300000000} \ - ] $default_sysclk1_300mhz - set_property HDL_ATTRIBUTE.LOCKED {TRUE} [get_bd_intf_ports default_sysclk1_300mhz] + CONFIG.FREQ_HZ {200000000} \ + ] $sys_clk_ddr4 set sysref_in [ create_bd_intf_port -mode Slave -vlnv xilinx.com:display_usp_rf_data_converter:diff_pins_rtl:1.0 -portmaps { \ diff_n { physical_name sysref_in_diff_n direction I } \ @@ -2788,18 +3066,60 @@ proc create_root_design { parentCell } { set vin0_23 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vin0_23 ] - set vout12 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vout12 ] + set vin1_01 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vin1_01 ] + + set vin2_01 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vin2_01 ] + + set vin2_23 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vin2_23 ] + + set vout00 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vout00 ] + + set vout10 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vout10 ] - set vout13 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vout13 ] + set vout20 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 vout20 ] # Create ports + # Create instance: Clocktree + create_hier_cell_Clocktree [current_bd_instance .] Clocktree + # Create instance: axi_intc_0, and set properties set axi_intc_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_intc:4.1 axi_intc_0 ] + set_property -dict [ list \ + CONFIG.C_DISABLE_SYNCHRONIZERS {1} \ + CONFIG.C_ENABLE_ASYNC {0} \ + CONFIG.C_HAS_ILR {0} \ + CONFIG.C_HAS_IVR {0} \ + CONFIG.C_IRQ_CONNECTION {1} \ + CONFIG.C_KIND_OF_INTR {0xFFFFFFFF} \ + CONFIG.C_MB_CLK_NOT_CONNECTED {1} \ + CONFIG.C_S_AXI_ACLK_FREQ_MHZ {512} \ + ] $axi_intc_0 + + # Create instance: axi_periph100, and set properties + set axi_periph100 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_periph100 ] + set_property -dict [ list \ + CONFIG.ENABLE_ADVANCED_OPTIONS {0} \ + CONFIG.M00_HAS_REGSLICE {0} \ + CONFIG.M01_HAS_REGSLICE {0} \ + CONFIG.M02_HAS_REGSLICE {0} \ + CONFIG.M03_HAS_REGSLICE {0} \ + CONFIG.M04_HAS_REGSLICE {0} \ + CONFIG.M05_HAS_REGSLICE {0} \ + CONFIG.M06_HAS_REGSLICE {4} \ + CONFIG.NUM_MI {4} \ + CONFIG.STRATEGY {0} \ + ] $axi_periph100 + + # Create instance: axi_periph256, and set properties + set axi_periph256 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_periph256 ] + set_property -dict [ list \ + CONFIG.NUM_MI {1} \ + ] $axi_periph256 - # Create instance: axi_interconnect_1, and set properties - set axi_interconnect_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_1 ] + # Create instance: axi_periph512, and set properties + set axi_periph512 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_periph512 ] set_property -dict [ list \ CONFIG.ENABLE_ADVANCED_OPTIONS {1} \ CONFIG.M00_HAS_REGSLICE {4} \ @@ -2808,11 +3128,13 @@ proc create_root_design { parentCell } { CONFIG.M03_HAS_REGSLICE {4} \ CONFIG.M04_HAS_REGSLICE {4} \ CONFIG.M05_HAS_REGSLICE {4} \ - CONFIG.NUM_MI {3} \ + CONFIG.M06_HAS_REGSLICE {4} \ + CONFIG.M07_HAS_REGSLICE {4} \ + CONFIG.NUM_MI {5} \ CONFIG.S00_HAS_DATA_FIFO {0} \ - CONFIG.S00_HAS_REGSLICE {4} \ + CONFIG.S00_HAS_REGSLICE {0} \ CONFIG.XBAR_DATA_WIDTH {32} \ - ] $axi_interconnect_1 + ] $axi_periph512 # Create instance: axi_protocol_convert_0, and set properties set axi_protocol_convert_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_protocol_converter:2.1 axi_protocol_convert_0 ] @@ -2840,36 +3162,27 @@ proc create_root_design { parentCell } { CONFIG.S_TDATA_NUM_BYTES {16} \ ] $axis_broadcaster_1 + # Create instance: axis_register_slice_0, and set properties + set axis_register_slice_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 axis_register_slice_0 ] + set_property -dict [ list \ + CONFIG.HAS_TREADY {0} \ + ] $axis_register_slice_0 + + # Create instance: axis_register_slice_1, and set properties + set axis_register_slice_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 axis_register_slice_1 ] + set_property -dict [ list \ + CONFIG.HAS_TREADY {0} \ + ] $axis_register_slice_1 + # Create instance: capture create_hier_cell_capture [current_bd_instance .] capture - # Create instance: dac_table, and set properties - set dac_table [ create_bd_cell -type ip -vlnv mazinlab:mkidgen3:dac_table_axim:1.33 dac_table ] - set_property -dict [ list \ - CONFIG.C_M_AXI_GMEM_DATA_WIDTH {128} \ - ] $dac_table + # Create instance: dactable + create_hier_cell_dactable [current_bd_instance .] dactable # Create instance: photon_pipe create_hier_cell_photon_pipe [current_bd_instance .] photon_pipe - # Create instance: ps8_0_axi_periph, and set properties - set ps8_0_axi_periph [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 ps8_0_axi_periph ] - set_property -dict [ list \ - CONFIG.ENABLE_ADVANCED_OPTIONS {0} \ - CONFIG.M00_HAS_REGSLICE {0} \ - CONFIG.M01_HAS_REGSLICE {0} \ - CONFIG.M02_HAS_REGSLICE {0} \ - CONFIG.M03_HAS_REGSLICE {0} \ - CONFIG.M04_HAS_REGSLICE {0} \ - CONFIG.M05_HAS_REGSLICE {4} \ - CONFIG.M06_HAS_REGSLICE {4} \ - CONFIG.NUM_MI {4} \ - CONFIG.STRATEGY {0} \ - ] $ps8_0_axi_periph - - # Create instance: resets - create_hier_cell_resets [current_bd_instance .] resets - # Create instance: rfdc create_hier_cell_rfdc [current_bd_instance .] rfdc @@ -2879,8 +3192,11 @@ proc create_root_design { parentCell } { CONFIG.NUM_PORTS {3} \ ] $xlconcat_0 + # Create instance: xlconstant_0, and set properties + set xlconstant_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_0 ] + # Create instance: zynq_ultra_ps_e_0, and set properties - set zynq_ultra_ps_e_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:zynq_ultra_ps_e:3.3 zynq_ultra_ps_e_0 ] + set zynq_ultra_ps_e_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:zynq_ultra_ps_e:3.4 zynq_ultra_ps_e_0 ] set_property -dict [ list \ CONFIG.CAN0_BOARD_INTERFACE {custom} \ CONFIG.CAN1_BOARD_INTERFACE {custom} \ @@ -4220,19 +4536,19 @@ sclk_out#miso_mo1#mo2#mo3#mosi_mi0#n_ss_out#clk_for_lpbk#n_ss_out_upper#mo_upper CONFIG.PSU__PROTECTION__ENABLE {0} \ CONFIG.PSU__PROTECTION__FPD_SEGMENTS {\ SA:0xFD1A0000; SIZE:1280; UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write;\ -subsystemId:PMU Firmware | SA:0xFD000000; SIZE:64; UNIT:KB; RegionTZ:Secure;\ -WrAllowed:Read/Write; subsystemId:PMU Firmware | SA:0xFD010000; SIZE:64;\ -UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write; subsystemId:PMU Firmware | \ +subsystemId:PMU Firmware | SA:0xFD000000; SIZE:64; UNIT:KB; RegionTZ:Secure;\ +WrAllowed:Read/Write; subsystemId:PMU Firmware | SA:0xFD010000; SIZE:64;\ +UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write; subsystemId:PMU Firmware | \ SA:0xFD020000; SIZE:64; UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write;\ -subsystemId:PMU Firmware | SA:0xFD030000; SIZE:64; UNIT:KB; RegionTZ:Secure;\ -WrAllowed:Read/Write; subsystemId:PMU Firmware | SA:0xFD040000; SIZE:64;\ -UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write; subsystemId:PMU Firmware | \ +subsystemId:PMU Firmware | SA:0xFD030000; SIZE:64; UNIT:KB; RegionTZ:Secure;\ +WrAllowed:Read/Write; subsystemId:PMU Firmware | SA:0xFD040000; SIZE:64;\ +UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write; subsystemId:PMU Firmware | \ SA:0xFD050000; SIZE:64; UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write;\ -subsystemId:PMU Firmware | SA:0xFD610000; SIZE:512; UNIT:KB; RegionTZ:Secure;\ -WrAllowed:Read/Write; subsystemId:PMU Firmware | SA:0xFD5D0000; SIZE:64;\ -UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write; subsystemId:PMU Firmware |\ -SA:0xFD1A0000 ; SIZE:1280; UNIT:KB; RegionTZ:Secure ; WrAllowed:Read/Write;\ -subsystemId:Secure Subsystem} \ +subsystemId:PMU Firmware | SA:0xFD610000; SIZE:512; UNIT:KB;\ +RegionTZ:Secure; WrAllowed:Read/Write; subsystemId:PMU Firmware | \ +SA:0xFD5D0000; SIZE:64; UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write;\ +subsystemId:PMU Firmware | SA:0xFD1A0000 ; SIZE:1280; UNIT:KB;\ +RegionTZ:Secure ; WrAllowed:Read/Write; subsystemId:Secure Subsystem} \ CONFIG.PSU__PROTECTION__LOCK_UNUSED_SEGMENTS {0} \ CONFIG.PSU__PROTECTION__LPD_SEGMENTS {\ SA:0xFF980000; SIZE:64; UNIT:KB; RegionTZ:Secure; WrAllowed:Read/Write;\ @@ -4289,6 +4605,12 @@ Port;FD4A0000;FD4AFFFF;1|FPD;DPDMA;FD4C0000;FD4CFFFF;1|FPD;DDR_XMPU5_CFG;FD05000 CONFIG.PSU__SAXIGP6__DATA_WIDTH {128} \ CONFIG.PSU__SD0_COHERENCY {0} \ CONFIG.PSU__SD0_ROUTE_THROUGH_FPD {0} \ + CONFIG.PSU__SD0__CLK_100_SDR_OTAP_DLY {0x3} \ + CONFIG.PSU__SD0__CLK_200_SDR_OTAP_DLY {0x3} \ + CONFIG.PSU__SD0__CLK_50_DDR_ITAP_DLY {0x3D} \ + CONFIG.PSU__SD0__CLK_50_DDR_OTAP_DLY {0x4} \ + CONFIG.PSU__SD0__CLK_50_SDR_ITAP_DLY {0x15} \ + CONFIG.PSU__SD0__CLK_50_SDR_OTAP_DLY {0x5} \ CONFIG.PSU__SD0__GRP_CD__ENABLE {0} \ CONFIG.PSU__SD0__GRP_POW__ENABLE {0} \ CONFIG.PSU__SD0__GRP_WP__ENABLE {0} \ @@ -4296,6 +4618,12 @@ Port;FD4A0000;FD4AFFFF;1|FPD;DPDMA;FD4C0000;FD4CFFFF;1|FPD;DDR_XMPU5_CFG;FD05000 CONFIG.PSU__SD0__RESET__ENABLE {0} \ CONFIG.PSU__SD1_COHERENCY {0} \ CONFIG.PSU__SD1_ROUTE_THROUGH_FPD {0} \ + CONFIG.PSU__SD1__CLK_100_SDR_OTAP_DLY {0x3} \ + CONFIG.PSU__SD1__CLK_200_SDR_OTAP_DLY {0x3} \ + CONFIG.PSU__SD1__CLK_50_DDR_ITAP_DLY {0x3D} \ + CONFIG.PSU__SD1__CLK_50_DDR_OTAP_DLY {0x4} \ + CONFIG.PSU__SD1__CLK_50_SDR_ITAP_DLY {0x15} \ + CONFIG.PSU__SD1__CLK_50_SDR_OTAP_DLY {0x5} \ CONFIG.PSU__SD1__DATA_TRANSFER_MODE {8Bit} \ CONFIG.PSU__SD1__GRP_CD__ENABLE {1} \ CONFIG.PSU__SD1__GRP_CD__IO {MIO 45} \ @@ -4447,77 +4775,82 @@ Port;FD4A0000;FD4AFFFF;1|FPD;DPDMA;FD4C0000;FD4CFFFF;1|FPD;DDR_XMPU5_CFG;FD05000 ] $zynq_ultra_ps_e_0 # Create interface connections - connect_bd_intf_net -intf_net adc0_clk_1 [get_bd_intf_ports adc0_clk] [get_bd_intf_pins rfdc/adc0_clk] - connect_bd_intf_net -intf_net axi_interconnect_1_M00_AXI [get_bd_intf_pins axi_interconnect_1/M00_AXI] [get_bd_intf_pins photon_pipe/bin2res_control] - connect_bd_intf_net -intf_net axi_protocol_convert_0_M_AXI [get_bd_intf_pins axi_protocol_convert_0/M_AXI] [get_bd_intf_pins ps8_0_axi_periph/S00_AXI] + connect_bd_intf_net -intf_net PL_CLK_1 [get_bd_intf_ports PL_CLK] [get_bd_intf_pins Clocktree/PL_CLK] + connect_bd_intf_net -intf_net PL_SYSREF_1 [get_bd_intf_ports PL_SYSREF] [get_bd_intf_pins Clocktree/PL_SYSREF] + connect_bd_intf_net -intf_net S_AXI_1 [get_bd_intf_pins axi_periph512/M02_AXI] [get_bd_intf_pins dactable/S_AXI] + connect_bd_intf_net -intf_net adc0_clk_1 [get_bd_intf_ports adc2_clk] [get_bd_intf_pins rfdc/adc2_clk] + connect_bd_intf_net -intf_net axi_periph100_M00_AXI [get_bd_intf_pins axi_periph100/M00_AXI] [get_bd_intf_pins axi_periph256/S00_AXI] + connect_bd_intf_net -intf_net axi_periph100_M03_AXI [get_bd_intf_pins Clocktree/s_axi_lite] [get_bd_intf_pins axi_periph100/M03_AXI] + connect_bd_intf_net -intf_net axi_periph512_M03_AXI [get_bd_intf_pins axi_intc_0/s_axi] [get_bd_intf_pins axi_periph512/M03_AXI] + connect_bd_intf_net -intf_net axi_periph512_M04_AXI [get_bd_intf_pins axi_periph512/M04_AXI] [get_bd_intf_pins photon_pipe/fftscale_control] + connect_bd_intf_net -intf_net axi_protocol_convert_0_M_AXI [get_bd_intf_pins axi_periph100/S00_AXI] [get_bd_intf_pins axi_protocol_convert_0/M_AXI] + connect_bd_intf_net -intf_net axis2mm_axil_1 [get_bd_intf_pins axi_periph256/M00_AXI] [get_bd_intf_pins capture/axis2mm_axil] + connect_bd_intf_net -intf_net axis_broadcaster_0_M00_AXIS [get_bd_intf_pins axis_broadcaster_0/M00_AXIS] [get_bd_intf_pins photon_pipe/istream_V] connect_bd_intf_net -intf_net axis_broadcaster_0_M01_AXIS [get_bd_intf_pins axis_broadcaster_0/M01_AXIS] [get_bd_intf_pins capture/raw_i] connect_bd_intf_net -intf_net axis_broadcaster_1_M00_AXIS [get_bd_intf_pins axis_broadcaster_1/M00_AXIS] [get_bd_intf_pins photon_pipe/qstream_V] connect_bd_intf_net -intf_net axis_broadcaster_1_M01_AXIS [get_bd_intf_pins axis_broadcaster_1/M01_AXIS] [get_bd_intf_pins capture/raw_q] - connect_bd_intf_net -intf_net capture_ddr4_sdram [get_bd_intf_ports ddr4_sdram] [get_bd_intf_pins capture/ddr4_sdram] - connect_bd_intf_net -intf_net control_1 [get_bd_intf_pins axi_interconnect_1/M01_AXI] [get_bd_intf_pins capture/control] - connect_bd_intf_net -intf_net dac1_clk_1 [get_bd_intf_ports dac1_clk] [get_bd_intf_pins rfdc/dac1_clk] - connect_bd_intf_net -intf_net dac_table_axim_0_iout [get_bd_intf_pins dac_table/iout] [get_bd_intf_pins rfdc/s00_axis] - connect_bd_intf_net -intf_net dac_table_axim_0_m_axi_gmem [get_bd_intf_pins dac_table/m_axi_gmem] [get_bd_intf_pins zynq_ultra_ps_e_0/S_AXI_HP0_FPD] - connect_bd_intf_net -intf_net dac_table_axim_0_qout [get_bd_intf_pins dac_table/qout] [get_bd_intf_pins rfdc/s01_axis] - connect_bd_intf_net -intf_net default_sysclk1_300mhz_1 [get_bd_intf_ports default_sysclk1_300mhz] [get_bd_intf_pins capture/default_sysclk1_300mhz] - connect_bd_intf_net -intf_net fftscale_control_1 [get_bd_intf_pins axi_interconnect_1/M02_AXI] [get_bd_intf_pins photon_pipe/fftscale_control] - connect_bd_intf_net -intf_net instream_1 [get_bd_intf_pins capture/iq0] [get_bd_intf_pins photon_pipe/RAWIQ_AXIS] - connect_bd_intf_net -intf_net istream_V_1 [get_bd_intf_pins axis_broadcaster_0/M00_AXIS] [get_bd_intf_pins photon_pipe/istream_V] - connect_bd_intf_net -intf_net ps8_0_axi_periph_M00_AXI [get_bd_intf_pins axi_intc_0/s_axi] [get_bd_intf_pins ps8_0_axi_periph/M00_AXI] - connect_bd_intf_net -intf_net ps8_0_axi_periph_M01_AXI [get_bd_intf_pins ps8_0_axi_periph/M01_AXI] [get_bd_intf_pins rfdc/s_axi] - connect_bd_intf_net -intf_net ps8_0_axi_periph_M02_AXI [get_bd_intf_pins axi_interconnect_1/S00_AXI] [get_bd_intf_pins ps8_0_axi_periph/M02_AXI] - connect_bd_intf_net -intf_net ps8_0_axi_periph_M03_AXI [get_bd_intf_pins dac_table/s_axi_control] [get_bd_intf_pins ps8_0_axi_periph/M03_AXI] - connect_bd_intf_net -intf_net rfdc_vout12 [get_bd_intf_ports vout12] [get_bd_intf_pins rfdc/vout12] - connect_bd_intf_net -intf_net rfdc_vout13 [get_bd_intf_ports vout13] [get_bd_intf_pins rfdc/vout13] + connect_bd_intf_net -intf_net axis_register_slice_0_M_AXIS [get_bd_intf_pins axis_register_slice_0/M_AXIS] [get_bd_intf_pins rfdc/s00_axis] + connect_bd_intf_net -intf_net bin2res_control_1 [get_bd_intf_pins axi_periph512/M00_AXI] [get_bd_intf_pins photon_pipe/bin2res_control] + connect_bd_intf_net -intf_net capture_ddr4_rtl [get_bd_intf_ports ddr4_pl] [get_bd_intf_pins capture/ddr4_pl] + connect_bd_intf_net -intf_net control_1 [get_bd_intf_pins axi_periph512/M01_AXI] [get_bd_intf_pins capture/control] + connect_bd_intf_net -intf_net dac2_clk_1 [get_bd_intf_ports dac2_clk] [get_bd_intf_pins rfdc/dac2_clk] + connect_bd_intf_net -intf_net dactable_iout [get_bd_intf_pins axis_register_slice_0/S_AXIS] [get_bd_intf_pins dactable/iout] + connect_bd_intf_net -intf_net dactable_qout [get_bd_intf_pins axis_register_slice_1/S_AXIS] [get_bd_intf_pins dactable/qout] + connect_bd_intf_net -intf_net photon_pipe_RAWIQ_AXIS [get_bd_intf_pins capture/iq0] [get_bd_intf_pins photon_pipe/RAWIQ_AXIS] + connect_bd_intf_net -intf_net ps8_0_axi_periph_M01_AXI [get_bd_intf_pins axi_periph100/M01_AXI] [get_bd_intf_pins rfdc/s_axi] + connect_bd_intf_net -intf_net ps8_0_axi_periph_M02_AXI [get_bd_intf_pins axi_periph100/M02_AXI] [get_bd_intf_pins axi_periph512/S00_AXI] + connect_bd_intf_net -intf_net rfdc_vout00 [get_bd_intf_ports vout00] [get_bd_intf_pins rfdc/vout00] + connect_bd_intf_net -intf_net rfdc_vout10 [get_bd_intf_ports vout10] [get_bd_intf_pins rfdc/vout10] + connect_bd_intf_net -intf_net rfdc_vout20 [get_bd_intf_ports vout20] [get_bd_intf_pins rfdc/vout20] + connect_bd_intf_net -intf_net s20_axis_1 [get_bd_intf_pins axis_register_slice_1/M_AXIS] [get_bd_intf_pins rfdc/s20_axis] + connect_bd_intf_net -intf_net sys_clk_ddr4_1 [get_bd_intf_ports sys_clk_ddr4] [get_bd_intf_pins capture/sys_clk_ddr4] connect_bd_intf_net -intf_net sysref_in_1 [get_bd_intf_ports sysref_in] [get_bd_intf_pins rfdc/sysref_in] connect_bd_intf_net -intf_net usp_rf_data_converter_0_m20_axis [get_bd_intf_pins axis_broadcaster_0/S_AXIS] [get_bd_intf_pins rfdc/i_axis] connect_bd_intf_net -intf_net usp_rf_data_converter_0_m22_axis [get_bd_intf_pins axis_broadcaster_1/S_AXIS] [get_bd_intf_pins rfdc/q_axis] connect_bd_intf_net -intf_net vin0_01_1 [get_bd_intf_ports vin0_01] [get_bd_intf_pins rfdc/vin0_01] connect_bd_intf_net -intf_net vin0_23_1 [get_bd_intf_ports vin0_23] [get_bd_intf_pins rfdc/vin0_23] + connect_bd_intf_net -intf_net vin1_01_1 [get_bd_intf_ports vin1_01] [get_bd_intf_pins rfdc/vin1_01] + connect_bd_intf_net -intf_net vin2_01_1 [get_bd_intf_ports vin2_01] [get_bd_intf_pins rfdc/vin2_01] + connect_bd_intf_net -intf_net vin2_23_1 [get_bd_intf_ports vin2_23] [get_bd_intf_pins rfdc/vin2_23] connect_bd_intf_net -intf_net zynq_ultra_ps_e_0_M_AXI_HPM0_FPD [get_bd_intf_pins axi_protocol_convert_0/S_AXI] [get_bd_intf_pins zynq_ultra_ps_e_0/M_AXI_HPM0_FPD] connect_bd_intf_net -intf_net zynq_ultra_ps_e_0_M_AXI_HPM1_FPD [get_bd_intf_pins capture/S_DDR_AXI] [get_bd_intf_pins zynq_ultra_ps_e_0/M_AXI_HPM1_FPD] # Create port connections - connect_bd_net -net M02_ARESETN_1 [get_bd_pins axi_intc_0/s_axi_aresetn] [get_bd_pins axi_interconnect_1/S00_ARESETN] [get_bd_pins axi_protocol_convert_0/aresetn] [get_bd_pins ps8_0_axi_periph/ARESETN] [get_bd_pins ps8_0_axi_periph/M00_ARESETN] [get_bd_pins ps8_0_axi_periph/M01_ARESETN] [get_bd_pins ps8_0_axi_periph/M02_ARESETN] [get_bd_pins ps8_0_axi_periph/S00_ARESETN] [get_bd_pins resets/never_reset_100] + connect_bd_net -net Clocktree_bus_struct_reset [get_bd_pins Clocktree/DDR_SYS_RESET] [get_bd_pins capture/sys_rst] + connect_bd_net -net Clocktree_mmcm_interrupt [get_bd_pins Clocktree/mmcm_interrupt] [get_bd_pins xlconcat_0/In2] + connect_bd_net -net M02_ARESETN_1 [get_bd_pins Clocktree/AXI_100_ARESETN] [get_bd_pins axi_periph100/ARESETN] [get_bd_pins axi_periph100/M00_ARESETN] [get_bd_pins axi_periph100/M01_ARESETN] [get_bd_pins axi_periph100/M02_ARESETN] [get_bd_pins axi_periph100/M03_ARESETN] [get_bd_pins axi_periph100/S00_ARESETN] [get_bd_pins axi_periph256/S00_ARESETN] [get_bd_pins axi_periph512/S00_ARESETN] [get_bd_pins axi_protocol_convert_0/aresetn] [get_bd_pins rfdc/s_axi_aresetn] + connect_bd_net -net Net [get_bd_pins Clocktree/RF_512_NEVERARESETN] [get_bd_pins axi_periph512/M00_ARESETN] [get_bd_pins axi_periph512/M01_ARESETN] [get_bd_pins axi_periph512/M02_ARESETN] [get_bd_pins axi_periph512/M04_ARESETN] [get_bd_pins axis_broadcaster_0/aresetn] [get_bd_pins axis_broadcaster_1/aresetn] [get_bd_pins capture/pipe_aresetn] [get_bd_pins dactable/ap_rst_n] [get_bd_pins photon_pipe/ap_rst_n] + connect_bd_net -net RF_256_ARESETN [get_bd_pins Clocktree/RF_256_ARESETN] [get_bd_pins axi_periph256/ARESETN] [get_bd_pins capture/s_axi_aresetn] + connect_bd_net -net RF_256_CLK [get_bd_pins Clocktree/RF_256_CLK] [get_bd_pins axi_periph256/ACLK] [get_bd_pins axi_periph256/M00_ACLK] [get_bd_pins capture/axis2mm_clk] [get_bd_pins zynq_ultra_ps_e_0/saxihp0_fpd_aclk] + connect_bd_net -net RF_512_ARESETN [get_bd_pins Clocktree/RF_512_ARESETN] [get_bd_pins axi_intc_0/s_axi_aresetn] [get_bd_pins axi_periph512/ARESETN] [get_bd_pins axi_periph512/M03_ARESETN] [get_bd_pins axis_register_slice_0/aresetn] [get_bd_pins axis_register_slice_1/aresetn] [get_bd_pins capture/s_axis_aresetn] [get_bd_pins rfdc/RF_512_ARESETN] + connect_bd_net -net RF_512_CLK [get_bd_pins Clocktree/RF_512_CLK] [get_bd_pins axi_intc_0/s_axi_aclk] [get_bd_pins axi_periph512/ACLK] [get_bd_pins axi_periph512/M00_ACLK] [get_bd_pins axi_periph512/M01_ACLK] [get_bd_pins axi_periph512/M02_ACLK] [get_bd_pins axi_periph512/M03_ACLK] [get_bd_pins axi_periph512/M04_ACLK] [get_bd_pins axis_broadcaster_0/aclk] [get_bd_pins axis_broadcaster_1/aclk] [get_bd_pins axis_register_slice_0/aclk] [get_bd_pins axis_register_slice_1/aclk] [get_bd_pins capture/pipe_clk] [get_bd_pins dactable/ap_clk] [get_bd_pins photon_pipe/aclk] [get_bd_pins rfdc/RF_512_CLK] + connect_bd_net -net USER_SYSREF [get_bd_pins Clocktree/USER_SYSREF] [get_bd_pins rfdc/user_sysref] connect_bd_net -net axi_intc_0_irq [get_bd_pins axi_intc_0/irq] [get_bd_pins zynq_ultra_ps_e_0/pl_ps_irq0] - connect_bd_net -net capture_c0_ddr4_ui_clk2 [get_bd_pins capture/c0_ddr4_ui_clk2] [get_bd_pins zynq_ultra_ps_e_0/maxihpm1_fpd_aclk] - connect_bd_net -net capture_o_int [get_bd_pins capture/o_int] [get_bd_pins xlconcat_0/In1] - connect_bd_net -net clk_wiz_0_clk_out1 [get_bd_pins axi_interconnect_1/ACLK] [get_bd_pins axi_interconnect_1/M00_ACLK] [get_bd_pins axi_interconnect_1/M01_ACLK] [get_bd_pins axi_interconnect_1/M02_ACLK] [get_bd_pins axis_broadcaster_0/aclk] [get_bd_pins axis_broadcaster_1/aclk] [get_bd_pins capture/pipe_clk] [get_bd_pins photon_pipe/aclk] [get_bd_pins resets/slowest_sync_clk] [get_bd_pins rfdc/clk_out1] - connect_bd_net -net clk_wiz_0_clk_out2 [get_bd_pins capture/axis2mm_clk] [get_bd_pins resets/slowest_sync_clk3] [get_bd_pins rfdc/clk_out2] - connect_bd_net -net dcm_locked_1 [get_bd_pins resets/dcm_locked] [get_bd_pins rfdc/locked] - connect_bd_net -net resets_never_reset [get_bd_pins axi_interconnect_1/ARESETN] [get_bd_pins axi_interconnect_1/M00_ARESETN] [get_bd_pins axi_interconnect_1/M01_ARESETN] [get_bd_pins axi_interconnect_1/M02_ARESETN] [get_bd_pins axis_broadcaster_0/aresetn] [get_bd_pins axis_broadcaster_1/aresetn] [get_bd_pins capture/pipe_aresetn] [get_bd_pins photon_pipe/ap_rst_n] [get_bd_pins resets/never_reset_512] - connect_bd_net -net resets_never_reset_256 -boundary_type upper [get_bd_pins resets/never_reset_256] - connect_bd_net -net resets_never_reset_512_256 [get_bd_pins capture/axis2mm_aresetn] [get_bd_pins resets/never_reset_512_256] - connect_bd_net -net resets_peripheral_aresetn_100 [get_bd_pins resets/peripheral_aresetn_100] [get_bd_pins rfdc/s_axi_aresetn] - connect_bd_net -net resets_peripheral_aresetn_256 [get_bd_pins dac_table/ap_rst_n] [get_bd_pins ps8_0_axi_periph/M03_ARESETN] [get_bd_pins resets/peripheral_aresetn_256] [get_bd_pins rfdc/s1_axis_aresetn] - connect_bd_net -net resets_peripheral_aresetn_512 [get_bd_pins resets/peripheral_aresetn_512] [get_bd_pins rfdc/m2_axis_aresetn] + connect_bd_net -net axis2mm_aresetn_1 [get_bd_pins Clocktree/RF_256_NEVERARESETN] [get_bd_pins axi_periph256/M00_ARESETN] [get_bd_pins capture/axis2mm_aresetn] + connect_bd_net -net capture_c0_ddr4_ui_clk [get_bd_pins capture/DDR_UI_CLK] [get_bd_pins zynq_ultra_ps_e_0/maxihpm1_fpd_aclk] + connect_bd_net -net capture_c0_ddr4_ui_clk_sync_rst [get_bd_pins Clocktree/DDR4_RESET] [get_bd_pins capture/DDR_UI_RESET] + connect_bd_net -net capture_int_capture [get_bd_pins capture/int_capture] [get_bd_pins xlconcat_0/In1] connect_bd_net -net rfdc_irq [get_bd_pins rfdc/irq] [get_bd_pins xlconcat_0/In0] - connect_bd_net -net usp_rf_data_converter_0_clk_adc1 [get_bd_pins axi_intc_0/s_axi_aclk] [get_bd_pins axi_interconnect_1/S00_ACLK] [get_bd_pins axi_protocol_convert_0/aclk] [get_bd_pins ps8_0_axi_periph/ACLK] [get_bd_pins ps8_0_axi_periph/M00_ACLK] [get_bd_pins ps8_0_axi_periph/M01_ACLK] [get_bd_pins ps8_0_axi_periph/M02_ACLK] [get_bd_pins ps8_0_axi_periph/S00_ACLK] [get_bd_pins resets/slowest_sync_clk1] [get_bd_pins rfdc/s_axi_aclk] [get_bd_pins zynq_ultra_ps_e_0/maxihpm0_fpd_aclk] [get_bd_pins zynq_ultra_ps_e_0/pl_clk0] - connect_bd_net -net usp_rf_data_converter_0_clk_dac1 [get_bd_pins dac_table/ap_clk] [get_bd_pins ps8_0_axi_periph/M03_ACLK] [get_bd_pins resets/slowest_sync_clk2] [get_bd_pins rfdc/clk_dac0] [get_bd_pins zynq_ultra_ps_e_0/saxihp0_fpd_aclk] - connect_bd_net -net xlconcat_0_dout [get_bd_pins axi_intc_0/intr] [get_bd_pins xlconcat_0/dout] - connect_bd_net -net zynq_ultra_ps_e_0_pl_resetn0 [get_bd_pins resets/ext_reset_in] [get_bd_pins zynq_ultra_ps_e_0/pl_resetn0] + connect_bd_net -net usp_rf_data_converter_0_clk_adc1 [get_bd_pins Clocktree/s_axi_aclk] [get_bd_pins axi_periph100/ACLK] [get_bd_pins axi_periph100/M00_ACLK] [get_bd_pins axi_periph100/M01_ACLK] [get_bd_pins axi_periph100/M02_ACLK] [get_bd_pins axi_periph100/M03_ACLK] [get_bd_pins axi_periph100/S00_ACLK] [get_bd_pins axi_periph256/S00_ACLK] [get_bd_pins axi_periph512/S00_ACLK] [get_bd_pins axi_protocol_convert_0/aclk] [get_bd_pins rfdc/s_axi_aclk] [get_bd_pins zynq_ultra_ps_e_0/maxihpm0_fpd_aclk] [get_bd_pins zynq_ultra_ps_e_0/pl_clk0] + connect_bd_net -net xlconcat_0_dout1 [get_bd_pins axi_intc_0/intr] [get_bd_pins xlconcat_0/dout] + connect_bd_net -net xlconstant_0_dout [get_bd_pins axis_broadcaster_0/s_axis_tvalid] [get_bd_pins axis_broadcaster_1/s_axis_tvalid] [get_bd_pins xlconstant_0/dout] + connect_bd_net -net zynq_ultra_ps_e_0_pl_resetn0 [get_bd_pins Clocktree/ext_reset_in] [get_bd_pins zynq_ultra_ps_e_0/pl_resetn0] # Create address segments - assign_bd_address -offset 0x000800000000 -range 0x000800000000 -target_address_space [get_bd_addr_spaces dac_table/Data_m_axi_gmem] [get_bd_addr_segs zynq_ultra_ps_e_0/SAXIGP2/HP0_DDR_HIGH] -force - assign_bd_address -offset 0x00000000 -range 0x80000000 -target_address_space [get_bd_addr_spaces dac_table/Data_m_axi_gmem] [get_bd_addr_segs zynq_ultra_ps_e_0/SAXIGP2/HP0_DDR_LOW] -force - assign_bd_address -offset 0xC0000000 -range 0x20000000 -target_address_space [get_bd_addr_spaces dac_table/Data_m_axi_gmem] [get_bd_addr_segs zynq_ultra_ps_e_0/SAXIGP2/HP0_QSPI] -force + assign_bd_address -offset 0xA0070000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs Clocktree/RF_CLKGEN/s_axi_lite/Reg] -force + assign_bd_address -offset 0xA0200000 -range 0x00200000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs dactable/axi_bram_ctrl_0/S_AXI/Mem0] -force assign_bd_address -offset 0xA0000000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs axi_intc_0/S_AXI/Reg] -force assign_bd_address -offset 0xA0030000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs capture/axis2mm/S_AXIL/reg0] -force assign_bd_address -offset 0xA0040000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs capture/switchboard/axis_switch_0/S_AXI_CTRL/Reg] -force - assign_bd_address -offset 0xA0070000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs photon_pipe/reschan/bin_to_res/s_axi_control/Reg] -force - assign_bd_address -offset 0xA0060000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs dac_table/s_axi_control/Reg] -force + assign_bd_address -offset 0xA0080000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs photon_pipe/reschan/bin_to_res/s_axi_control/Reg] -force assign_bd_address -offset 0x000500000000 -range 0x000100000000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs capture/ddr4_0/C0_DDR4_MEMORY_MAP/C0_DDR4_ADDRESS_BLOCK] -force - assign_bd_address -offset 0xA00F0000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs photon_pipe/opfb/fft/fftscale/S_AXI/Reg] -force + assign_bd_address -offset 0xA0060000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs photon_pipe/opfb/fft/fftscale/S_AXI/Reg] -force assign_bd_address -offset 0xA0010000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs capture/filter_iq_0/s_axi_control/Reg] -force assign_bd_address -offset 0xA0020000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs capture/filter_iq_1/s_axi_control/Reg] -force assign_bd_address -offset 0xA0050000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs capture/filter_phase_0/s_axi_control/Reg] -force - assign_bd_address -offset 0xA00D0000 -range 0x00010000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs capture/filter_phase_1/s_axi_control/Reg] -force - assign_bd_address -offset 0xA0080000 -range 0x00040000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs rfdc/usp_rf_data_converter_0/s_axi/Reg] -force + assign_bd_address -offset 0xA0100000 -range 0x00040000 -target_address_space [get_bd_addr_spaces zynq_ultra_ps_e_0/Data] [get_bd_addr_segs rfdc/usp_rf_data_converter_0/s_axi/Reg] -force assign_bd_address -offset 0x000500000000 -range 0x000100000000 -target_address_space [get_bd_addr_spaces capture/axis2mm/M_AXI] [get_bd_addr_segs capture/ddr4_0/C0_DDR4_MEMORY_MAP/C0_DDR4_ADDRESS_BLOCK] -force - # Exclude Address Segments - exclude_bd_addr_seg -offset 0xFF000000 -range 0x01000000 -target_address_space [get_bd_addr_spaces dac_table/Data_m_axi_gmem] [get_bd_addr_segs zynq_ultra_ps_e_0/SAXIGP2/HP0_LPS_OCM] - # Restore current instance current_bd_instance $oldCurInst diff --git a/bit/opfb_streaming.bit b/bit/opfb_streaming.bit index d957f08..a7138c1 100644 Binary files a/bit/opfb_streaming.bit and b/bit/opfb_streaming.bit differ diff --git a/bit/opfb_streaming.hwh b/bit/opfb_streaming.hwh index 3a4820b..e28ea2c 100644 --- a/bit/opfb_streaming.hwh +++ b/bit/opfb_streaming.hwh @@ -1,137 +1,167 @@  - + - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - - - - - - - - - - - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -154,14 +184,82 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + @@ -170,26430 +268,87 @@ - + - - - - - - - - - - - - - - + + + + + + + + + + + + + + - + - + - - + + - + - - + + - - - + - - + + - - - + - - + + - + - - + + - + - - + + + + + + + + - + - - + + - + - - + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -26605,89 +360,181 @@ - - + + - + - + - + - + - + + + + + - + + + + + + + + + + + + + + + + - - - - + + - - - - - - - - - - - - + + + + + + + + + + + + - + - + - + - + + - - - + + + + + + + + + + + + + + + + + + + + + + - + - - - - - + - + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + @@ -26695,47 +542,50 @@ - - + + - + - + - + - + - + + + + + - - + - - - - + + + + - + - + @@ -26743,156 +593,55 @@ - - + + - + - + - + - + - - - - - - + - - - + - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + - + @@ -26903,7 +652,7 @@ - + @@ -26921,10 +670,10 @@ - + - + @@ -26932,24 +681,24 @@ - + - + - + - + @@ -26958,20 +707,20 @@ - - + + - + - - + + @@ -27030,14 +779,14 @@ - - - + + + - - + + @@ -27102,8 +851,8 @@ - - + + @@ -27134,8 +883,8 @@ - - + + @@ -27145,7 +894,7 @@ - + @@ -27179,7 +928,7 @@ - + @@ -27191,8 +940,8 @@ - - + + @@ -27213,13 +962,13 @@ - + - - + + @@ -27233,12 +982,12 @@ - + - + @@ -27249,13 +998,13 @@ - - - + + + - - + + @@ -27272,8 +1021,8 @@ - - + + @@ -27313,16 +1062,16 @@ - - + + - - - + + + @@ -27340,7 +1089,7 @@ - + @@ -27360,17 +1109,17 @@ - + - + - - + + - + @@ -27440,128 +1189,29559 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + + + + + + + + + + + + + - - - - - - + + + - + + + + + + + + + + + + + - + - + @@ -27570,10 +30750,10 @@ - + - - + + @@ -27594,23 +30774,23 @@ - + - - - - - - + + + + + + - + - + @@ -27619,11 +30799,11 @@ - + - + @@ -27639,11 +30819,11 @@ - + - + @@ -27659,11 +30839,11 @@ - + - + @@ -27679,11 +30859,11 @@ - + - + @@ -27693,37 +30873,37 @@ - + - - - - + + + + - + - + - - + + - + - + - + - + @@ -27732,18 +30912,18 @@ - - + + - + - + - + @@ -27793,37 +30973,37 @@ - - - - - - - - - - + + + + + + + + + + - + - + - - + + - + - + - + @@ -27832,18 +31012,18 @@ - - + + - + - + - + @@ -27853,17 +31033,17 @@ - + - + - + - + @@ -27872,18 +31052,18 @@ - - + + - + - + - + @@ -27893,37 +31073,37 @@ - + - - - - + + + + - + - - + + - + - + - + - + @@ -27932,18 +31112,18 @@ - - + + - + - + - + @@ -27993,35 +31173,35 @@ - + - - - - + + + + - - - - + + + + - - - + + + - + - - + + - + - + @@ -28078,34 +31258,34 @@ - + - - + + - - - - - + + + + + - + - + - + - + - - + + - + - + @@ -28129,12 +31309,12 @@ - + - + - + @@ -28145,12 +31325,12 @@ - + - + - + @@ -28161,35 +31341,35 @@ - - - - - - - + + + + + + + - - - + + + - - + + - - + + - - + + - + - + @@ -28245,35 +31425,35 @@ - + - - - - + + + + - - - - + + + + - - - + + + - + - - + + - + - + @@ -28334,8 +31514,8 @@ - - + + @@ -28343,8 +31523,8 @@ - - + + @@ -28373,17 +31553,17 @@ - + - - + + - + - + @@ -28392,11 +31572,11 @@ - + - + @@ -28411,11 +31591,11 @@ - + - + @@ -28430,11 +31610,11 @@ - + - + @@ -28449,11 +31629,11 @@ - + - + @@ -28462,31 +31642,31 @@ - + - - - - + + + + - + - + - + - + - + - + @@ -28495,17 +31675,17 @@ - + - + - + - + @@ -28552,31 +31732,31 @@ - - - - - - + + + + + + - + - - + + - + - + - + @@ -28585,17 +31765,17 @@ - + - + - + - + @@ -28604,17 +31784,17 @@ - + - + - + - + @@ -28623,17 +31803,17 @@ - + - + - + - + @@ -28642,31 +31822,31 @@ - + - - - - + + + + - + - + - + - + - + @@ -28675,17 +31855,17 @@ - + - + - + - + @@ -28810,29 +31990,29 @@ - + - - - - + + + + - + - + - + - - + + - + - + @@ -28889,28 +32069,28 @@ - + - + - + - + - + - + - + - - + + - + - + @@ -28934,12 +32114,12 @@ - + - + - + @@ -28950,12 +32130,12 @@ - + - + - + @@ -28966,29 +32146,29 @@ - - - - - - + + + + + + - + - - + + - - + + - + - + @@ -29044,29 +32224,29 @@ - + - - - - + + + + - + - + - + - - + + - + - + @@ -29205,137 +32385,131 @@ - - + + - - - - - - + + + - + - + - + - + + + + + - + - + - - - - - - - - - - + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -29345,129 +32519,269 @@ - + - + + + + + + + + + + + - + - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + - + + + - + - + + + - + - + - + - + - + - + + + + - + - + - + - + - + - + - + - - + + - + - + - + - - + - + - + - + - + + - + - + - + - + - + - + - + - + + - + - + - + + + + - + @@ -29493,7 +32807,7 @@ - + @@ -29530,7 +32844,7 @@ - + @@ -29550,7 +32864,7 @@ - + @@ -29559,8 +32873,8 @@ - - + + @@ -29568,19 +32882,19 @@ - + - + - - - + + + - - + + @@ -29588,31 +32902,151 @@ - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - - - + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + - + - + - - + + @@ -29627,16 +33061,52 @@ - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + - + - - + + @@ -29780,7 +33250,7 @@ - + @@ -29794,7 +33264,11 @@ - + + + + + @@ -29803,19 +33277,28 @@ - + + + + + + + + + + + + + + + + + + + - + - - - - - - - - - @@ -29848,7 +33331,7 @@ - + @@ -30068,7 +33551,7 @@ - + @@ -30150,6 +33633,12 @@ + + + + + + @@ -30161,6 +33650,12 @@ + + + + + + @@ -31531,10 +35026,8 @@ - + - - @@ -31929,182 +35422,50 @@ - + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + - - - - - - - - - - - - - - - + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + @@ -32114,20 +35475,23 @@ - + - - + + + + + + + + + + + - - - - - - @@ -32160,7 +35524,7 @@ - + @@ -32234,7 +35598,7 @@ - + @@ -32282,7 +35646,7 @@ - + @@ -32305,10 +35669,10 @@ - + - + @@ -32370,11 +35734,11 @@ - - - - - + + + + + @@ -32384,11 +35748,11 @@ - + + - - + diff --git a/filter/4_tap_equiripple/4_tap_equirip_channelizer_resp.png b/filter/4_tap_equiripple/4_tap_equirip_channelizer_resp.png new file mode 100644 index 0000000..ce82566 Binary files /dev/null and b/filter/4_tap_equiripple/4_tap_equirip_channelizer_resp.png differ diff --git a/filter/4_tap_equiripple/4_tap_equirip_image_rej.png b/filter/4_tap_equiripple/4_tap_equirip_image_rej.png new file mode 100644 index 0000000..f14044e Binary files /dev/null and b/filter/4_tap_equiripple/4_tap_equirip_image_rej.png differ diff --git a/filter/4_tap_equiripple/4_tap_equirip_time_domain.png b/filter/4_tap_equiripple/4_tap_equirip_time_domain.png new file mode 100644 index 0000000..b7d5419 Binary files /dev/null and b/filter/4_tap_equiripple/4_tap_equirip_time_domain.png differ diff --git a/filter/8_tap_equiripple/8_tap_equirip_channelizer_resp.png b/filter/8_tap_equiripple/8_tap_equirip_channelizer_resp.png new file mode 100644 index 0000000..972c380 Binary files /dev/null and b/filter/8_tap_equiripple/8_tap_equirip_channelizer_resp.png differ diff --git a/filter/8_tap_equiripple/8_tap_equirip_image_rej.png b/filter/8_tap_equiripple/8_tap_equirip_image_rej.png new file mode 100644 index 0000000..5510a21 Binary files /dev/null and b/filter/8_tap_equiripple/8_tap_equirip_image_rej.png differ diff --git a/filter/8_tap_equiripple/8_tap_equirip_time_domain.png b/filter/8_tap_equiripple/8_tap_equirip_time_domain.png new file mode 100644 index 0000000..317dac6 Binary files /dev/null and b/filter/8_tap_equiripple/8_tap_equirip_time_domain.png differ diff --git a/py/OPFB_Streaming_Test.ipynb b/py/OPFB_Streaming_Test.ipynb new file mode 100644 index 0000000..448ae87 --- /dev/null +++ b/py/OPFB_Streaming_Test.ipynb @@ -0,0 +1,584 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "id": "c44753d5", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "id": "e22217d4", + "metadata": {}, + "source": [ + "# Table of Contents\n", + "* [1.0 Introduction](#intro)\n", + "* [2.0 System Setup](#setup)\n", + " * [2.1 Hardware Setup](#hsetup)\n", + " * [2.2 Software / Firmware Setup](#ssetup)\n", + "* [3.0 Program DAC](#dac)\n", + "* [4.0 Capture ADCs](#adc)\n", + "* [5.0 Capture OPFB](#opfbcap)\n", + "* [6.0 Plot OPFB](#opfbplot)\n", + " * [6.1 Detailed OPFB Plot](#opfbplot2)" + ] + }, + { + "cell_type": "markdown", + "id": "a88559e3", + "metadata": {}, + "source": [ + "# 1.0 Introduction " + ] + }, + { + "cell_type": "markdown", + "id": "3a42e6d6", + "metadata": {}, + "source": [ + "This notebook walks through a breif example showing how to generate an arbitrary waveform and channelize it using a 4096-channel, 50% overlapping polyphase filter bank on an [RFSoC4x2](https://www.amd.com/en/corporate/university-program/aup-boards/rfsoc4x2.html) with external loopback via the integrated RF Data Converter. This notebook demonstrates some of the basic functions we have developed to support the readout of two-thousand multiplexed superconducting detectors. This work is part of a broader effort in Ben Mazin's group at UCSB to develop instruments featuing arrays of cryogenic detectors for astronomical, biological, and quantum imaging applications. Check out our [lab website](https://web.physics.ucsb.edu/~bmazin/) to learn more about the research group. To learn more about our FPGA-based readout, check out our [latest publication on arXiv](https://arxiv.org/abs/2406.09764). The full project continually under development but the current state is available on [Github](https://github.com/MazinLab/MKIDGen3)." + ] + }, + { + "cell_type": "markdown", + "id": "3f4dd16c", + "metadata": {}, + "source": [ + "# 2.0 System Setup " + ] + }, + { + "cell_type": "markdown", + "id": "fd6a845f", + "metadata": {}, + "source": [ + "## 2.1 Hardware Setup " + ] + }, + { + "cell_type": "markdown", + "id": "ad1f7ba8", + "metadata": {}, + "source": [ + "To fully run this notebook, you will need to have an RFSoC4x2 board with a suitable PYNQ image ([see README](https://github.com/MazinLab/RFSoC_OPFB/tree/rfsoc4x2?tab=readme-ov-file#hardware)). Connect the system in RFDC loopback by connecting **DAC A to ADC C and DAC B to ADC D** as shown in the image below. This will allow us to access 4 GHz of Nyquist bandwith in the -2048 to 2048 MHz range with DAC A and ADC C acting as the I channel and DAC B and ADC D acting as the Q channel. You may optionally provide a 10 MHz reference to CLK_IN (J14). The PPS input shown connected in the picture below was used for another test but is not necessary for this project." + ] + }, + { + "cell_type": "markdown", + "id": "e133d7e5", + "metadata": {}, + "source": [ + "" + ] + }, + { + "cell_type": "markdown", + "id": "9ac8f417", + "metadata": {}, + "source": [ + "## 2.2 Software / Firmware Setup \n", + "Follow the instructions in the [README](https://github.com/MazinLab/RFSoC_OPFB/blob/rfsoc4x2/README.md#software) to download the `mkidgen3` python package to your RFSoC if you haven't already." + ] + }, + { + "cell_type": "markdown", + "id": "92648f98", + "metadata": {}, + "source": [ + "### Imports" + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "id": "b238f201", + "metadata": {}, + "outputs": [], + "source": [ + "from pynq import Overlay\n", + "import time\n", + "import numpy as np\n", + "import matplotlib.pyplot as plt\n", + "plt.rcParams.update({'font.size': 18})" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "id": "54aaca3b", + "metadata": {}, + "outputs": [], + "source": [ + "import mkidgen3\n", + "import mkidgen3.plotting as plt_util\n", + "from mkidgen3.server.waveform import WaveformFactory, TabulatedWaveform\n", + "from mkidgen3.server.feedline_config import WaveformConfig" + ] + }, + { + "cell_type": "markdown", + "id": "c0eb9576", + "metadata": {}, + "source": [ + "### Configure RFDC Clocks, Download Overlay" + ] + }, + { + "cell_type": "markdown", + "id": "a7c790c6", + "metadata": {}, + "source": [ + "The following cell programs the RFDC LMK and LMX reference clocks to support the ADC and DAC 4.096 GSPS sampling rate. If you have an external 10 MHz reference connected to CLK_IN (J14), set `clock_source = 'external'` otherwise use `clock_source = 'internal'`. Because the RFSoC4x2 DACs are on seperate tiles, [Multi-Tile Synchronization (MTS)](https://docs.amd.com/r/en-US/pg269-rf-data-converter/Multi-Tile-Synchronization) may be needed to acheive good synchronization between the I and Q DACs. MTS is optional but we find without it image tones appear at the -20 dB level whereas MTS can push the undesired images down to -40 dB." + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "id": "241eb8be", + "metadata": {}, + "outputs": [], + "source": [ + "# Configure RFDC clocks\n", + "mkidgen3.drivers.rfdcclock.configure(programming_key='4.096GSPS_MTS_dualloop', clock_source='external')" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "id": "a686ed45", + "metadata": {}, + "outputs": [ + { + "data": { + "application/javascript": [ + "\n", + "try {\n", + "require(['notebook/js/codecell'], function(codecell) {\n", + " codecell.CodeCell.options_default.highlight_modes[\n", + " 'magic_text/x-csrc'] = {'reg':[/^%%microblaze/]};\n", + " Jupyter.notebook.events.one('kernel_ready.Kernel', function(){\n", + " Jupyter.notebook.get_cells().map(function(cell){\n", + " if (cell.cell_type == 'code'){ cell.auto_highlight(); } }) ;\n", + " });\n", + "});\n", + "} catch (e) {};\n" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "application/javascript": [ + "\n", + "try {\n", + "require(['notebook/js/codecell'], function(codecell) {\n", + " codecell.CodeCell.options_default.highlight_modes[\n", + " 'magic_text/x-csrc'] = {'reg':[/^%%pybind11/]};\n", + " Jupyter.notebook.events.one('kernel_ready.Kernel', function(){\n", + " Jupyter.notebook.get_cells().map(function(cell){\n", + " if (cell.cell_type == 'code'){ cell.auto_highlight(); } }) ;\n", + " });\n", + "});\n", + "} catch (e) {};\n" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "# Download Overlay\n", + "bitstream='/home/xilinx/streaming_test1.bit'\n", + "ol = Overlay(bitstream, ignore_version=True, download=True)" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "id": "45fbfafe", + "metadata": {}, + "outputs": [], + "source": [ + "# Perform Multi-Tile Synchronization\n", + "ol.rfdc.enable_mts()" + ] + }, + { + "cell_type": "markdown", + "id": "d68de6f6", + "metadata": {}, + "source": [ + "# 3.0 Program DAC Table " + ] + }, + { + "cell_type": "markdown", + "id": "e99596f2", + "metadata": {}, + "source": [ + "## DAC Table IP Overview" + ] + }, + { + "cell_type": "markdown", + "id": "7e2820c9", + "metadata": {}, + "source": [ + "The DAC Replay is a Vitis HLS IP. The source code along with the exported IP is available [here](https://github.com/MazinLab/dac-replay/tree/main). The DAC Replay IP is implemented as a 60 URAM look-up-table which totals 2MiB of waveform data. The URAM is programmed with 2^19 complex values where the real and complex parts are each 16-bits. The real and complex signal components are fed to two RFSoC DACs each running at 4.096 GSPS. This allows us to generate arbitrary waveforms in the [-2048 MHz, 2048 MHz) range with 4.096 GHz / 2^19 = 7.8125 KHz resolution. \n", + "\n", + "The DAC Replay IP supports replaying all 2^19 samples in a loop or a smaller (integer multiple of 16) range of samples. The IP also supports generating a TLAST signal on a group of 16 samples. The IP outputs two 256-bit AXI-4 streams at 256 MHz, one feeds the I DAC and the other the Q DAC." + ] + }, + { + "cell_type": "markdown", + "id": "115f7836", + "metadata": {}, + "source": [ + "## Programming" + ] + }, + { + "cell_type": "markdown", + "id": "248eb492", + "metadata": {}, + "source": [ + "We support several ways to generate a waveform to play out of the DAC table. The DAC table configuration is managed by a `WaveformConfig` which includes the `.configure()` method used to actually program the DAC table. There are several ways to generate the `WaveformConfig` but the recommended way is to use `WaveformFactory` which allows users to specify frequencies, amplitudes, and phases. By default, the amplitudes are set to all unity and the phases are randomized. The `dac_dynamic_range` argument controlls the maximum value of the integers programmed to the DAC look-up-table. Setting `dac_dynamic_range = 1` will set the integer maximum waveform value to 8191 which generates the maximum DAC output." + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "id": "8e989fa0", + "metadata": {}, + "outputs": [], + "source": [ + "# Create Waveform Config\n", + "tones = np.array([200e6, 200.5e6])\n", + "wvfm_cfg = WaveformConfig(waveform=WaveformFactory(frequencies=tones, seed=6, dac_dynamic_range=0.5, compute=True))" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "id": "b92a7f2d", + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "array([-12488. +4880.j, -13384. +876.j, -13024. -3212.j, ...,\n", + " -3660.+12888.j, -7388.+11180.j, -10424. +8424.j])" + ] + }, + "execution_count": 7, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "# Print actual waveform look-up-table integer values\n", + "wvfm_cfg.waveform.output_waveform" + ] + }, + { + "cell_type": "markdown", + "id": "9dc0dc54", + "metadata": {}, + "source": [ + "If you wanted to manually specify the exact DAC integers, this can be done with `TabulatedWaveform` like so:" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "id": "b6bc092c", + "metadata": {}, + "outputs": [], + "source": [ + "custom_wvfm = TabulatedWaveform(wvfm_cfg.waveform.output_waveform)\n", + "wvfm_cfg2 = WaveformConfig(waveform=custom_wvfm)" + ] + }, + { + "cell_type": "markdown", + "id": "862c4ff3", + "metadata": {}, + "source": [ + "This method is not recommened because `WaveformConfig` objects made using `TabulatedWaveform` do not contain information about the frequencies, amplitudes, etc. `TabulatedWaveform` is best reserved for debug purposes such as supplying a ramp to the DAC table." + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "id": "aff516ba", + "metadata": {}, + "outputs": [], + "source": [ + "# Program DAC table and begin playing waveform\n", + "ol.dactable.configure(**wvfm_cfg.settings_dict())" + ] + }, + { + "cell_type": "markdown", + "id": "8f914c2a", + "metadata": {}, + "source": [ + "# 4.0 Capture ADC Output and Visualize Waveform " + ] + }, + { + "cell_type": "markdown", + "id": "9f76900f", + "metadata": {}, + "source": [ + "Now that we are playing a signal out of the DACs, we can use the ADCs to capture data to the PL DDR4 and visualize the DAC output in this notebook." + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "id": "d2106430", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Capture ADC\n", + "x = ol.capture.capture_adc(2**19, complex=False) # throw away capture to clear any startup garbage\n", + "del x\n", + "adc_capture_data = ol.capture.capture_adc(2**19, complex=True)\n", + "adc_capture_data = adc_capture_data/2**15 # Normalize to 1/2 V\n", + "# Plot ADC Data\n", + "timerange = (0,120) # timeseries x axis limits in ns\n", + "fftrange= (16, 16 + 20*4096) # timeseries start and stop sample to apply FFT to (defines FFT resolution)\n", + "fftzoom = (195, 205) # x axis limits on right FFT plot in Hz\n", + "plt_util.adc_test_plot(adc_capture_data, timerange, fftrange, fftzoom)" + ] + }, + { + "cell_type": "markdown", + "id": "0733fa8f", + "metadata": {}, + "source": [ + "# 5.0 Capture OPFB Output " + ] + }, + { + "cell_type": "markdown", + "id": "c15e440a", + "metadata": {}, + "source": [ + "The OPFB is a 4096-channel, 2/1 oversampled 4 GHz channelizer. It takes in 2, 4.096 GSPS data streams--one from an I ADC and the other from a Q ADC, and produces 4096, 2 MHz channels which overlap by 50%. This overlapping feature insures that no matter where a signal exists in-band, it will be able to pass through the channelizer un-attenuated. This IP is the subject of [this publication](https://ieeexplore.ieee.org/document/9336352) and is also documented in this [stand-alone git repo](https://github.com/MazinLab/RFSoC_OPFB).\n", + "\n", + "After being sampled by the ADCs, we can run the data through the OPFB IP and capture the result to the PPL DDR4 for visualization. The following cell allows us to capture the OPFB output. Please note each `n` captures a 4-byte complex sample from all 4096 bins and capturing more than about `n=2**15` samples will likely hang the system." + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "id": "abe8ebc7", + "metadata": {}, + "outputs": [], + "source": [ + "# Capture N samples from all 4096 OPFB Bins\n", + "opfb_raw = mkidgen3.overlay_helpers.capture_opfb(ol, n=1024, raw=True)\n", + "# Convert to complex numbers\n", + "opfb_fp = opfb_raw[..., 0] + 1j*(opfb_raw[..., 1])" + ] + }, + { + "cell_type": "markdown", + "id": "91720e2e", + "metadata": {}, + "source": [ + "# 6.0 Plot OPFB Output " + ] + }, + { + "cell_type": "markdown", + "id": "35ab58e8", + "metadata": {}, + "source": [ + "Frist let's just plot the whole 4 GHz spectrum. (Executing this cell might take a minute to two.)" + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "id": "df638590", + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "all_bins = np.linspace(0,4095,4096).astype(int).tolist()\n", + "with np.errstate(divide='ignore'):\n", + " plt_util.plot_opfb_bins(opfb_fp,all_bins);" + ] + }, + { + "cell_type": "markdown", + "id": "08c3a160", + "metadata": {}, + "source": [ + "## 6.1 Detailed Plot " + ] + }, + { + "cell_type": "markdown", + "id": "4128c74b", + "metadata": {}, + "source": [ + "To dig a little deeper we'll use some helper functions to figure out where in the spectrum our tone is and manually make a nice, detailed plot." + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "id": "98270273", + "metadata": {}, + "outputs": [], + "source": [ + "from mkidgen3.opfb import opfb_bin_number, opfb_bin_frequencies, opfb_bin_spectrum" + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "id": "dcd43db6", + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "[2248 2248]\n" + ] + } + ], + "source": [ + "tone_idx = opfb_bin_number(wvfm_cfg.waveform.freqs, ssr_raw_order=False)\n", + "print(tone_idx)" + ] + }, + { + "cell_type": "markdown", + "id": "6e6ce0c7", + "metadata": {}, + "source": [ + "Both tones appear in the same bin, so to make things a little more clear, we will plot a range around these bins." + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "id": "c168f7e5", + "metadata": {}, + "outputs": [], + "source": [ + "bins = np.arange(5)+tone_idx[0]-1 # center our tone in the bin range\n", + "bin_freqs = opfb_bin_frequencies(bins, opfb_fp.shape[0])* 1e-6 # generate x-axis frequencies for specified bins\n", + "with np.errstate(divide='ignore'):\n", + " spectra = opfb_bin_spectrum(np.fft.fftshift(opfb_fp, axes=1), bins) # calculate bin FFT and suppress %0 err" + ] + }, + { + "cell_type": "code", + "execution_count": 16, + "id": "b6618b8f", + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0, 0.5, 'Power (dB)')" + ] + }, + "execution_count": 16, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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\n", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# Nice Plot\n", + "fig, ax = plt.subplots(1, 1, figsize=(15, 10))\n", + "colors=['turquoise','salmon', 'indigo', 'orchid', 'blue']\n", + "for x, y in enumerate(bins):\n", + " if y == tone_idx[0]:\n", + " ax.plot(bin_freqs[:,x], spectra[:,x], linewidth=6, label=f'bin {y}', color=colors[x])\n", + " else:\n", + " ax.plot(bin_freqs[:,x], spectra[:,x], linewidth=2, label=f'bin {y}', color=colors[x])\n", + " ax.legend(loc='upper right')\n", + "ax.set_title('OPFB Output')\n", + "ax.set_xlabel('Frequency (MHz)')\n", + "ax.set_ylabel('Power (dB)')" + ] + }, + { + "cell_type": "markdown", + "id": "0015c577", + "metadata": {}, + "source": [ + "As expected, the two tones appear in the correct places and the 200.5 MHz tone which appears directly in-between bin 2248 and bin 2249 appears in both bins and has the same response in both bins. There are leakage spurs at the -50 dB level which is consistent with the [filter design](https://github.com/MazinLab/gen3-vivado-top/blob/main/data/4_tap_equiripple/4_tap_equirip_channelizer_resp.png). " + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.10.4" + } + }, + "nbformat": 4, + "nbformat_minor": 5 +} diff --git a/py/images/banner.png b/py/images/banner.png index 78d771f..627ba13 100644 Binary files a/py/images/banner.png and b/py/images/banner.png differ diff --git a/py/images/direct_loopback.png b/py/images/direct_loopback.png new file mode 100644 index 0000000..bf10968 Binary files /dev/null and b/py/images/direct_loopback.png differ diff --git a/py/images/full_block_design.png b/py/images/full_block_design.png deleted file mode 100644 index a0bc54e..0000000 Binary files a/py/images/full_block_design.png and /dev/null differ diff --git a/py/images/hardware_setup.png b/py/images/hardware_setup.png deleted file mode 100644 index 1e60116..0000000 Binary files a/py/images/hardware_setup.png and /dev/null differ diff --git a/py/opfb_demo.ipynb b/py/opfb_demo.ipynb deleted file mode 100644 index 6702a77..0000000 --- a/py/opfb_demo.ipynb +++ /dev/null @@ -1,581 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "id": "8c7264b6", - "metadata": { - "pycharm": { - "name": "#%% md\n" - } - }, - "source": [ - "" - ] - }, - { - "cell_type": "markdown", - "id": "319eafb5", - "metadata": {}, - "source": [ - "# Introduction" - ] - }, - { - "cell_type": "markdown", - "id": "22bec8b5", - "metadata": {}, - "source": [ - "This notebook walks through a breif example showing how to generate an arbitrary waveform and channelize it using a 4096-channel, 50% overlapping polyphase filter bank on a ZCU111 with external loopback via the integrated RF Data Converter. This notebook demonstrates some of basic functions we have developed to support the readout of two-thousand, multiplexed superconducting detectors. This work is part of a broader effort in Ben Mazin's group at UCSB to develop instruments featuing arrays of cryogenic detectors for astronomy imaging applications. Check out our [lab website](https://web.physics.ucsb.edu/~bmazin/) to learn more about the research group. To learn more about the FPGA project, check out our [latest update on arXiv](https://arxiv.org/abs/2203.16520). The full project is still under development but the current stats is available on Github [here](https://github.com/MazinLab/MKIDGen3)." - ] - }, - { - "cell_type": "markdown", - "id": "b3d83e5e", - "metadata": {}, - "source": [ - "## 1. System Overview " - ] - }, - { - "cell_type": "markdown", - "id": "cdc8c67d", - "metadata": {}, - "source": [ - "The full superconducting detector readout system block design is shown below. In this demonstration notebook, we will be showcasing the DAC Replay, OPFB, Bin Select, and Calibration Capture systems which are highlighted in yellow. All of these IP blocks are implemented with a combination of Vitis High-Level Syntheis and pre-existing Xilinx IP available in Vivado IPI. The one exception is the capture subsystem which uses an [open source RTL core](https://github.com/MazinLab/wb2axip/tree/master) to convert AXIS to AXI burst writes to the MIG because (as far as we can tell) this is not possible with HLS as of version 2021.1." - ] - }, - { - "cell_type": "markdown", - "id": "3c19b990", - "metadata": {}, - "source": [ - "\"drawing\"" - ] - }, - { - "cell_type": "markdown", - "id": "4278ceb1", - "metadata": {}, - "source": [ - "## 1.1 Hardware Setup " - ] - }, - { - "cell_type": "markdown", - "id": "f18a5a09", - "metadata": {}, - "source": [ - "To fully run this notebook, you will need to have a ZCU111 with the XM500 Balun card attached. Connect ADC tile 224 block 0 (J4) to DAC tile 229 block 2 (J5) and ADC tile 224 block 1 (J3) to DAC tile 229 block 3 (J6). The block 0 data converters will be the \"I channel\" and the block 1 data converters will be the \"Q channel\". This will allow us to access 4 GHz of Nyquist bandwith in the [-2048, 2048) MHz range. Keep in mind the data converters we are using in this demo are coupled to a 0-1 GHz balun and 3dB pi attenuator. " - ] - }, - { - "cell_type": "markdown", - "id": "944469c4", - "metadata": {}, - "source": [ - "\"drawing\"" - ] - }, - { - "cell_type": "markdown", - "id": "2c8ecddc", - "metadata": {}, - "source": [ - "## 1.2 Overlay Design " - ] - }, - { - "cell_type": "markdown", - "id": "092fb959", - "metadata": {}, - "source": [ - "The overlay design is built in Vivado 2021.2. The design sources all clocks from the RFDC ADC and DAC tile PLL's. All optional resets in the design have been tied off to improve timing performance and resource utilization. Note the RFDC, DAC Replay, and MIG blocks require functioning resets. " - ] - }, - { - "cell_type": "markdown", - "id": "c7b9c5ac", - "metadata": {}, - "source": [ - "## 1.3 Import Drivers+, Download Overlay " - ] - }, - { - "cell_type": "code", - "execution_count": 53, - "id": "ae7ad640", - "metadata": { - "pycharm": { - "name": "#%%\n" - } - }, - "outputs": [], - "source": [ - "from pynq import PL, Overlay\n", - "\n", - "import numpy as np\n", - "import matplotlib.pyplot as plt\n", - "import mkidgen3.fccm22_demo\n", - "\n", - "from mkidgen3.daccomb import generate_dac_comb\n", - "from mkidgen3.plotting import adc_test_plot, plot_opfb_bins\n", - "from mkidgen3.drivers import axiswitch, bintores, dactable, axififo, rfdc\n", - "from mkidgen3 import capture_opfb, configure" - ] - }, - { - "cell_type": "code", - "execution_count": 37, - "id": "02bca40b", - "metadata": { - "pycharm": { - "name": "#%%\n" - } - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "PL Bitfile: /home/xilinx/jupyter_notebooks/opfb_streaming.bit\n", - "PL Timestamp: 2022/7/14 0:0:8 +783610\n", - "Overlay timestamp: 2022/7/14 0:0:8 +783610 Loaded: True\n" - ] - } - ], - "source": [ - "# Download Overlay\n", - "ol = configure('opfb_streaming.bit', clocks=True, external_10mhz=False, ignore_version=True)\n", - "\n", - "print(f\"PL Bitfile: {PL.bitfile_name}\\nPL Timestamp: {PL.timestamp}\\n\"\n", - " f\"Overlay timestamp: {ol.timestamp} Loaded: {ol.is_loaded()}\")" - ] - }, - { - "cell_type": "markdown", - "id": "15b694a5", - "metadata": {}, - "source": [ - "# 2. IP Blocks " - ] - }, - { - "cell_type": "markdown", - "id": "8f655164", - "metadata": {}, - "source": [ - "The demo overlay contains four major IP subsystems: DAC Replay, OPFB, Bin Select, and Capture. The remainder of this notebook will walk you through the IP we have developed and how to use them." - ] - }, - { - "cell_type": "markdown", - "id": "d10bc580", - "metadata": {}, - "source": [ - "## 2.1 DAC Replay \n", - "The DAC Replay is a Vitis HLS IP. The source code along with the exported IP is available [here](https://github.com/MazinLab/dac-replay/tree/master). The DAC Replay IP is implemented as a 60 URAM look-up-table which totals 2MiB of waveform data. The URAM is programmed with 2^19 complex values where the real and complex parts are each 16-bits. The real and complex signal components are fed to two RFSoC DACs each running at 4.096 GSPS. This allows us to generate arbitrary waveforms in the [-2048 MHz, 2048 MHz) range with 4.096 GHz / 2^19 = 7.8125 KHz resolution. \n", - "\n", - "The DAC Replay IP supports replaying all 2^19 samples in a loop or a smaller (integer multiple of 16) range of samples. The IP also supports generating a TLAST signal on a group of 16 samples. The IP outputs two 256-bit AXI-4 streams at 256 MHz, one feeds the I DAC and the other the Q DAC. An example of the AXI4-Stream format for the real data (I DAC) is shown below. \n" - ] - }, - { - "cell_type": "code", - "execution_count": 38, - "id": "440ae66e", - "metadata": {}, - "outputs": [], - "source": [ - "import nbwavedrom as wd" - ] - }, - { - "cell_type": "code", - "execution_count": 39, - "id": "ee33cc7e", - "metadata": {}, - "outputs": [ - { - "data": { - "image/svg+xml": [ - "clktlastdata[0:15]i_33i_16i_0idatadata[16:32]i_34i_17i_1idatadata[33:49]i_35i_18i_2idatadata[50:66]i_36i_19i_3idatadata[239:255]i_49i_32i_15idata" - ], - "text/plain": [ - "" - ] - }, - "execution_count": 39, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "wd.draw({\n", - "'signal': [\n", - " {'name': 'clk', 'wave': 'p.....|...'},\n", - " {'name': 'tlast', 'wave': '0.10...'},\n", - " {'name': 'data[0:15]', 'wave': 'x.345x|=x', 'data': ['i_33', 'i_16','i_0','idata']},\n", - " {'name': 'data[16:32]', 'wave': 'x.345x|=x', 'data': ['i_34', 'i_17','i_1','idata']},\n", - " {'name': 'data[33:49]', 'wave': 'x.345x|=x', 'data': ['i_35', 'i_18','i_2','idata']},\n", - " {'name': 'data[50:66]', 'wave': 'x.345x|=x', 'data': ['i_36', 'i_19','i_3','idata']},\n", - " {},\n", - " {'name': 'data[239:255]', 'wave': 'x.345x|=x', 'data': ['i_49', 'i_32','i_15','idata']},\n", - "]})" - ] - }, - { - "cell_type": "markdown", - "id": "770a4036", - "metadata": {}, - "source": [ - "The core is programmed over AXI4-Lite using the DAC Replay Python driver availbale [here](https://github.com/MazinLab/MKIDGen3/blob/develop/mkidgen3/drivers/dactable.py). This core is nominally used to feed two RFSoC DACs but it is also possible to stream data to other DSP logic within the FPGA fabric to test IP subsystems with data manufactured in Python. Please contact the authors to learn more about this use case." - ] - }, - { - "cell_type": "markdown", - "id": "e2d3ed4e", - "metadata": {}, - "source": [ - "### DAC Waveform" - ] - }, - { - "cell_type": "markdown", - "id": "c491478b", - "metadata": {}, - "source": [ - "The following cells demonstrate how to construct an arbitrary waveform and play it out of the DACs." - ] - }, - { - "cell_type": "code", - "execution_count": 40, - "id": "7d441d2b", - "metadata": { - "pycharm": { - "name": "#%%\n" - } - }, - "outputs": [], - "source": [ - "#Set tones to send (in Hz). Domain is [-2047,2048) MHz. Set the SNR for a noise floor, `'max'` will turn off noise.\n", - "tones = np.array([300e6, 300.5e6])\n", - "amplitudes = np.ones_like(tones)/tones.shape[0]\n", - "snr = 'max'" - ] - }, - { - "cell_type": "code", - "execution_count": 41, - "id": "f1651b60", - "metadata": { - "pycharm": { - "name": "#%%\n" - } - }, - "outputs": [], - "source": [ - "Ns=2**19 # fill the DAC LUT Table\n", - "in_noise = 0\n", - "if snr != 'max':\n", - " a_noise = 10**((20*np.log10(1/np.sqrt(2)) - snr)/10)\n", - " in_noise = np.sqrt(a_noise)*(np.random.uniform(-1,1,size=Ns) + 1j*np.random.uniform(-1,1,size=Ns))" - ] - }, - { - "cell_type": "code", - "execution_count": 42, - "id": "f3e9a13f", - "metadata": { - "pycharm": { - "name": "#%%\n" - } - }, - "outputs": [], - "source": [ - "# Compute the waveform\n", - "dactable = generate_dac_comb(frequencies=tones, n_samples=Ns, sample_rate=4.096e9, amplitudes=amplitudes)\n", - "if snr != 'max':\n", - " dactable['iq']+=in_noise\n", - " dactable['iq']/=max(dactable['iq'].real, dactable['iq'].imag)\n", - " pass" - ] - }, - { - "cell_type": "code", - "execution_count": 43, - "id": "d288dbc8", - "metadata": { - "pycharm": { - "name": "#%%\n" - } - }, - "outputs": [], - "source": [ - "# Program URAM and run DAC Table\n", - "if ol.dac_table.register_map.run.run:\n", - " ol.dac_table.stop()\n", - "ol.dac_table.replay(dactable['iq'], fpgen='simple')" - ] - }, - { - "cell_type": "markdown", - "id": "b08bf403", - "metadata": {}, - "source": [ - "At this point, you should be able to measure the DAC output using a Spectrum Analyzer or Oscilloscope. You can also use the RFSoC ADCs! Keep scrolling to see how." - ] - }, - { - "cell_type": "markdown", - "id": "374f09c9", - "metadata": {}, - "source": [ - "### Capture & Plot DAC Output Using RFSoC ADCs" - ] - }, - { - "cell_type": "markdown", - "id": "4dc3fd8a", - "metadata": {}, - "source": [ - "With the ZCU111 connected in loopback, we can sample the DAC output with the RFSoC ADCs and capture the result to the PL DRAM where we can visualize it in this notebook." - ] - }, - { - "cell_type": "code", - "execution_count": 44, - "id": "4db3566e", - "metadata": { - "pycharm": { - "name": "#%%\n" - } - }, - "outputs": [], - "source": [ - "N = Ns # Number of samples to capture (full waveform)\n", - "Fs = 4.096e9 # ADC Sample Rate [Hz]\n", - "Tc = N/Fs # total collection time (seconds)" - ] - }, - { - "cell_type": "code", - "execution_count": 45, - "id": "c2547475", - "metadata": { - "pycharm": { - "name": "#%%\n" - } - }, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "Capture does not support phase capture\n" - ] - } - ], - "source": [ - "# Trigger Capture\n", - "adc_capture_data = ol.capture.capture_adc(N, complex=True)\n", - "adc_capture_data/=2**16 #Normalize to 1/2 V" - ] - }, - { - "cell_type": "code", - "execution_count": 46, - "id": "d54a8805", - "metadata": { - "pycharm": { - "name": "#%%\n" - } - }, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "# Plot ADC Data\n", - "timerange = (0,120) # ns\n", - "fftrange= (16, 16 + 20*4096) \n", - "fftzoom = (2.9e8, 3.1e8)\n", - "adc_test_plot(adc_capture_data, timerange, fftrange, fftzoom)" - ] - }, - { - "cell_type": "markdown", - "id": "b7482c49", - "metadata": {}, - "source": [ - "## 2.2 Oversampled Polyphase Filter Bank (OPFB) " - ] - }, - { - "cell_type": "markdown", - "id": "ee0c2050", - "metadata": {}, - "source": [ - "The OPFB is a 4,096-channel, 2/1 oversampled 4 GHz channelizer. It takes in 2, 4.096 GSPS data streams--one from and I ADC and the other from a Q ADC, and produces 4096 2 MHz channels which overlap by 50%. This overlapping feature insures that no matter where a signal exists in-band, it will be able to pass through the channelizer un-attenuated. This IP is the subject of [this publication](https://ieeexplore.ieee.org/document/9336352) and is also documented in a [stand-alone git repo](https://github.com/MazinLab/RFSoC_OPFB).\n", - "\n", - "After being sampled by the ADCs, we can run the data through the OPFB IP and capture the result to the PPL DDR4 for visualization." - ] - }, - { - "cell_type": "markdown", - "id": "f3356be7", - "metadata": { - "pycharm": { - "name": "#%% md\n" - } - }, - "source": [ - "### OPFB Capture" - ] - }, - { - "cell_type": "markdown", - "id": "9834a034", - "metadata": {}, - "source": [ - "\n", - "\n", - "" - ] - }, - { - "cell_type": "code", - "execution_count": 47, - "id": "8b6760e4", - "metadata": { - "pycharm": { - "name": "#%%\n" - }, - "scrolled": true - }, - "outputs": [], - "source": [ - "# Capture N samples from all 4096 OPFB Bins\n", - "opfb_raw = capture_opfb(1024, raw=True)\n", - "# Convert to complex numbers\n", - "opfb_fp = opfb_raw[..., 0] + 1j*(opfb_raw[..., 1])" - ] - }, - { - "cell_type": "markdown", - "id": "c5332e5a", - "metadata": { - "pycharm": { - "name": "#%% md\n" - } - }, - "source": [ - "### Plot OPFB" - ] - }, - { - "cell_type": "code", - "execution_count": 48, - "id": "7d948a2f", - "metadata": { - "pycharm": { - "name": "#%%\n" - } - }, - "outputs": [], - "source": [ - "all_bins = np.linspace(0,4095,4096).astype(int).tolist()" - ] - }, - { - "cell_type": "code", - "execution_count": 49, - "id": "97a62c58", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "plot_opfb_bins(opfb_fp,all_bins);" - ] - }, - { - "cell_type": "markdown", - "id": "72e5a9fc", - "metadata": {}, - "source": [ - "We expect our 300 MHz tone to be in bin 2348. Because the channelizer is 50% overlapping, we also expect to see the 300.5 MHz tone in that bin as well as the neighboring bin with equal magnitude in each bin. Indeed we see that is the case below." - ] - }, - { - "cell_type": "code", - "execution_count": 54, - "id": "3f273f91", - "metadata": {}, - "outputs": [ - { - "data": { - "image/png": "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\n", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "mkidgen3.fccm22_demo.plot_fccm_demo_bins(opfb_fp)" - ] - } - ], - "metadata": { - "kernelspec": { - "display_name": "Python 3", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.8.2" - } - }, - "nbformat": 4, - "nbformat_minor": 5 -} diff --git a/vivado/build_bitstream.tcl b/vivado/build_bitstream.tcl new file mode 100644 index 0000000..cd2cb60 --- /dev/null +++ b/vivado/build_bitstream.tcl @@ -0,0 +1,9 @@ +set design_name [lindex $argv 0] + +# Open project +open_project ./${design_name}_prj/${design_name}_prj.xpr +open_bd_design ./${design_name}_prj/${design_name}_prj.srcs/sources_1/bd/${design_name}/${design_name}.bd + +# Call implement +launch_runs impl_1 -to_step write_bitstream -jobs 32 +wait_on_run impl_1 diff --git a/vivado/constraints/bitstream.xdc b/vivado/constraints/bitstream.xdc new file mode 100644 index 0000000..90362fa --- /dev/null +++ b/vivado/constraints/bitstream.xdc @@ -0,0 +1,3 @@ +# Compress Bitstream and Enable Temp Shutdown +set_property BITSTREAM.GENERAL.COMPRESS TRUE [get_designs impl_1] +set_property BITSTREAM.CONFIG.OVERTEMPSHUTDOWN ENABLE [get_designs impl_1] diff --git a/vivado/constraints/clock_net.xdc b/vivado/constraints/clock_net.xdc new file mode 100644 index 0000000..bc21b8b --- /dev/null +++ b/vivado/constraints/clock_net.xdc @@ -0,0 +1,7 @@ +# Promote Clock Nets to Global Clock Buffers +#set_property CLOCK_BUFFER_TYPE BUFG [get_nets {gen3_top_i/Clocktree/AXI_100_RESET/interconnect_aresetn[0]}] +#set_property CLOCK_BUFFER_TYPE BUFG [get_nets {gen3_top_i/Clocktree/PL_RF_256_Reset/interconnect_aresetn[0]}] +#set_property CLOCK_BUFFER_TYPE BUFG [get_nets {gen3_top_i/Clocktree/PL_RF_512_Reset/interconnect_aresetn[0]}] +#set_property CLOCK_BUFFER_TYPE BUFG [get_nets {gen3_top_i/photon_pipe/opfb/adc_to_opfb_0/inst/process_lanes_U0/regslice_both_lanes_V_data_V_U/even_delay_Array_ce0}] +#set_property CLOCK_BUFFER_TYPE BUFG [get_nets {gen3_top_i/photon_pipe/opfb/adc_to_opfb_0/inst/process_lanes_U0/regslice_both_lanes_V_data_V_U/odd_delay_Array_ce0}] +#set_property CLOCK_BUFFER_TYPE BUFG [get_nets {gen3_top_i/photon_pipe/reschan/dds_ddc_center/inst/grp_phase_sincos_LUT_*/accumulator_TVALID_0}] diff --git a/vivado/constraints/debug_hub.xdc b/vivado/constraints/debug_hub.xdc new file mode 100644 index 0000000..f49ab71 --- /dev/null +++ b/vivado/constraints/debug_hub.xdc @@ -0,0 +1,5 @@ +# Debug Hub +set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub] +set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub] +set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub] +connect_debug_port dbg_hub/clk [get_nets clk] \ No newline at end of file diff --git a/vivado/constraints/false_paths.xdc b/vivado/constraints/false_paths.xdc new file mode 100644 index 0000000..496ba3f --- /dev/null +++ b/vivado/constraints/false_paths.xdc @@ -0,0 +1,11 @@ +# Set False Paths +set_false_path -from [get_pins {gen3_top_i/Clocktree/AXI_100_RESET/U0/BSR_OUT_DFF[*].*/C}] + +set_false_path -from [get_ports {pps_trig}] +set_false_path -from [get_ports {pps_comp}] + + +#set_false_path -from [get_pins {gen3_top_i/Clocktree/PL_RF_512_Reset/U0/*BSR_OUT_DFF[*].*/C}] -to [get_pins -of_objects [get_cells -hier -regexp .*dactable.*] -leaf -filter {REF_PIN_NAME=~R}] +#set_false_path -from [get_pins {gen3_top_i/Clocktree/PL_RF_512_Reset/U0/*BSR_OUT_DFF[*].*/C}] -to [get_pins -of_objects [get_cells -hier -regexp .*trigger_system.*] -leaf -filter {REF_PIN_NAME=~R}] +#set_false_path -from [get_pins {gen3_top_i/Clocktree/PL_RF_512_Reset/U0/*BSR_OUT_DFF[*].*/C}] -to [get_pins -of_objects [get_cells -hier -regexp .*photon_pipe.*] -leaf -filter {REF_PIN_NAME=~R}] +#set_false_path -from [get_pins {gen3_top_i/Clocktree/PL_RF_512_Reset/U0/*BSR_OUT_DFF[*].*/C}] -to [get_pins -of_objects [get_cells -hier -regexp .*pps_synchronizer_con.*] -leaf -filter {REF_PIN_NAME=~R}] diff --git a/vivado/constraints/mts.xdc b/vivado/constraints/mts.xdc new file mode 100644 index 0000000..d83d26b --- /dev/null +++ b/vivado/constraints/mts.xdc @@ -0,0 +1,28 @@ +# Constrain MTS Clock Pins +set_property PACKAGE_PIN AP18 [get_ports {PL_SYSREF_clk_p[0]}] +set_property PACKAGE_PIN AN11 [get_ports {PL_CLK_clk_p[0]}] + +set_property IOSTANDARD LVDS [get_ports {PL_CLK_clk_p[0]}] +set_property IOSTANDARD LVDS [get_ports {PL_CLK_clk_n[0]}] +set_property IOSTANDARD LVDS [get_ports {PL_SYSREF_clk_p[0]}] +set_property IOSTANDARD LVDS [get_ports {PL_SYSREF_clk_n[0]}] + +set_property PACKAGE_PIN AH13 [get_ports {pps_trig}] +set_property IOSTANDARD LVCMOS18 [get_ports {pps_trig}] + +set_property PACKAGE_PIN AJ13 [get_ports {pps_comp}] +set_property IOSTANDARD LVCMOS18 [get_ports {pps_comp}] + +# Constrain PL SYSREF and Refclks +create_clock -period 1.953125 -name PL_CLK_clk [get_ports PL_CLK_clk_p] + +set_input_delay -clock [get_clocks PL_CLK_clk] -min -add_delay 2.000 [get_ports PL_SYSREF_clk_p] +set_input_delay -clock [get_clocks PL_CLK_clk] -max -add_delay 2.031 [get_ports PL_SYSREF_clk_p] + +set_max_delay -from [get_pins {gen3_top_i/Clocktree/SynchronizeSYSREF/inst/xsingle/syncstages_ff_reg[1]/C}] 1.0 +set_max_delay -from [get_pins {gen3_top_i/Clocktree/SynchronizeSYSREF/inst/xsingle/src_ff_reg/C}] 1.0 +set_max_delay -from [get_ports {pps_trig}] 1.0 +set_max_delay -from [get_ports {pps_comp}] 1.0 + +set_property CLOCK_DEDICATED_ROUTE ANY_CMT_COLUMN [get_nets {gen3_top_i/Clocktree/BUFG_PL_CLK/BUFG_O[0]}] + diff --git a/vivado/constraints/pl_ddr4.xdc b/vivado/constraints/pl_ddr4.xdc new file mode 100644 index 0000000..0d5c6be --- /dev/null +++ b/vivado/constraints/pl_ddr4.xdc @@ -0,0 +1,145 @@ +# Constrain DDR4 Clock +set_property LOC MMCM_X0Y4 [get_cells -hier -filter {NAME =~ */u_ddr4_infrastructure/gen_mmcme*.u_mmcme_adv_inst}] + +## PL ddr4 +set_property PACKAGE_PIN G13 [get_ports {sys_clk_ddr4_clk_p[0]}] +create_clock -period 5.000 -name sys_clk_ddr4 [get_ports sys_clk_ddr4_clk_p] + +set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_adr[?]}] +set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_ba[?]}] +set_property IOSTANDARD POD12_DCI [get_ports {ddr4_pl_dm_n[?]}] +set_property IOSTANDARD POD12_DCI [get_ports {ddr4_pl_dq[?]}] +set_property IOSTANDARD DIFF_POD12_DCI [get_ports {ddr4_pl_dqs_c[?]}] +set_property IOSTANDARD DIFF_POD12_DCI [get_ports {ddr4_pl_dqs_t[?]}] +set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_odt[?]}] +set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_adr[?]}] +set_property IOSTANDARD SSTL12_DCI [get_ports ddr4_pl_act_n] +set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_ba[?]}] +set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_bg[0]}] +set_property IOSTANDARD DIFF_SSTL12_DCI [get_ports {ddr4_pl_ck_c[0]}] +set_property IOSTANDARD DIFF_SSTL12_DCI [get_ports {ddr4_pl_ck_t[0]}] +set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_cke[0]}] +set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_cs_n[0]}] +set_property IOSTANDARD POD12_DCI [get_ports {ddr4_pl_dm_n[?]}] +set_property IOSTANDARD POD12_DCI [get_ports {ddr4_pl_dq[?]}] +set_property IOSTANDARD DIFF_POD12_DCI [get_ports {ddr4_pl_dqs_c[?]}] +set_property IOSTANDARD DIFF_POD12_DCI [get_ports {ddr4_pl_dqs_t[?]}] +set_property IOSTANDARD SSTL12_DCI [get_ports {ddr4_pl_odt[?]}] +set_property IOSTANDARD LVCMOS12 [get_ports ddr4_pl_reset_n] + +set_property PACKAGE_PIN B13 [get_ports {ddr4_pl_adr[0]}] +set_property PACKAGE_PIN G6 [get_ports {ddr4_pl_adr[1]}] +set_property PACKAGE_PIN A14 [get_ports {ddr4_pl_adr[2]}] +set_property PACKAGE_PIN F10 [get_ports {ddr4_pl_adr[3]}] +set_property PACKAGE_PIN D14 [get_ports {ddr4_pl_adr[4]}] +set_property PACKAGE_PIN F11 [get_ports {ddr4_pl_adr[5]}] +set_property PACKAGE_PIN J7 [get_ports {ddr4_pl_adr[6]}] +set_property PACKAGE_PIN H13 [get_ports {ddr4_pl_adr[7]}] +set_property PACKAGE_PIN A11 [get_ports {ddr4_pl_adr[8]}] +set_property PACKAGE_PIN H6 [get_ports {ddr4_pl_adr[9]}] +set_property PACKAGE_PIN C15 [get_ports {ddr4_pl_adr[10]}] +set_property PACKAGE_PIN G7 [get_ports {ddr4_pl_adr[11]}] +set_property PACKAGE_PIN D13 [get_ports {ddr4_pl_adr[12]}] +set_property PACKAGE_PIN H11 [get_ports {ddr4_pl_adr[13]}] +set_property PACKAGE_PIN K13 [get_ports {ddr4_pl_adr[14]}] +set_property PACKAGE_PIN F14 [get_ports {ddr4_pl_adr[15]}] +set_property PACKAGE_PIN E13 [get_ports {ddr4_pl_adr[16]}] +set_property PACKAGE_PIN B14 [get_ports ddr4_pl_act_n] +set_property PACKAGE_PIN A12 [get_ports {ddr4_pl_ba[0]}] +set_property PACKAGE_PIN H10 [get_ports {ddr4_pl_ba[1]}] +set_property PACKAGE_PIN H12 [get_ports {ddr4_pl_bg[0]}] +set_property PACKAGE_PIN J11 [get_ports {ddr4_pl_ck_t[0]}] +set_property PACKAGE_PIN J10 [get_ports {ddr4_pl_ck_c[0]}] +set_property PACKAGE_PIN F12 [get_ports {ddr4_pl_cke[0]}] +set_property PACKAGE_PIN E11 [get_ports {ddr4_pl_cs_n[0]}] +set_property PACKAGE_PIN J15 [get_ports {ddr4_pl_dm_n[0]}] +set_property PACKAGE_PIN N14 [get_ports {ddr4_pl_dm_n[1]}] +set_property PACKAGE_PIN D18 [get_ports {ddr4_pl_dm_n[2]}] +set_property PACKAGE_PIN G17 [get_ports {ddr4_pl_dm_n[3]}] +set_property PACKAGE_PIN F21 [get_ports {ddr4_pl_dm_n[4]}] +set_property PACKAGE_PIN J23 [get_ports {ddr4_pl_dm_n[5]}] +set_property PACKAGE_PIN C23 [get_ports {ddr4_pl_dm_n[6]}] +set_property PACKAGE_PIN N20 [get_ports {ddr4_pl_dm_n[7]}] +set_property PACKAGE_PIN K17 [get_ports {ddr4_pl_dq[0]}] +set_property PACKAGE_PIN J16 [get_ports {ddr4_pl_dq[1]}] +set_property PACKAGE_PIN H17 [get_ports {ddr4_pl_dq[2]}] +set_property PACKAGE_PIN H16 [get_ports {ddr4_pl_dq[3]}] +set_property PACKAGE_PIN J18 [get_ports {ddr4_pl_dq[4]}] +set_property PACKAGE_PIN K16 [get_ports {ddr4_pl_dq[5]}] +set_property PACKAGE_PIN J19 [get_ports {ddr4_pl_dq[6]}] +set_property PACKAGE_PIN L17 [get_ports {ddr4_pl_dq[7]}] +set_property PACKAGE_PIN N17 [get_ports {ddr4_pl_dq[8]}] +set_property PACKAGE_PIN N13 [get_ports {ddr4_pl_dq[9]}] +set_property PACKAGE_PIN N15 [get_ports {ddr4_pl_dq[10]}] +set_property PACKAGE_PIN L12 [get_ports {ddr4_pl_dq[11]}] +set_property PACKAGE_PIN M17 [get_ports {ddr4_pl_dq[12]}] +set_property PACKAGE_PIN M13 [get_ports {ddr4_pl_dq[13]}] +set_property PACKAGE_PIN M15 [get_ports {ddr4_pl_dq[14]}] +set_property PACKAGE_PIN M12 [get_ports {ddr4_pl_dq[15]}] +set_property PACKAGE_PIN D16 [get_ports {ddr4_pl_dq[16]}] +set_property PACKAGE_PIN A17 [get_ports {ddr4_pl_dq[17]}] +set_property PACKAGE_PIN C17 [get_ports {ddr4_pl_dq[18]}] +set_property PACKAGE_PIN A19 [get_ports {ddr4_pl_dq[19]}] +set_property PACKAGE_PIN D15 [get_ports {ddr4_pl_dq[20]}] +set_property PACKAGE_PIN C16 [get_ports {ddr4_pl_dq[21]}] +set_property PACKAGE_PIN B19 [get_ports {ddr4_pl_dq[22]}] +set_property PACKAGE_PIN A16 [get_ports {ddr4_pl_dq[23]}] +set_property PACKAGE_PIN G18 [get_ports {ddr4_pl_dq[24]}] +set_property PACKAGE_PIN E16 [get_ports {ddr4_pl_dq[25]}] +set_property PACKAGE_PIN F16 [get_ports {ddr4_pl_dq[26]}] +set_property PACKAGE_PIN G15 [get_ports {ddr4_pl_dq[27]}] +set_property PACKAGE_PIN H18 [get_ports {ddr4_pl_dq[28]}] +set_property PACKAGE_PIN E17 [get_ports {ddr4_pl_dq[29]}] +set_property PACKAGE_PIN E18 [get_ports {ddr4_pl_dq[30]}] +set_property PACKAGE_PIN F15 [get_ports {ddr4_pl_dq[31]}] +set_property PACKAGE_PIN E24 [get_ports {ddr4_pl_dq[32]}] +set_property PACKAGE_PIN D21 [get_ports {ddr4_pl_dq[33]}] +set_property PACKAGE_PIN E22 [get_ports {ddr4_pl_dq[34]}] +set_property PACKAGE_PIN E21 [get_ports {ddr4_pl_dq[35]}] +set_property PACKAGE_PIN E23 [get_ports {ddr4_pl_dq[36]}] +set_property PACKAGE_PIN F20 [get_ports {ddr4_pl_dq[37]}] +set_property PACKAGE_PIN F24 [get_ports {ddr4_pl_dq[38]}] +set_property PACKAGE_PIN G20 [get_ports {ddr4_pl_dq[39]}] +set_property PACKAGE_PIN J21 [get_ports {ddr4_pl_dq[40]}] +set_property PACKAGE_PIN G22 [get_ports {ddr4_pl_dq[41]}] +set_property PACKAGE_PIN K24 [get_ports {ddr4_pl_dq[42]}] +set_property PACKAGE_PIN G23 [get_ports {ddr4_pl_dq[43]}] +set_property PACKAGE_PIN L24 [get_ports {ddr4_pl_dq[44]}] +set_property PACKAGE_PIN H22 [get_ports {ddr4_pl_dq[45]}] +set_property PACKAGE_PIN H23 [get_ports {ddr4_pl_dq[46]}] +set_property PACKAGE_PIN H21 [get_ports {ddr4_pl_dq[47]}] +set_property PACKAGE_PIN C21 [get_ports {ddr4_pl_dq[48]}] +set_property PACKAGE_PIN A24 [get_ports {ddr4_pl_dq[49]}] +set_property PACKAGE_PIN B24 [get_ports {ddr4_pl_dq[50]}] +set_property PACKAGE_PIN A20 [get_ports {ddr4_pl_dq[51]}] +set_property PACKAGE_PIN C22 [get_ports {ddr4_pl_dq[52]}] +set_property PACKAGE_PIN A21 [get_ports {ddr4_pl_dq[53]}] +set_property PACKAGE_PIN C20 [get_ports {ddr4_pl_dq[54]}] +set_property PACKAGE_PIN B20 [get_ports {ddr4_pl_dq[55]}] +set_property PACKAGE_PIN M20 [get_ports {ddr4_pl_dq[56]}] +set_property PACKAGE_PIN L20 [get_ports {ddr4_pl_dq[57]}] +set_property PACKAGE_PIN L22 [get_ports {ddr4_pl_dq[58]}] +set_property PACKAGE_PIN L21 [get_ports {ddr4_pl_dq[59]}] +set_property PACKAGE_PIN N19 [get_ports {ddr4_pl_dq[60]}] +set_property PACKAGE_PIN M19 [get_ports {ddr4_pl_dq[61]}] +set_property PACKAGE_PIN L23 [get_ports {ddr4_pl_dq[62]}] +set_property PACKAGE_PIN L19 [get_ports {ddr4_pl_dq[63]}] +set_property PACKAGE_PIN K19 [get_ports {ddr4_pl_dqs_t[0]}] +set_property PACKAGE_PIN K18 [get_ports {ddr4_pl_dqs_c[0]}] +set_property PACKAGE_PIN L15 [get_ports {ddr4_pl_dqs_t[1]}] +set_property PACKAGE_PIN L14 [get_ports {ddr4_pl_dqs_c[1]}] +set_property PACKAGE_PIN B18 [get_ports {ddr4_pl_dqs_t[2]}] +set_property PACKAGE_PIN B17 [get_ports {ddr4_pl_dqs_c[2]}] +set_property PACKAGE_PIN G19 [get_ports {ddr4_pl_dqs_t[3]}] +set_property PACKAGE_PIN F19 [get_ports {ddr4_pl_dqs_c[3]}] +set_property PACKAGE_PIN D23 [get_ports {ddr4_pl_dqs_t[4]}] +set_property PACKAGE_PIN D24 [get_ports {ddr4_pl_dqs_c[4]}] +set_property PACKAGE_PIN J20 [get_ports {ddr4_pl_dqs_t[5]}] +set_property PACKAGE_PIN H20 [get_ports {ddr4_pl_dqs_c[5]}] +set_property PACKAGE_PIN B22 [get_ports {ddr4_pl_dqs_t[6]}] +set_property PACKAGE_PIN A22 [get_ports {ddr4_pl_dqs_c[6]}] +set_property PACKAGE_PIN K21 [get_ports {ddr4_pl_dqs_t[7]}] +set_property PACKAGE_PIN K22 [get_ports {ddr4_pl_dqs_c[7]}] +set_property PACKAGE_PIN A15 [get_ports {ddr4_pl_odt[0]}] +set_property PACKAGE_PIN E14 [get_ports ddr4_pl_reset_n] +set_property IOSTANDARD DIFF_SSTL12 [get_ports {sys_clk_ddr4_clk_p[0]}] diff --git a/vivado/constraints/placement.xdc b/vivado/constraints/placement.xdc new file mode 100644 index 0000000..caa7f3f --- /dev/null +++ b/vivado/constraints/placement.xdc @@ -0,0 +1,15 @@ +# Placement Guidance +#create_pblock daccdc_spineleft +#resize_pblock [get_pblocks daccdc_spineleft] -add {CLOCKREGION_X2Y4:CLOCKREGION_X3Y5} +#add_cells_to_pblock [get_pblocks daccdc_spineleft] [get_cells -quiet [list gen3_top_i/DACCDC0/axis_clock_converter_0 gen3_top_i/DACCDC1/axis_clock_converter_0]] + +#create_pblock daccdc_spineright +#resize_pblock [get_pblocks daccdc_spineright] -add {CLOCKREGION_X4Y4:CLOCKREGION_X5Y5} +#add_cells_to_pblock [get_pblocks daccdc_spineright] [get_cells -quiet [list gen3_top_i/DACCDC0/axis_dwidth_converter_0 gen3_top_i/DACCDC1/axis_dwidth_converter_0]] + +#create_pblock ddr4_pblock +#add_cells_to_pblock [get_pblocks ddr4_pblock] [get_cells -quiet [list gen3_top_i/capture/ddr4_0]] +#resize_pblock [get_pblocks ddr4_pblock] -add {SLICE_X86Y240:SLICE_X89Y359 SLICE_X38Y180:SLICE_X85Y359} +#resize_pblock [get_pblocks ddr4_pblock] -add {DSP48E2_X16Y96:DSP48E2_X17Y143 DSP48E2_X6Y72:DSP48E2_X15Y143} +#resize_pblock [get_pblocks ddr4_pblock] -add {RAMB18_X4Y72:RAMB18_X8Y143} +#resize_pblock [get_pblocks ddr4_pblock] -add {RAMB36_X4Y36:RAMB36_X8Y71} \ No newline at end of file diff --git a/vivado/constraints/rgb_leds.xdc b/vivado/constraints/rgb_leds.xdc new file mode 100644 index 0000000..cc4de12 --- /dev/null +++ b/vivado/constraints/rgb_leds.xdc @@ -0,0 +1,12 @@ +## rgbleds +set_property PACKAGE_PIN AN8 [get_ports {rgbleds_6bits[0]}] +set_property PACKAGE_PIN AM7 [get_ports {rgbleds_6bits[1]}] +set_property PACKAGE_PIN AM8 [get_ports {rgbleds_6bits[2]}] +set_property PACKAGE_PIN AT10 [get_ports {rgbleds_6bits[3]}] +set_property PACKAGE_PIN AP8 [get_ports {rgbleds_6bits[4]}] +set_property PACKAGE_PIN AR12 [get_ports {rgbleds_6bits[5]}] +set_property IOSTANDARD LVCMOS18 [get_ports {rgbleds_6bits[?]}] +set_property IOSTANDARD LVCMOS18 [get_ports {rgbleds_6bits[?]}] + +set_false_path -to [get_ports {rgbleds_6bits[?]}] + diff --git a/vivado/constraints/synthesis.xdc b/vivado/constraints/synthesis.xdc new file mode 100644 index 0000000..291b483 --- /dev/null +++ b/vivado/constraints/synthesis.xdc @@ -0,0 +1,9 @@ +# Synthesis Guidance +#set_property BLOCK_SYNTH.RETIMING 1 [get_cells gen3_top_i/capture/ddr4_0/*] +#set_property BLOCK_SYNTH.STRATEGY {PERFORMANCE_OPTIMIZED} [get_cells gen3_top_i/capture/ddr4_0/*] + +#set_property BLOCK_SYNTH.RETIMING 1 [get_cells {gen3_top_i/rfdc/usp_rf_data_converter_0/*}] +#set_property BLOCK_SYNTH.STRATEGY {PERFORMANCE_OPTIMIZED} [get_cells {gen3_top_i/rfdc/usp_rf_data_converter_0/*}] + +#set_property BLOCK_SYNTH.RETIMING 1 [get_cells {gen3_top_i/DACCDC*/axis_dwidth_converter_0/*}] +#set_property BLOCK_SYNTH.STRATEGY {PERFORMANCE_OPTIMIZED} [get_cells {gen3_top_i/DACCDC*/axis_dwidth_converter_0/*}] diff --git a/vivado/write_prj.tcl b/vivado/write_prj.tcl index b328378..bfc3bd5 100644 --- a/vivado/write_prj.tcl +++ b/vivado/write_prj.tcl @@ -1,19 +1,20 @@ - # Vivado 2021.2 +# Vivado 2022.1 # OPFB Project set prj_dir "." set ip_repo $prj_dir/../ip -set prj_name "opfb_streaming_test" +set prj_name "opfb_streaming_prj" set bd_name "opfb_streaming" # create project -create_project $prj_name $prj_dir/$prj_name -part xczu28dr-ffvg1517-2-e +create_project $prj_name $prj_dir/$prj_name -part xczu48dr-ffvg1517-2-e # Set project properties set obj [current_project] -set_property -name "board_part" -value "xilinx.com:zcu111:part0:1.2" -objects $obj +set_property -name "board_part_repo_paths" -value "[file normalize "$prj_dir/../board_files"]" -objects $obj +set_property -name "board_part" -value "realdigital.org:rfsoc4x2:part0:1.0" -objects $obj # Set IP repository paths set obj [get_filesets sources_1] @@ -34,7 +35,10 @@ add_files -norecurse -fileset $obj $files source $prj_dir/../bd/$bd_name.tcl -# Generate HDL Wrapper +# Add Constraints +add_files -fileset constrs_1 $prj_dir/constraints/ + +## Generate HDL Wrapper make_wrapper -files [get_files ${prj_dir}/${prj_name}/${prj_name}.srcs/sources_1/bd/${bd_name}/${bd_name}.bd] -top add_files -norecurse ${prj_dir}/${prj_name}/${prj_name}.srcs/sources_1/bd/${bd_name}/hdl/${bd_name}_wrapper.v update_compile_order -fileset sources_1